diff -Nru adlibtracker2-2.4.23/adt2data.pas adlibtracker2-2.4.24/adt2data.pas --- adlibtracker2-2.4.23/adt2data.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2data.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2data; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2ext2.pas adlibtracker2-2.4.24/adt2ext2.pas --- adlibtracker2-2.4.23/adt2ext2.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2ext2.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2ext2; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -60,6 +75,7 @@ {$ELSE} SDL_Timer, {$ENDIF} + StrUtils, AdT2opl3,AdT2unit,AdT2sys,AdT2extn,AdT2ext4,AdT2ext5,AdT2text,AdT2pack,AdT2keyb, TxtScrIO,StringIO,DialogIO,ParserIO; @@ -371,6 +387,42 @@ end; end; + If ctrl_pressed and NOT alt_pressed and NOT scankey(SC_TAB) and + (scankey(SC_UP) or scankey(SC_DOWN)) then + begin + If scankey(SC_UP) and scankey(SC_DOWN) then + begin + _IRQ_freq_shift_reset_flag := TRUE; + If NOT shift_pressed then + IRQ_freq_shift := songdata.bpm_data.tempo_finetune + else IRQ_freq_shift := 0 + end + else If scankey(SC_UP) and NOT scankey(SC_DOWN) and + (SUCC(IRQ_freq+IRQ_freq_shift+playback_speed_shift) <= MAX_IRQ_FREQ) then + If (NOT shift_pressed or (shift_pressed and NOT (_IRQFREQ_blink_flag and (_IRQFREQ_blink_ticks < 5)))) and + NOT (_IRQ_freq_shift_reset_flag and _IRQFREQ_blink_flag and (_IRQFREQ_blink_ticks < 5)) then + begin + Inc(IRQ_freq_shift); + _IRQ_freq_shift_reset_flag := FALSE; + end + else + else If NOT scankey(SC_UP) and scankey(SC_DOWN) and + (PRED(IRQ_freq+IRQ_freq_shift+playback_speed_shift) >= MIN_IRQ_FREQ) then + If (NOT shift_pressed or (shift_pressed and NOT (_IRQFREQ_blink_flag and (_IRQFREQ_blink_ticks < 5)))) and + NOT (_IRQ_freq_shift_reset_flag and _IRQFREQ_blink_flag and (_IRQFREQ_blink_ticks < 5)) then + begin + Dec(IRQ_freq_shift); + _IRQ_freq_shift_reset_flag := FALSE; + end; + If (songdata.bpm_data.tempo_finetune <> IRQ_freq_shift) then + module_archived := FALSE; + _IRQFREQ_update_event := TRUE; + _IRQFREQ_blink_flag := TRUE; + _IRQFREQ_blink_ticks := 0; + TimerSetup(IRQ_freq+IRQ_freq_shift+playback_speed_shift); + keyboard_reset_buffer; + end; + {$IFNDEF GO32V2} If scankey(SC_F11) and @@ -510,6 +562,7 @@ ShowCStr(screen_ptr,03,04,'~ROW ~', status_background+status_dynamic_txt, status_background+status_static_txt); + ShowCStr(screen_ptr,03,05,'~SPEED/TEMPO ~ /', status_background+status_dynamic_txt, status_background+status_static_txt); @@ -609,20 +662,6 @@ type tRANGE = Set of 1..255; -function check_range(str: String; base: Byte; range: tRANGE; default: Byte): Byte; - -var - result: Word; - -begin - result := default; - If SameName(str+'='+ExpStrL('',3,'?'),data) and - (Length(data) < Length(str)+5) then - If (Str2num(Copy(data,Length(str)+2,3),base) in range) then - result := Str2num(Copy(data,Length(str)+2,3),base); - check_range := result; -end; - function check_boolean(str: String; default: Boolean): Boolean; var @@ -888,6 +927,9 @@ linefeed := check_boolean('linefeed',linefeed); + lf_in_mboard_mode := + check_boolean('lf_in_mboard_mode',lf_in_mboard_mode); + update_ins := check_boolean('update_ins',update_ins); @@ -1182,6 +1224,9 @@ debug_info_perc := check_number('debug_info_perc',10,0,15,debug_info_perc); + debug_info_bpm := + check_number('debug_info_bpm',10,0,15,debug_info_bpm); + help_background := check_number('help_background',10,0,15,help_background SHR 4) SHL 4; @@ -1281,6 +1326,9 @@ dialog_car_text := check_number('dialog_car_text',10,0,15,dialog_car_text); + dialog_misc_indic := + check_number('dialog_misc_indic',10,0,15,dialog_misc_indic); + macro_background := check_number('macro_background',10,0,15,macro_background SHR 4) SHL 4; diff -Nru adlibtracker2-2.4.23/adt2ext3.pas adlibtracker2-2.4.24/adt2ext3.pas --- adlibtracker2-2.4.23/adt2ext3.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2ext3.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2ext3; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2ext4.pas adlibtracker2-2.4.24/adt2ext4.pas --- adlibtracker2-2.4.23/adt2ext4.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2ext4.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2ext4; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -695,6 +710,8 @@ _str1 := temp_str; end; +{$IFNDEF CPU64} + function _str2(str: String; len: Byte): String; begin asm @@ -727,6 +744,30 @@ end; end; +{$ELSE} + +function _str2(str: String; len: Byte): String; + +var + idx,len2: Byte; + result: String; + +begin + result := ''; + len2 := 0; + idx := 0; + While (idx < Length(str)) and (len > len2) do + begin + Inc(idx); + If (str[idx] <> '`') then + Inc(len2); + result := result+str[idx]; + end; + _str2 := result; +end; + +{$ENDIF} + procedure fmreg_page_refresh(xpos,ypos: Byte; page: Word); var @@ -1351,10 +1392,11 @@ If (refresh_flag AND flag_FMREG = flag_FMREG) then If NOT arp_vib_mode then begin - HScrollBar(ptr_temp_screen,xstart+29+_add_prev_size*2,ystart+21+window_area_inc_y, - 13,35,fmreg_hpos,WORD_NULL, - macro_scrbar_bckg+macro_scrbar_text, - macro_scrbar_bckg+macro_scrbar_mark); + If (window_area_inc_x <> 26) then + HScrollBar(ptr_temp_screen,xstart+29+_add_prev_size*2,ystart+21+window_area_inc_y, + 13,35,fmreg_hpos,WORD_NULL, + macro_scrbar_bckg+macro_scrbar_text, + macro_scrbar_bckg+macro_scrbar_mark); VScrollBar(ptr_temp_screen,xstart+43+_add_prev_size*2,ystart+12, 9+window_area_inc_y,255,fmreg_page,WORD_NULL, macro_scrbar_bckg+macro_scrbar_text, @@ -2495,9 +2537,21 @@ window_area_inc_y := 0; end else begin - If NOT arp_vib_mode then window_area_inc_x := 10 - else window_area_inc_x := 0; - window_area_inc_y := 10; +{$IFDEF GO32V2} + If arp_vib_mode then window_area_inc_x := 0 + else If (MaxCol > 81+26+4) then + window_area_inc_x := 26 + else window_area_inc_x := 10; + If (MaxLn > 24+20+3) then + window_area_inc_y := 20 + else window_area_inc_y := 10; +{$ELSE} + If arp_vib_mode then window_area_inc_x := 0 + else window_area_inc_x := 26; + If (program_screen_mode = 1) then + window_area_inc_y := 10 + else window_area_inc_y := 20; +{$ENDIF} end; call_pickup_proc := FALSE; @@ -2686,7 +2740,7 @@ (* FM_op_table table - pos: 1..7 *) 1: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.instr_macros[instr].length), xstart+17,ystart+4, @@ -2736,7 +2790,7 @@ end; 2: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.instr_macros[instr].loop_begin), xstart+17,ystart+5, @@ -2790,7 +2844,7 @@ end; 3: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.instr_macros[instr].loop_length), xstart+17,ystart+6, @@ -2844,7 +2898,7 @@ end; 4: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.instr_macros[instr].keyoff_pos), xstart+17,ystart+7, @@ -2909,7 +2963,7 @@ end; 5: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(ptr_arpeggio_table), xstart+17,ystart+8, @@ -2948,7 +3002,7 @@ end; 6: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(ptr_vibrato_table), xstart+17,ystart+9, @@ -3919,7 +3973,7 @@ (* Arpeggio table - pos: 8..13 *) 8: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_arpeggio_table]. arpeggio.length), @@ -3975,7 +4029,7 @@ end; 9: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_arpeggio_table]. arpeggio.speed), @@ -4029,7 +4083,7 @@ end; 10: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_arpeggio_table]. arpeggio.loop_begin), @@ -4097,7 +4151,7 @@ end; 11: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_arpeggio_table]. arpeggio.loop_length), @@ -4165,7 +4219,7 @@ end; 12: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_arpeggio_table]. arpeggio.keyoff_pos), @@ -4407,7 +4461,7 @@ begin nope := FALSE; If (tstr[1] = '+') then Delete(tstr,1,1); - If (tstr[1] in ['0'..'9']) and + If (tstr[1] in DEC_NUM_CHARSET) and (Str2num(tstr,10) >= 0) and (Str2num(tstr,10) <= 96) then begin nope := TRUE; @@ -4515,7 +4569,7 @@ nope := FALSE; If (tstr[1] = '+') then Delete(tstr,1,1); - If (tstr[1] in ['0'..'9']) and + If (tstr[1] in DEC_NUM_CHARSET) and (Str2num(tstr,10) >= 0) and (Str2num(tstr,10) <= 96) then begin nope := TRUE; @@ -4569,7 +4623,7 @@ (* Vibrato table - pos: 14..20 *) 14: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_vibrato_table]. vibrato.length), @@ -4627,7 +4681,7 @@ end; 15: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_vibrato_table]. vibrato.speed), @@ -4681,7 +4735,7 @@ end; 16: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_vibrato_table]. vibrato.delay), @@ -4735,7 +4789,7 @@ end; 17: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_vibrato_table]. vibrato.loop_begin), @@ -4803,7 +4857,7 @@ end; 18: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_vibrato_table]. vibrato.loop_length), @@ -4871,7 +4925,7 @@ end; 19: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; Repeat temps := InputStr(byte2hex(songdata.macro_table[ptr_vibrato_table]. vibrato.keyoff_pos), diff -Nru adlibtracker2-2.4.23/adt2ext5.pas adlibtracker2-2.4.24/adt2ext5.pas --- adlibtracker2-2.4.23/adt2ext5.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2ext5.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2ext5; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -1230,6 +1245,7 @@ arpvib_vibrato_table := vibrato_table_idx; _macro_preview_refresh; + mn_environment.curr_pos := current_inst; a2w_macro_lister_external_proc_callback; end; @@ -1469,6 +1485,8 @@ _str1 := temp_str; end; +{$IFNDEF CPU64} + function _str2(str: String; len: Byte): String; begin asm @@ -1501,6 +1519,30 @@ end; end; +{$ELSE} + +function _str2(str: String; len: Byte): String; + +var + idx,len2: Byte; + result: String; + +begin + result := ''; + len2 := 0; + idx := 0; + While (idx < Length(str)) and (len > len2) do + begin + Inc(idx); + If (str[idx] <> '`') then + Inc(len2); + result := result+str[idx]; + end; + _str2 := result; +end; + +{$ENDIF} + procedure fmreg_page_refresh(xpos,ypos: Byte; page: Word); var @@ -2201,7 +2243,7 @@ vib_tab_selected := songdata.instr_macros[current_inst].vibrato_table <> 0; end; - // init 4OP flags (no file bank) + // init 4OP flags (no file bank) temp_songdata.ins_4op_flags := songdata.ins_4op_flags; FillChar(_4op_flag_column,SizeOf(_4op_flag_column),0); For temp := 1 to PRED(255) do @@ -2212,7 +2254,6 @@ If NOT (_4op_flag_column[SUCC(temp)] in _4op_flag_chars) then _4op_flag_column[SUCC(temp)] := _4op_flag_chr_end; end; - If loadMacros then GOTO _jmp1 // Arpeggio/Vibrato Macro Browser else GOTO _jmp2; // Instrument Macro Browser @@ -3853,7 +3894,7 @@ end; If (ticklooper = 0) then - show_progress(index); + show_progress(index,3); SeekF(f,header.name_offset+PRED(index)*SizeOf(name_record)); If (IOresult <> 0) then @@ -4123,7 +4164,7 @@ end; If (ticklooper = 0) then - show_progress(index); + show_progress(index,3); BlockReadF(f,instrument_data,SizeOf(instrument_data),temp); If (temp <> SizeOf(instrument_data)) then @@ -4208,7 +4249,7 @@ end; CloseF(f); - show_progress(index); + show_progress(index,3); // delay for awhile to show progress bar {$IFDEF GO32V2} CRT.Delay(500); @@ -4377,7 +4418,7 @@ end; If (ticklooper = 0) then - show_progress(index); + show_progress(index,3); SeekF(f,$004+PRED(index)*SizeOf(instrument_data)); If (IOresult <> 0) then @@ -4470,7 +4511,7 @@ end; CloseF(f); - show_progress(index); + show_progress(index,3); // delay for awhile to show progress bar {$IFDEF GO32V2} CRT.Delay(500); diff -Nru adlibtracker2-2.4.23/adt2extn.pas adlibtracker2-2.4.24/adt2extn.pas --- adlibtracker2-2.4.23/adt2extn.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2extn.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2extn; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -78,7 +93,8 @@ procedure NUKE; procedure MESSAGE_BOARD; procedure QUIT_request; -procedure show_progress(value: Longint); +procedure show_progress(value: Longint); overload; +procedure show_progress(value,refresh_dif: Longint); overload; implementation @@ -89,8 +105,8 @@ {$IFNDEF GO32V2} SDL_Timer, {$ENDIF} - AdT2opl3, - AdT2sys,AdT2keyb,AdT2unit,AdT2ext2,AdT2ext3,AdT2ext4,AdT2ext5,AdT2text,AdT2pack, + StrUtils, + AdT2opl3,AdT2sys,AdT2keyb,AdT2unit,AdT2ext2,AdT2ext3,AdT2ext4,AdT2ext5,AdT2text,AdT2pack, StringIO,DialogIO,ParserIO,TxtScrIO,MenuLib1,MenuLib2; function _patts_marked: Byte; @@ -955,7 +971,9 @@ procedure override_frame(dest: tSCREEN_MEM_PTR; x,y: Byte; frame: String; attr: Byte); -procedure override_attr(dest: tSCREEN_MEM_PTR; x,y: Byte; len: Byte; attr: Byte); +{$IFNDEF CPU64} + +procedure override_vscrollbar(dest: tSCREEN_MEM_PTR; x,y: Byte; len: Byte; attr: Byte); begin asm mov al,MaxCol @@ -996,11 +1014,26 @@ end; end; +{$ELSE} + +procedure override_vscrollbar(dest: tSCREEN_MEM_PTR; x,y: Byte; len: Byte; attr: Byte); + +var + row: Byte; + +begin + If (len <> 0) then + For row := PRED(y) to PRED(y)+len do + dest^[SUCC((row*MaxCol+PRED(x))*2)] := attr; +end; + +{$ENDIF} + begin ShowStr(dest,x,y,frame[1]+ExpStrL('',32,frame[2])+frame[3],attr); ShowVStr(dest,x,y+1,ExpStrL('',MAX_PATTERN_ROWS,frame[4]),attr); ShowStr(dest,x,y+MAX_PATTERN_ROWS+1,frame[6]+ExpStrL('',32,frame[7])+frame[8],attr); - override_attr(dest,x+33,y+1,MAX_PATTERN_ROWS,attr); + override_vscrollbar(dest,x+33,y+1,MAX_PATTERN_ROWS,attr); end; var @@ -1703,18 +1736,30 @@ move2screen; end; -function _find_note(layout: String): Byte; +function _find_note(layout: String; old_note: Byte): BYTE; var temp: Byte; + found_flag: Boolean; begin - If (layout = note_keyoff_str[pattern_layout]) then temp := BYTE_NULL - else For temp := 0 to 12*8+1 do - If SameName(note_layout[temp],layout) then BREAK; - _find_note := temp; + If (layout = note_keyoff_str[pattern_layout]) then _find_note := BYTE_NULL + else + begin + found_flag := FALSE; + For temp := 0 to 12*8+1 do + If SameName(note_layout[temp],layout) then + begin + found_flag := TRUE; + BREAK; + end; + If found_flag then _find_note := temp + else _find_note := old_note; + end; end; +{$IFNDEF CPU64} + function _find_fx(fx_str: Char): Byte; var @@ -1735,6 +1780,22 @@ _find_fx := result; end; +{$ELSE} + +function _find_fx(fx_str: Char): Byte; + +var + result: Byte; + +begin + result := SYSTEM.Pos(fx_str,fx_digits); + If (result <> 0) then + _find_fx := PRED(result) + else _find_fx := PRED(NM_FX_DIGITS); +end; + +{$ENDIF} + function _wildcard_str(wildcard,str: String): String; var @@ -2213,7 +2274,7 @@ 0, 1..12*8+1: If SameName(event_to_find.note,note_layout[old_chunk.note]) then begin - temp_note := _find_note(_wildcard_str(new_event.note,note_layout[old_chunk.note])); + temp_note := _find_note(_wildcard_str(new_event.note,note_layout[old_chunk.note]),old_chunk.note); _valid_note := TRUE; end; @@ -2223,14 +2284,14 @@ 12*8+1: If SameName(event_to_find.note,note_layout[old_chunk.note-fixed_note_flag]) then begin If NOT (FilterStr(replace_data.new_event.note,'?',#250) = note_keyoff_str[pattern_layout]) then - temp_note := fixed_note_flag+_find_note(_wildcard_str(new_event.note,note_layout[old_chunk.note-fixed_note_flag])) - else temp_note := _find_note(new_event.note); + temp_note := fixed_note_flag+_find_note(_wildcard_str(new_event.note,note_layout[old_chunk.note-fixed_note_flag]),old_chunk.note) + else temp_note := _find_note(new_event.note,old_chunk.note); _valid_note := TRUE; end; BYTE_NULL: If (replace_data.event_to_find.note = note_keyoff_str[pattern_layout]) then begin - temp_note := _find_note(new_event.note); + temp_note := _find_note(new_event.note,old_chunk.note); _valid_note := TRUE; end; end; @@ -2436,6 +2497,7 @@ ef_ex_cmd_RestartEnv: effect_str := 'RstrtEnv'; ef_ex_cmd_4opVlockOff: effect_str := 'VLock'#4#3'-'; ef_ex_cmd_4opVlockOn: effect_str := 'VLock'#4#3'+'; + ef_ex_cmd_ForceBpmSld: effect_str := 'BpmSlide'; end; ef_ex_ExtendedCmd2: @@ -2811,6 +2873,17 @@ debug_info_bckg+debug_info_txt, debug_info_bckg+debug_info_hi_txt); + temps := Bpm2str(calc_realtime_bpm_speed(tempo,speed,mark_line))+' BPM'; + If (IRQ_freq_shift+playback_speed_shift > 0) then + temps := temps+' [+'+Num2str(IRQ_freq_shift+playback_speed_shift,10)+#174']' + else If (IRQ_freq_shift+playback_speed_shift < 0) then + temps := temps+' [-'+Num2str(Abs(IRQ_freq_shift+playback_speed_shift),10)+#174']'; + + ShowStr(screen_ptr, + xstart+62,ystart+songdata.nm_tracks+5, + ExpStrL(temps,20,' '), + debug_info_bckg+debug_info_bpm); + current_track := count_channel(pattern_hpos); For temp := 1 to songdata.nm_tracks do begin @@ -2912,7 +2985,7 @@ If NOT (is_4op_chan(temp) and (temp in _4op_tracks_hi)) then temps3 := ExpStrL(Num2str(freqtable2[temp],16),4,'0') else temps3 := ' '; - + If NOT _details_flag then begin If pan_lock[temp] then @@ -3431,17 +3504,35 @@ end; end; +procedure _show_bpm_callback_LMS; +begin + ShowC3Str(screen_ptr,dl_environment.xpos+2,dl_environment.ypos+dl_environment.ysize, + ExpC2StrL(' ~ '+Bpm2str(calc_bpm_speed(songdata.tempo,songdata.speed,dl_environment.cur_item))+' ~`BPM `', + dl_environment.xsize-2,#205)+' ', + dialog_background+dialog_border, + dialog_def_bckg+dialog_input, + dialog_def_bckg+dialog_input); +end; + procedure LINE_MARKING_SETUP; + +var + old_bpm_proc: procedure; + begin {$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2EXTN.PAS:LINE_MARKING_SETUP'; {$ENDIF} + old_bpm_proc := _show_bpm_realtime_proc; + _show_bpm_realtime_proc := _show_bpm_callback_LMS; dl_setting.all_enabled := TRUE; mark_line := Dialog('USE CURSOR KEYS OR DiRECTLY PRESS ~HOTKEY~ TO SETUP COUNTER$', '~1~$~2~$~3~$~4~$~5~$~6~$~7~$~8~$~9~$10$11$12$13$14$15$16$', - ' LiNE MARKiNG SETUP ',mark_line); + ' LiNE MARKiNG SETUP (ROWS PER BEAT) ',mark_line); dl_setting.all_enabled := FALSE; + _IRQFREQ_update_event := FALSE; + _show_bpm_realtime_proc := old_bpm_proc; end; procedure OCTAVE_CONTROL; @@ -3456,22 +3547,121 @@ ' OCTAVE CONTROL ',current_octave); end; -procedure SONG_VARIABLES; +var + _bpm_xstart: Byte; + _bpm_ystart: Byte; const - new_keys: array[1..7] of Word = (kF1,kESC,kENTER,kTAB,kShTAB,kUP,kDOWN); + _song_variables_pos: Byte = 1; var + bpm_str, + bpm_inc_str,bpm_dec_str: String; + is_num: Byte; + +procedure _show_bpm_callback_SV; +begin + Case _song_variables_pos of + 3: begin + is_num := Str2num(is_environment.cur_str,10); + If is_num in [1..255] then + bpm_str := ExpStrL(Bpm2str(calc_bpm_speed(is_num,songdata.speed,mark_line))+' BPM',9,' ') + else bpm_str := ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,songdata.speed,mark_line))+' BPM',9,' '); + end; + 4: begin + is_num := Str2num(is_environment.cur_str,16); + If is_num in [1..255] then + bpm_str := ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,is_num,mark_line))+' BPM',9,' ') + else bpm_str := ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,songdata.speed,mark_line))+' BPM',9,' '); + end + else + bpm_str := ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,songdata.speed,mark_line))+' BPM',9,' '); + end; + ShowStr(screen_ptr,_bpm_xstart,_bpm_ystart, + bpm_str, + dialog_background+dialog_misc_indic); +end; + +procedure _show_current_bpm_with_hints; +begin + Case _song_variables_pos of + 3: begin + is_num := Str2num(is_environment.cur_str,10); + If (is_num in [1..254]) then + bpm_inc_str := '`(`~+~`)` '+ExpStrL(Bpm2str(calc_bpm_speed(is_num+1,songdata.speed,mark_line))+' `BPM`',11,' ') + else bpm_inc_str := ExpStrL('',13,' '); + If (is_num in [2..255]) then + bpm_dec_str := '`(`~-~`)` '+ExpStrL(Bpm2str(calc_bpm_speed(is_num-1,songdata.speed,mark_line))+' `BPM`',11,' ') + else bpm_dec_str := ExpStrL('',13,' '); + end; + 4: begin + is_num := Str2num(is_environment.cur_str,16); + If (is_num in [1..254]) then + bpm_inc_str := '`(`~+~`)` '+ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,is_num+1,mark_line))+' `BPM`',11,' ') + else bpm_inc_str := ExpStrL('',13,' '); + If (is_num in [2..255]) then + bpm_dec_str := '`(`~-~`)` '+ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,is_num-1,mark_line))+' `BPM`',11,' ') + else bpm_dec_str := ExpStrL('',13,' '); + end; + end; + + ShowC3Str(screen_ptr,_bpm_xstart-4,_bpm_ystart+1, + bpm_inc_str, + dialog_background+dialog_context_dis, + dialog_background+dialog_title, + dialog_background+dialog_contxt_dis2); + + If (CutStr(bpm_inc_str) <> '') then + ShowC3Str(screen_ptr,_bpm_xstart-4,_bpm_ystart+2, + bpm_dec_str, + dialog_background+dialog_context_dis, + dialog_background+dialog_title, + dialog_background+dialog_contxt_dis2) + else + begin + ShowC3Str(screen_ptr,_bpm_xstart-4,_bpm_ystart+1, + bpm_dec_str, + dialog_background+dialog_context_dis, + dialog_background+dialog_title, + dialog_background+dialog_contxt_dis2); + ShowC3Str(screen_ptr,_bpm_xstart-4,_bpm_ystart+2, + bpm_inc_str, + dialog_background+dialog_context_dis, + dialog_background+dialog_title, + dialog_background+dialog_contxt_dis2); + end; +end; + +procedure SONG_VARIABLES; + +const + new_keys: array[1..29] of Word = (kF1,kESC,kENTER,kTAB,kShTAB,kUP,kDOWN,kCtENTR, + kAltN,kAltE,kAltT,kAltS,kAltR,kAltD,kAltO,kAltI, + kAltA,kAltL,kAltB,kAltH,kAltF,kAltX,kAltU,kAltK, + kAltG,kAltM,kAltC,kAltV,kAltP); +var old_keys: array[1..7] of Word; pos,pos_4op,temp,temp1,temp2,temp3: Byte; temps: String; xstart,ystart: Byte; - attr: array[1..163] of Byte; + attr: array[1..163] of Word; status_backup: Record replay_forbidden: Boolean; play_status: tPLAY_STATUS; end; const + RANGE_PAN_LO = 18; + RANGE_PAN_HI = 77; + RANGE_PAN: Set of Byte = [RANGE_PAN_LO..RANGE_PAN_HI]; + + RANGE_4OP_LO = 78; + RANGE_4OP_HI = 83; + RANGE_4OP: Set of Byte = [RANGE_4OP_LO..RANGE_4OP_HI]; + + RANGE_LCK_LO = 84; + RANGE_LCK_HI = 163; + RANGE_LCK: Set of Byte = [RANGE_LCK_LO..RANGE_LCK_HI]; + _on_off: array[0..1] of Char = #250#251; _4op_str: array[1..6] of String = ('1 '#241'2 ','3 '#241'4 ','5 '#241'6 ', '10'#241'11 ','12'#241'13 ','14'#241'15 '); @@ -3560,90 +3750,6 @@ 157,158,159,0, {19} 161,162,163,1); {20} - _up_pos_pan: array[1..60] of Byte = (2, 2, 2, {1} - 18,19,20, {2} - 21,22,23, {3} - 24,25,26, {4} - 27,28,29, {5} - 30,31,32, {6} - 33,34,35, {7} - 36,37,38, {8} - 39,40,41, {9} - 42,43,44, {10} - 45,46,47, {11} - 48,49,50, {12} - 51,52,53, {13} - 54,55,56, {14} - 57,58,59, {15} - 60,61,62, {16} - 63,64,65, {17} - 66,67,68, {18} - 69,70,71, {19} - 72,73,74); {20} - - _down_pos_pan: array[1..60] of Byte = (0,0,0, {1} - 0,0,0, {2} - 0,0,0, {3} - 0,0,0, {4} - 0,0,0, {5} - 0,0,0, {6} - 0,0,0, {7} - 0,0,0, {8} - 0,0,0, {9} - 0,0,0, {10} - 0,0,0, {11} - 0,0,0, {12} - 0,0,0, {13} - 0,0,0, {14} - 0,0,0, {15} - 0,0,0, {16} - 0,0,0, {17} - 0,0,0, {18} - 0,0,0, {19} - 1,1,1); {20} - - _down_pos_lck: array[1..80] of Byte = (0,0,0,0, {1} - 0,0,0,0, {2} - 0,0,0,0, {3} - 0,0,0,0, {4} - 0,0,0,0, {5} - 0,0,0,0, {6} - 0,0,0,0, {7} - 0,0,0,0, {8} - 0,0,0,0, {9} - 0,0,0,0, {10} - 0,0,0,0, {11} - 0,0,0,0, {12} - 0,0,0,0, {13} - 0,0,0,0, {14} - 0,0,0,0, {15} - 0,0,0,0, {16} - 0,0,0,0, {17} - 0,0,0,0, {18} - 0,0,0,0, {19} - 1,1,1,1); {20} - - _up_pos_lck: array[1..80] of Byte = (2, 2, 2, 2, {1} - 84, 85, 86, 87, {2} - 88, 89, 90, 91, {3} - 92, 93, 94, 95, {4} - 96, 97, 98, 99, {5} - 100,101,102,103, {6} - 104,105,106,107, {7} - 108,109,110,111, {8} - 112,113,114,115, {9} - 116,117,118,119, {10} - 120,121,122,123, {11} - 124,125,126,127, {12} - 128,129,130,131, {13} - 132,133,134,135, {14} - 136,137,138,139, {15} - 140,141,142,143, {16} - 144,145,146,147, {17} - 148,149,150,151, {18} - 152,153,154,155, {19} - 156,157,158,159); {20} - _right_pos_lck_def: array[1..20-1] of Record variant1, variant2: Byte; @@ -3668,56 +3774,6 @@ (variant1: 15; variant2: 15), {18} (variant1: 16; variant2: 16)); {19} - _down_pos_pan_def: array[1..20-1] of Record - variant1, - variant2: array[1..3] of Byte; - end = ( - - (variant1: (21,22,23); variant2: (3, 3, 3 )), {1} - (variant1: (24,25,26); variant2: (3, 3, 3 )), {2} - (variant1: (27,28,29); variant2: (3, 3, 3 )), {3} - (variant1: (30,31,32); variant2: (4, 4, 4 )), {4} - (variant1: (33,34,35); variant2: (17,17,17)), {5} - (variant1: (36,37,38); variant2: (6, 6, 6 )), {6} - (variant1: (39,40,41); variant2: (6, 6, 6 )), {7} - (variant1: (42,43,44); variant2: (7, 7, 7 )), {8} - (variant1: (45,46,47); variant2: (8, 8, 8 )), {9} - (variant1: (48,49,50); variant2: (81,81,81)), {10} - (variant1: (51,52,53); variant2: (82,82,82)), {11} - (variant1: (54,55,56); variant2: (9, 9, 9 )), {12} - (variant1: (57,58,59); variant2: (10,10,10)), {13} - (variant1: (60,61,62); variant2: (13,13,13)), {14} - (variant1: (63,64,65); variant2: (13,13,13)), {15} - (variant1: (66,67,68); variant2: (14,14,14)), {16} - (variant1: (69,70,71); variant2: (15,15,15)), {17} - (variant1: (72,73,74); variant2: (15,15,15)), {18} - (variant1: (75,76,77); variant2: (16,16,16))); {19} - - _down_pos_lck_def: array[1..20-1] of Record - variant1, - variant2: array[1..4] of Byte; - end = ( - - (variant1: (88, 89, 90, 91); variant2: (3, 3, 3, 3 )), {1} - (variant1: (92, 93, 94, 95); variant2: (3, 3, 3, 3 )), {2} - (variant1: (96, 97, 98, 99); variant2: (3, 3, 3, 3 )), {3} - (variant1: (100,101,102,103); variant2: (4, 4, 4, 4 )), {4} - (variant1: (104,105,106,107); variant2: (17,17,17,17)), {5} - (variant1: (108,109,110,111); variant2: (6, 6, 6, 6 )), {6} - (variant1: (112,113,114,115); variant2: (6, 6, 6, 6 )), {7} - (variant1: (116,117,118,119); variant2: (7, 7, 7, 7 )), {8} - (variant1: (120,121,122,123); variant2: (8, 8, 8, 8 )), {9} - (variant1: (124,125,126,127); variant2: (81,81,81,81)), {10} - (variant1: (128,129,130,131); variant2: (82,82,82,82)), {11} - (variant1: (132,133,134,135); variant2: (9, 9, 9, 9 )), {12} - (variant1: (136,137,138,139); variant2: (10,10,10,10)), {13} - (variant1: (140,141,142,143); variant2: (13,13,13,13)), {14} - (variant1: (144,145,146,147); variant2: (13,13,13,13)), {15} - (variant1: (148,149,150,151); variant2: (14,14,14,14)), {16} - (variant1: (152,153,154,155); variant2: (15,15,15,15)), {17} - (variant1: (156,157,158,159); variant2: (15,15,15,15)), {18} - (variant1: (160,161,152,163); variant2: (16,16,16,16))); {19} - _left_pos_4op: array[1..6] of Byte = (6,7,8,0,0,11); _right_pos_4op: array[1..6] of Byte = (0,0,0,0,0,0); _up_pos_4op: array[1..6] of Byte = (0,78,79,80,81,82); @@ -3733,6 +3789,14 @@ (variant1: 51; variant2: 9), (variant1: 54; variant2: 10)); + + _old_pos_pan: Byte = RANGE_PAN_LO+1; + _old_pos_4op: Byte = RANGE_4OP_LO; + _old_pos_lck: Byte = RANGE_LCK_LO; + +var + old_bpm_proc: procedure; + function truncate_string(str: String): String; begin While (Length(str) > 0) and (str[Length(str)] in [#0,#32,#255]) do @@ -3740,6 +3804,54 @@ truncate_string := str; end; +procedure _check_key_shortcuts; +begin + If (pos in RANGE_PAN) then + begin + _old_pos_pan := RANGE_PAN_LO+1+(pos-RANGE_PAN_LO) DIV 3*3; + _old_pos_lck := RANGE_LCK_LO+(pos-RANGE_PAN_LO) DIV 3*4; + end + else If (pos in RANGE_4OP) then + _old_pos_4op := pos + else If (pos in RANGE_LCK) then + begin + _old_pos_pan := RANGE_PAN_LO+1+(pos-RANGE_LCK_LO) DIV 4*3; + _old_pos_lck := RANGE_LCK_LO+(pos MOD 4)+(pos-RANGE_LCK_LO) DIV 4*4; + end; + Case is_environment.keystroke of + kAltN: pos := 1; + kAltE: pos := 2; + kAltT: pos := 3; + kAltS: pos := 4; + kAltR: pos := 5; + kAltD: pos := 17; + kAltO: pos := 6; + kAltI: pos := 7; + kAltA: pos := 8; + kAltL: If (tremolo_depth = 0) then pos := 9 else pos := 10; + kAltB: If (vibrato_depth = 0) then pos := 11 else pos := 12; + kAltH: pos := 13; + kAltF: pos := 14; + kAltX: pos := 15; + kAltU: pos := 16; + kAltK: begin + If (_old_pos_4op in RANGE_4OP) then pos := _old_pos_4op + else pos := RANGE_4OP_LO; + pos_4op := 0; + end; + kAltG: If (_old_pos_pan in RANGE_PAN) then pos := _old_pos_pan + else pos := RANGE_PAN_LO+1; + kAltM: If (_old_pos_lck in RANGE_LCK) then pos := RANGE_LCK_LO+((_old_pos_lck-RANGE_LCK_LO) DIV 4)*4 + else pos := RANGE_LCK_LO; + kAltC: If (_old_pos_lck in RANGE_LCK) then pos := RANGE_LCK_LO+1+((_old_pos_lck-RANGE_LCK_LO) DIV 4)*4 + else pos := RANGE_LCK_LO+1; + kAltV: If (_old_pos_lck in RANGE_LCK) then pos := RANGE_LCK_LO+2+((_old_pos_lck-RANGE_LCK_LO) DIV 4)*4 + else pos := RANGE_LCK_LO+2; + kAltP: If (_old_pos_lck in RANGE_LCK) then pos := RANGE_LCK_LO+3+((_old_pos_lck-RANGE_LCK_LO) DIV 4)*4 + else pos := RANGE_LCK_LO+3; + end; +end; + label _jmp1,_end; begin { SONG_VARIABLES } @@ -3754,7 +3866,11 @@ pos := min(get_bank_position('?song_variables_window?pos',-1),1); pos_4op := min(get_bank_position('?song_variables_window?pos_4op',-1),0); If (calc_max_speedup(songdata.tempo) < songdata.macro_speedup) then - songdata.macro_speedup := calc_max_speedup(songdata.tempo); + begin + songdata.macro_speedup := calc_max_speedup(songdata.tempo); + If (play_status = isStopped) then + macro_speedup := songdata.macro_speedup; + end; _jmp1: If _force_program_quit then EXIT; @@ -3782,6 +3898,12 @@ move_to_screen_area[3] := xstart+78; move_to_screen_area[4] := ystart+25; + _bpm_xstart := xstart+39; + _bpm_ystart := ystart+7; + + old_bpm_proc := _show_bpm_realtime_proc; + _show_bpm_realtime_proc := _show_bpm_callback_SV; + ShowCStr(ptr_temp_screen,xstart+2,ystart+6, 'iNSTRUMENTS: ~'+Num2str(temp3,10)+'/255~ ', dialog_background+dialog_text, @@ -3831,30 +3953,10 @@ _right_pos_lck[(temp-1)*4+4] := _right_pos_lck_def[temp].variant2 else _right_pos_lck[(temp-1)*4+4] := _right_pos_lck_def[temp].variant1; - For temp := 1 to 19 do - If (songdata.nm_tracks < temp+1) then - begin - _down_pos_pan[(temp-1)*3+1] := _down_pos_pan_def[temp].variant2[1]; - _down_pos_pan[(temp-1)*3+2] := _down_pos_pan_def[temp].variant2[2]; - _down_pos_pan[(temp-1)*3+3] := _down_pos_pan_def[temp].variant2[3]; - _down_pos_lck[(temp-1)*4+1] := _down_pos_lck_def[temp].variant2[1]; - _down_pos_lck[(temp-1)*4+2] := _down_pos_lck_def[temp].variant2[2]; - _down_pos_lck[(temp-1)*4+3] := _down_pos_lck_def[temp].variant2[3]; - _down_pos_lck[(temp-1)*4+4] := _down_pos_lck_def[temp].variant2[4]; - end - else begin - _down_pos_pan[(temp-1)*3+1] := _down_pos_pan_def[temp].variant1[1]; - _down_pos_pan[(temp-1)*3+2] := _down_pos_pan_def[temp].variant1[2]; - _down_pos_pan[(temp-1)*3+3] := _down_pos_pan_def[temp].variant1[3]; - _down_pos_lck[(temp-1)*4+1] := _down_pos_lck_def[temp].variant1[1]; - _down_pos_lck[(temp-1)*4+2] := _down_pos_lck_def[temp].variant1[2]; - _down_pos_lck[(temp-1)*4+3] := _down_pos_lck_def[temp].variant1[3]; - _down_pos_lck[(temp-1)*4+4] := _down_pos_lck_def[temp].variant1[4]; - end; - - For temp2 := 1 to 17 do - If (pos = temp2) then attr[temp2] := dialog_background+dialog_hi_text - else attr[temp2] := dialog_background+dialog_text; + For temp2 := 1 to 18 do + If (pos = temp2) then + attr[temp2] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[temp2] := dialog_text+(dialog_title SHL 8); If (pos = 4) then attr[5] := 0 else If (pos = 5) then attr[4] := 0 @@ -3868,33 +3970,33 @@ else If (pos = 12) then attr[11] := 0 else attr[11] := 0; - If (pos in [18..77]) then attr[18] := dialog_background+dialog_hi_text - else attr[18] := dialog_background+dialog_text; + If (pos in RANGE_PAN) then attr[RANGE_PAN_LO] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[RANGE_PAN_LO] := dialog_text+(dialog_title SHL 8); - If (pos in [78..83]) then attr[78] := dialog_background+dialog_hi_text - else attr[78] := dialog_background+dialog_text; + If (pos in RANGE_4OP) then attr[RANGE_4OP_LO] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[RANGE_4OP_LO] := dialog_text+(dialog_title SHL 8); - If (pos in [84,88,92,96,100,104,108,112,116,120, - 124,128,132,136,140,144,148,152,156,160]) then - attr[84] := dialog_background+dialog_hi_text - else attr[84] := dialog_background+dialog_text; - - If (pos in [85,89,93,97,101,105,109,113,117,121, - 125,129,133,137,141,145,149,153,157,161]) then - attr[85] := dialog_background+dialog_hi_text - else attr[85] := dialog_background+dialog_text; - - If (pos in [86,90,94,98,102,106,110,114,118,122, - 126,130,134,138,142,146,150,154,158,162]) then - attr[86] := dialog_background+dialog_hi_text - else attr[86] := dialog_background+dialog_text; - - If (pos in [87,91,95,99,103,107,111,115,119,123, - 127,131,135,139,143,147,151,155,159,163]) then - attr[87] := dialog_background+dialog_hi_text - else attr[87] := dialog_background+dialog_text; + If (pos in RANGE_LCK) and (pos MOD 4 = 0) then + attr[RANGE_LCK_LO] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[RANGE_LCK_LO] := dialog_text+(dialog_title SHL 8); + + If (pos in RANGE_LCK) and (pos MOD 4 = 1) then + attr[RANGE_LCK_LO+1] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[RANGE_LCK_LO+1] := dialog_text+(dialog_title SHL 8); + + If (pos in RANGE_LCK) and (pos MOD 4 = 2) then + attr[RANGE_LCK_LO+2] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[RANGE_LCK_LO+2] := dialog_text+(dialog_title SHL 8); + + If (pos in RANGE_LCK) and (pos MOD 4 = 3) then + attr[RANGE_LCK_LO+3] := dialog_hi_text+(dialog_hi_text SHL 8) + else attr[RANGE_LCK_LO+3] := dialog_text+(dialog_title SHL 8); + + ShowCStr(ptr_temp_screen,xstart+34,ystart+12, + #4#3' TRAC~K~S '#4#3'+', + dialog_background+LO(attr[RANGE_4OP_LO]), + dialog_background+HI(attr[RANGE_4OP_LO])); - ShowStr(ptr_temp_screen,xstart+34,ystart+12,#4#3' TRACKS '#4#3'+',attr[78]); For temp := 1 to 6 do If (songdata.flag_4op OR (1 SHL PRED(temp)) = songdata.flag_4op) then ShowC3Str(ptr_temp_screen,xstart+34,ystart+13+temp-1, @@ -3909,21 +4011,45 @@ dialog_background+dialog_item, dialog_background+dialog_item_dis); - ShowStr(ptr_temp_screen,xstart+51,ystart+4, - 'PANNiNG', - attr[18]); + ShowCStr(ptr_temp_screen,xstart+51,ystart+4, + 'PANNiN~G~', + dialog_background+LO(attr[RANGE_PAN_LO]), + dialog_background+HI(attr[RANGE_PAN_LO])); ShowStr(ptr_temp_screen,xstart+51,ystart+5, #170' c '#171, - attr[18]); + dialog_background+LO(attr[18])); - ShowVStr(ptr_temp_screen,xstart+64,ystart+4,'M'#31,attr[84]); - ShowVStr(ptr_temp_screen,xstart+68,ystart+4,'C'#31,attr[85]); - ShowVStr(ptr_temp_screen,xstart+72,ystart+4,'V'#31,attr[86]); - ShowVStr(ptr_temp_screen,xstart+76,ystart+4,'P'#31,attr[87]); - ShowVStr(ptr_temp_screen,xstart+65,ystart+4,#10, attr[84]); - ShowVStr(ptr_temp_screen,xstart+69,ystart+4,#10, attr[85]); - ShowVStr(ptr_temp_screen,xstart+73,ystart+4,'+', attr[86]); - ShowVStr(ptr_temp_screen,xstart+77,ystart+4,'+', attr[87]); + ShowVCStr(ptr_temp_screen,xstart+64,ystart+4, + '~M~'#31, + dialog_background+LO(attr[RANGE_LCK_LO]), + dialog_background+HI(attr[RANGE_LCK_LO])); + ShowVStr(ptr_temp_screen,xstart+65,ystart+4, + #10, + dialog_background+LO(attr[RANGE_LCK_LO])); + + ShowVCStr(ptr_temp_screen,xstart+68,ystart+4, + '~C~'#31, + dialog_background+LO(attr[RANGE_LCK_LO+1]), + dialog_background+HI(attr[RANGE_LCK_LO+1])); + ShowVStr(ptr_temp_screen,xstart+69,ystart+4, + #10, + dialog_background+LO(attr[RANGE_LCK_LO+1])); + + ShowVCStr(ptr_temp_screen,xstart+72,ystart+4, + '~V~'#31, + dialog_background+LO(attr[RANGE_LCK_LO+2]), + dialog_background+HI(attr[RANGE_LCK_LO+2])); + ShowVStr(ptr_temp_screen,xstart+73,ystart+4, + '+', + dialog_background+LO(attr[RANGE_LCK_LO+2])); + + ShowVCStr(ptr_temp_screen,xstart+76,ystart+4, + '~P~'#31, + dialog_background+LO(attr[RANGE_LCK_LO+3]), + dialog_background+HI(attr[RANGE_LCK_LO+3])); + ShowVStr(ptr_temp_screen,xstart+77,ystart+4, + '+', + dialog_background+LO(attr[RANGE_LCK_LO+3])); temps := ''; For temp := 1 to 6 do @@ -3935,7 +4061,7 @@ ShowVStr(ptr_temp_screen,xstart+46,ystart+13, temps, dialog_background++dialog_item); - + For temp := 1 to 20 do If (temp <= songdata.nm_tracks) then begin @@ -3956,7 +4082,7 @@ voice_pan_str[3]+' '+ExpStrL(Num2str(temp,10),2,' ')+ ' '#250' '#246' '#250' '#246' '#250' '#246' '#250, dialog_background+dialog_hid); - + temps := ''; For temp := 1 to songdata.nm_tracks do If percussion_mode and (temp in [16..20]) then temps := temps+_perc_char[temp-15] @@ -3990,30 +4116,45 @@ ShowVStr(ptr_temp_screen,xstart+50,ystart+6, ExpStrR(temps,20,' '), - dialog_background+dialog_context_dis); + dialog_background+dialog_misc_indic); - ShowStr(ptr_temp_screen,xstart+2,ystart+1, - 'SONGNAME', - attr[1]); - ShowStr(ptr_temp_screen,xstart+2,ystart+3, - 'COMPOSER', - attr[2]); - ShowStr(ptr_temp_screen,xstart+2,ystart+9, - 'SONG TEMPO', - attr[3]); - ShowStr(ptr_temp_screen,xstart+2,ystart+10, - 'SONG SPEED', - attr[4]+attr[5]); + ShowCStr(ptr_temp_screen,xstart+2,ystart+1, + 'SONG~N~AME', + dialog_background+LO(attr[1]), + dialog_background+HI(attr[1])); + + ShowCStr(ptr_temp_screen,xstart+2,ystart+3, + 'COMPOS~E~R', + dialog_background+LO(attr[2]), + dialog_background+HI(attr[2])); + + ShowCStr(ptr_temp_screen,xstart+2,ystart+9, + 'SONG ~T~EMPO', + dialog_background+LO(attr[3]), + dialog_background+HI(attr[3])); + + ShowCStr(ptr_temp_screen,xstart+2,ystart+10, + 'SONG ~S~PEED', + dialog_background+LO(attr[4])+LO(attr[5]), + dialog_background+HI(attr[4])+HI(attr[5])); - ShowCStr(ptr_temp_screen,xstart+26,ystart+10, - '[ ] ~RESET~', - dialog_background+dialog_text, - attr[4]+attr[5]); + ShowC3Str(ptr_temp_screen,xstart+26,ystart+10, + '[ ] ~`R`ESET~', + dialog_background+dialog_text, + dialog_background+LO(attr[4])+LO(attr[5]), + dialog_background+HI(attr[4])+HI(attr[5])); + + ShowC3Str(ptr_temp_screen,xstart+2,ystart+11, + '~MACRO`D`EF.~ '#7, + dialog_background+dialog_text, + dialog_background+LO(attr[17]), + dialog_background+HI(attr[17])); - ShowCStr(ptr_temp_screen,xstart+2,ystart+11, - '~MACRODEF.~ '#7, + ShowCStr(ptr_temp_screen, + xstart+31,ystart+7, + 'RHYTHM: ~'+ExpStrL(Bpm2str(calc_bpm_speed(songdata.tempo,songdata.speed,mark_line))+' BPM',9,' '), dialog_background+dialog_text, - attr[17]); + dialog_background+dialog_misc_indic); If speed_update then ShowStr(ptr_temp_screen,xstart+27,ystart+10, @@ -4023,18 +4164,21 @@ ' ', dialog_background+dialog_item); - ShowCStr(ptr_temp_screen,xstart+2,ystart+13, - '[ ] ~TRACK VOLUME LOCK~', - dialog_background+dialog_text, - attr[6]); - ShowCStr(ptr_temp_screen,xstart+2,ystart+14, - '[ ] ~TRACK PANNiNG LOCK~', - dialog_background+dialog_text, - attr[7]); - ShowCStr(ptr_temp_screen,xstart+2,ystart+15, - '[ ] ~VOLUME PEAK LOCK~', - dialog_background+dialog_text, - attr[8]); + ShowC3Str(ptr_temp_screen,xstart+2,ystart+13, + '[ ] ~TRACK VOLUME L`O`CK~', + dialog_background+dialog_text, + dialog_background+LO(attr[6]), + dialog_background+HI(attr[6])); + ShowC3Str(ptr_temp_screen,xstart+2,ystart+14, + '[ ] ~TRACK PANN`i`NG LOCK~', + dialog_background+dialog_text, + dialog_background+LO(attr[7]), + dialog_background+HI(attr[7])); + ShowC3Str(ptr_temp_screen,xstart+2,ystart+15, + '[ ] ~VOLUME PE`A`K LOCK~', + dialog_background+dialog_text, + dialog_background+LO(attr[8]), + dialog_background+HI(attr[8])); If lockvol then ShowStr(ptr_temp_screen,xstart+3,ystart+13,#251,dialog_background+dialog_item) else ShowStr(ptr_temp_screen,xstart+3,ystart+13,' ',dialog_background+dialog_item); @@ -4045,8 +4189,10 @@ If lockVP then ShowStr(ptr_temp_screen,xstart+3,ystart+15,#251,dialog_background+dialog_item) else ShowStr(ptr_temp_screen,xstart+3,ystart+15,' ',dialog_background+dialog_item); - ShowStr(ptr_temp_screen,xstart+2,ystart+17, - 'TREMOLO DEPTH',attr[9]+attr[10]); + ShowCStr(ptr_temp_screen,xstart+2,ystart+17, + 'TREMO~L~O DEPTH', + dialog_background+LO(attr[9])+LO(attr[10]), + dialog_background+HI(attr[9])+HI(attr[10])); ShowStr(ptr_temp_screen,xstart+2,ystart+18, '( ) 1 dB',dialog_background+dialog_text); @@ -4056,8 +4202,10 @@ If (tremolo_depth = 0) then ShowVStr(ptr_temp_screen,xstart+3,ystart+18,#11' ',dialog_background+dialog_item) else ShowVStr(ptr_temp_screen,xstart+3,ystart+18,' '#11,dialog_background+dialog_item); - ShowStr(ptr_temp_screen,xstart+18,ystart+17, - 'ViBRATO DEPTH',attr[11]+attr[12]); + ShowCStr(ptr_temp_screen,xstart+18,ystart+17, + 'Vi~B~RATO DEPTH', + dialog_background+LO(attr[11])+LO(attr[12]), + dialog_background+HI(attr[11])+HI(attr[12])); ShowStr(ptr_temp_screen,xstart+18,ystart+18, '( ) 7%',dialog_background+dialog_text); @@ -4067,20 +4215,29 @@ If (vibrato_depth = 0) then ShowVStr(ptr_temp_screen,xstart+19,ystart+18,#11' ',dialog_background+dialog_item) else ShowVStr(ptr_temp_screen,xstart+19,ystart+18,' '#11,dialog_background+dialog_item); - ShowStr(ptr_temp_screen,xstart+2,ystart+21, - 'PATTERN LENGTH',attr[13]); - ShowStr(ptr_temp_screen,xstart+2,ystart+22, - 'NUMBER OF TRACKS',attr[14]); - - ShowCStr(ptr_temp_screen,xstart+2,ystart+24, - '[ ] ~PERCUSSiON TRACK EXTENSiON ('#160','#161','#162','#163','#164')~', - dialog_background+dialog_text,attr[15]); + ShowCStr(ptr_temp_screen,xstart+2,ystart+21, + 'PATTERN LENGT~H~', + dialog_background+LO(attr[13]), + dialog_background+HI(attr[13])); + ShowCStr(ptr_temp_screen,xstart+2,ystart+22, + 'NUMBER O~F~ TRACKS', + dialog_background+LO(attr[14]), + dialog_background+HI(attr[14])); + + ShowC3Str(ptr_temp_screen,xstart+2,ystart+24, + '[ ] ~PERCUSSiON TRACK E`X`TENSiON ('#160','#161','#162','#163','#164')~', + dialog_background+dialog_text, + dialog_background+LO(attr[15]), + dialog_background+HI(attr[15])); If percussion_mode then ShowStr(ptr_temp_screen,xstart+3,ystart+24,#251,dialog_background+dialog_item) else ShowStr(ptr_temp_screen,xstart+3,ystart+24,' ',dialog_background+dialog_item); - ShowCStr(ptr_temp_screen,xstart+2,ystart+25, - '[ ] ~VOLUME SCALiNG~',dialog_background+dialog_text,attr[16]); + ShowC3Str(ptr_temp_screen,xstart+2,ystart+25, + '[ ] ~VOL`U`ME SCALiNG~', + dialog_background+dialog_text, + dialog_background+LO(attr[16]), + dialog_background+HI(attr[16])); If volume_scaling then ShowStr(ptr_temp_screen,xstart+3,ystart+25, @@ -4132,6 +4289,7 @@ dialog_background+dialog_text); move2screen_alt; + _song_variables_pos := pos; Case pos of 1: begin is_setting.character_set := [#32..#255]; @@ -4140,11 +4298,14 @@ dialog_input_bckg+dialog_input, dialog_def_bckg+dialog_def); songdata.songname := truncate_string(temps); + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or (is_environment.keystroke = kTAB) or (is_environment.keystroke = kDOWN) then pos := 2 else If (is_environment.keystroke = kUP) then pos := 16 - else If (is_environment.keystroke = kShTAB) then pos := 87; + else If (is_environment.keystroke = kShTAB) then + If (_old_pos_lck in RANGE_LCK) then pos := RANGE_LCK_LO+3+((_old_pos_lck-RANGE_LCK_LO) DIV 4)*4 + else pos := RANGE_LCK_LO+3; end; 2: begin @@ -4154,15 +4315,20 @@ dialog_input_bckg+dialog_input, dialog_def_bckg+dialog_def); songdata.composer := truncate_string(temps); + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or - (is_environment.keystroke = kTAB) then pos := 3 - else If (is_environment.keystroke = kDOWN) then pos := 18 - else If (is_environment.keystroke = kUP) or - (is_environment.keystroke = kShTAB) then pos := 1; + (is_environment.keystroke = kTAB) or + (is_environment.keystroke = kDOWN) then pos := 3 + else If (is_environment.keystroke = kUP) or + (is_environment.keystroke = kShTAB) then pos := 1; end; 3: begin - is_setting.character_set := ['0'..'9']; + is_setting.character_set := DEC_NUM_CHARSET; + is_environment.ext_proc := _show_current_bpm_with_hints; + is_environment.min_num := 1; + is_environment.max_num := 255; + Repeat temps := InputStr(Num2str(songdata.tempo,10), xstart+13,ystart+9,3,3, @@ -4171,21 +4337,31 @@ until (is_environment.keystroke = kESC) or ((Str2num(temps,10) > 0) and (Str2num(temps,10) < 256)); + is_environment.ext_proc := NIL; If ((Str2num(temps,10) > 0) and (Str2num(temps,10) < 256)) then - songdata.tempo := Str2num(temps,10); + begin + songdata.tempo := Str2num(temps,10); + If (play_status = isStopped) then + tempo := songdata.tempo; + end; If (calc_max_speedup(songdata.tempo) < songdata.macro_speedup) then songdata.macro_speedup := calc_max_speedup(songdata.tempo); + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or (is_environment.keystroke = kTAB) or (is_environment.keystroke = kDOWN) then pos := 4 - else If (is_environment.keystroke = kUP) then pos := 18+3*(max(3,songdata.nm_tracks)-1) - else If (is_environment.keystroke = kShTAB) then pos := 2; + else If (is_environment.keystroke = kUP) or + (is_environment.keystroke = kShTAB) then pos := 2; end; 4: begin - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; + is_environment.ext_proc := _show_current_bpm_with_hints; + is_environment.min_num := 1; + is_environment.max_num := 255; + Repeat temps := InputStr(Num2str(songdata.speed,16), xstart+13,ystart+10,2,2, @@ -4194,9 +4370,15 @@ until (is_environment.keystroke = kESC) or (Str2num(temps,16) in [1..255]); + is_environment.ext_proc := NIL; If (Str2num(temps,16) in [1..255]) then - songdata.speed := Str2num(temps,16); + begin + songdata.speed := Str2num(temps,16); + If (play_status = isStopped) then + speed := songdata.speed; + end; + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or (is_environment.keystroke = kTAB) then pos := 5 else If (is_environment.keystroke = kDOWN) then pos := 17 @@ -4208,6 +4390,7 @@ GotoXY(xstart+27,ystart+10); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 3; kLEFT,kShTAB: pos := 4; @@ -4218,7 +4401,9 @@ end; 17: begin - is_setting.character_set := ['0'..'9']; + is_setting.character_set := DEC_NUM_CHARSET; + is_environment.min_num := 1; + is_environment.max_num := calc_max_speedup(songdata.tempo); Repeat temps := InputStr(Num2str(songdata.macro_speedup,10), xstart+13,ystart+11,4,4, @@ -4232,24 +4417,24 @@ (Str2num(temps,10) <= calc_max_speedup(songdata.tempo))) then songdata.macro_speedup := Str2num(temps,10); + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or - (is_environment.keystroke = kTAB) then pos := 6 + (is_environment.keystroke = kTAB) or + (is_environment.keystroke = kDOWN) then pos := 6 else If (is_environment.keystroke = kUP) then pos := 4 - else If (is_environment.keystroke = kDOWN) then - If (songdata.nm_tracks < 7) then pos := 6 - else pos := 36 - else If (is_environment.keystroke = kShTAB) then pos := 5; + else If (is_environment.keystroke = kShTAB) then pos := 5; end; 6: begin GotoXY(xstart+3,ystart+13); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of - kUP,kLEFT: If (songdata.nm_tracks < 7) then pos := 17 else pos := 111; - kShTAB: pos := 17; + kLEFT: If (songdata.nm_tracks < 7) then pos := 17 else pos := 111; + kUP,kShTAB: pos := 17; kDOWN,kTAB,kENTER: pos := 7; - kRIGHT: pos := 78; + kRIGHT: pos := _old_pos_4op; kSPACE: lockvol := NOT lockvol; end; end; @@ -4258,6 +4443,7 @@ GotoXY(xstart+3,ystart+14); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP,kShTAB: pos := 6; kLEFT: If (songdata.nm_tracks < 8) then pos := 78 else pos := 115; @@ -4272,6 +4458,7 @@ GotoXY(xstart+3,ystart+15); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 7; kLEFT: If (songdata.nm_tracks < 9) then pos := 79 else pos := 119; @@ -4287,6 +4474,7 @@ GotoXY(xstart+3,ystart+18); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 8; kLEFT: If (songdata.nm_tracks < 12) then pos := 82 else pos := 131; @@ -4301,10 +4489,11 @@ GotoXY(xstart+3,ystart+19); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 9; kShTAB: pos := 8; - kDOWN: If (songdata.nm_tracks < 15) then pos := 13 else pos := 60; + kDOWN: pos := 13; kTAB,kENTER: If (vibrato_depth = 0) then pos := 11 else pos := 12; kLEFT: If (songdata.nm_tracks < 13) then pos := 83 else pos := 135; kRIGHT: pos := 12; @@ -4316,6 +4505,7 @@ GotoXY(xstart+19,ystart+18); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 8; kShTAB: If (tremolo_depth = 0) then pos := 9 else pos := 10; @@ -4331,11 +4521,11 @@ GotoXY(xstart+19,ystart+19); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 11; kShTAB: pos := 9; - kDOWN: If (songdata.nm_tracks < 15) then pos := 13 else pos := 60; - kTAB,kENTER: pos := 13; + kDOWN,kTAB,kENTER: pos := 13; kLEFT: pos := 10; kRIGHT: If (songdata.nm_tracks < 14) then pos := 13 else pos := 57; kSPACE: vibrato_depth := 1; @@ -4343,7 +4533,9 @@ end; 13: begin - is_setting.character_set := ['0'..'9']; + is_setting.character_set := DEC_NUM_CHARSET; + is_environment.min_num := 1; + is_environment.max_num := 256; Repeat temps := InputStr(Num2str(songdata.patt_len,10), xstart+19,ystart+21,3,3, @@ -4378,18 +4570,19 @@ force_scrollbars := FALSE; end; + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or (is_environment.keystroke = kTAB) or (is_environment.keystroke = kDOWN) then pos := 14 - else If (is_environment.keystroke = kUP) then - If (songdata.nm_tracks < 15) then pos := 10 - else pos := 60 + else If (is_environment.keystroke = kUP) then pos := 12 else If (is_environment.keystroke = kShTAB) then If (vibrato_depth = 0) then pos := 11 else pos := 12; end; 14: begin - is_setting.character_set := ['0'..'9']; + is_setting.character_set := DEC_NUM_CHARSET; + is_environment.min_num := 1; + is_environment.max_num := 20; Repeat temps := InputStr(Num2str(songdata.nm_tracks,10), xstart+19,ystart+22,2,2, @@ -4466,24 +4659,23 @@ force_scrollbars := FALSE; end; + _check_key_shortcuts; If (is_environment.keystroke = kENTER) or - (is_environment.keystroke = kTAB) then pos := 15 - else If (is_environment.keystroke = kDOWN) then - If (songdata.nm_tracks < 18) then pos := 15 - else pos := 69 - else If (is_environment.keystroke = kUP) or - (is_environment.keystroke = kShTAB) then pos := 13; + (is_environment.keystroke = kTAB) or + (is_environment.keystroke = kDOWN) then pos := 15 + else If (is_environment.keystroke = kUP) or + (is_environment.keystroke = kShTAB) then pos := 13; end; 15: begin GotoXY(xstart+3,ystart+24); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of - kUP: If (songdata.nm_tracks < 18) then pos := 14 else pos := 69; kLEFT: If (songdata.nm_tracks < 18) then pos := 14 else pos := 155; kRIGHT: If (songdata.nm_tracks < 19) then pos := 16 else pos := 72; - kShTAB: pos := 14; + kUP,kShTAB: pos := 14; kDOWN,kTAB,kENTER: pos := 16; end; @@ -4521,137 +4713,153 @@ GotoXY(xstart+3,ystart+25); ThinCursor; is_environment.keystroke := getkey; + _check_key_shortcuts; Case is_environment.keystroke of kUP: pos := 15; kLEFT: If (songdata.nm_tracks < 19) then pos := 15 else pos := 159; kRIGHT: If (songdata.nm_tracks < 20) then pos := 1 else pos := 75; kShTAB: pos := 15; kDOWN: pos := 1; - kTAB,kENTER: pos := 78; + kTAB,kENTER: begin + pos := _old_pos_4op; + pos_4op := 0; + end; kSPACE: volume_scaling := NOT volume_scaling; end; end; - 18.. - 77: begin - GotoXY(xstart+51+(pos-17-1) MOD 3*3,ystart+6+(pos-17-1) DIV 3); - ThinCursor; - is_environment.keystroke := getkey; - Case is_environment.keystroke of - kLEFT: pos := _left_pos_pan[pos-17]; - kRIGHT: pos := _right_pos_pan[pos-17]; - kUP: pos := _up_pos_pan[pos-17]; - kDOWN,kENTER: pos := _down_pos_pan[pos-17]; - kShTAB: pos := 78; - kTAB: pos := 84; - kSPACE: begin - songdata.lock_flags[SUCC((pos-17-1) DIV 3)] := - songdata.lock_flags[SUCC((pos-17-1) DIV 3)] AND NOT 3+ - _pan_pos[(pos-17-1) MOD 3]; - panlock := TRUE; - end; - end; - end; + RANGE_PAN_LO..RANGE_PAN_HI: + begin + GotoXY(xstart+51+(pos-RANGE_PAN_LO) MOD 3*3,ystart+6+(pos-RANGE_PAN_LO) DIV 3); + ThinCursor; + is_environment.keystroke := getkey; + _old_pos_pan := pos; + _check_key_shortcuts; + Case is_environment.keystroke of + kLEFT: pos := _left_pos_pan[pos-RANGE_PAN_LO+1]; + kRIGHT: pos := _right_pos_pan[pos-RANGE_PAN_LO+1]; + kUP: If ((pos-RANGE_PAN_LO) DIV 3 > 0) then Dec(pos,3) + else pos := pos+PRED(songdata.nm_tracks)*3; + kDOWN: If ((pos-RANGE_PAN_LO) DIV 3 < PRED(songdata.nm_tracks)) then Inc(pos,3) + else pos := 18+(pos-RANGE_PAN_LO) MOD 3; + kShTAB: begin + pos := _old_pos_4op; + pos_4op := 0; + end; + kTAB,kENTER: pos := RANGE_LCK_LO+(pos-RANGE_PAN_LO) DIV 3*4; + kSPACE: begin + songdata.lock_flags[SUCC((pos-RANGE_PAN_LO) DIV 3)] := + songdata.lock_flags[SUCC((pos-RANGE_PAN_LO) DIV 3)] AND NOT 3+ + _pan_pos[(pos-RANGE_PAN_LO) MOD 3]; + panlock := TRUE; + end; + end; + end; - 78.. - 83: begin - If (pos_4op <> 0) and NOT (songdata.flag_4op OR (1 SHL PRED(pos-77)) = songdata.flag_4op) then - pos_4op := 0; - GotoXY(xstart+35+pos_4op*11,ystart+13+pos-78); - ThinCursor; - is_environment.keystroke := getkey; - Case is_environment.keystroke of - kLEFT: If (pos_4op <> 0) then pos_4op := 0 - else pos := _left_pos_4op[pos-77]; - kRIGHT: If (pos_4op <> 1) and (songdata.flag_4op OR (1 SHL PRED(pos-77)) = songdata.flag_4op) then pos_4op := 1 - else pos := _right_pos_4op[pos-77]; - kUP: pos := _up_pos_4op[pos-77]; - kDOWN: pos := _down_pos_4op[pos-77]; - kShTAB: pos := 16; - kTAB,kENTER: pos := 18; - end; + RANGE_4OP_LO..RANGE_4OP_HI: + begin + If (pos_4op <> 0) and NOT (songdata.flag_4op OR (1 SHL PRED(pos-RANGE_4OP_LO+1)) = songdata.flag_4op) then + pos_4op := 0; + GotoXY(xstart+35+pos_4op*11,ystart+13+pos-RANGE_4OP_LO); + ThinCursor; + is_environment.keystroke := getkey; + _old_pos_4op := pos; + _check_key_shortcuts; + Case is_environment.keystroke of + kLEFT: If (pos_4op <> 0) then pos_4op := 0 + else pos := _left_pos_4op[pos-RANGE_4OP_LO+1]; + kRIGHT: If (pos_4op <> 1) and (songdata.flag_4op OR (1 SHL PRED(pos-RANGE_4OP_LO+1)) = songdata.flag_4op) then pos_4op := 1 + else pos := _right_pos_4op[pos-RANGE_4OP_LO+1]; + kUP: If (pos > 78) then pos := _up_pos_4op[pos-RANGE_4OP_LO+1] + else pos := 17; + kDOWN: pos := _down_pos_4op[pos-RANGE_4OP_LO+1]; + kShTAB: pos := 16; + kTAB,kENTER: If (_old_pos_pan in RANGE_PAN) then pos := _old_pos_pan + else pos := 19; + end; - If (is_environment.keystroke = kSPACE) then - Case pos_4op of - 0: If (songdata.flag_4op OR (1 SHL PRED(pos-77)) <> songdata.flag_4op) then - begin - reset_player; - Case (pos-77) of - 1: songdata.nm_tracks := min(songdata.nm_tracks,2); - 2: songdata.nm_tracks := min(songdata.nm_tracks,4); - 3: songdata.nm_tracks := min(songdata.nm_tracks,6); - 4: songdata.nm_tracks := min(songdata.nm_tracks,11); - 5: songdata.nm_tracks := min(songdata.nm_tracks,13); - 6: songdata.nm_tracks := min(songdata.nm_tracks,15); - end; - songdata.flag_4op := songdata.flag_4op OR (1 SHL PRED(pos-77)); - reset_player; - If (play_status = isStopped) then init_buffers; - end - else - begin - reset_player; - songdata.flag_4op := songdata.flag_4op AND NOT (1 SHL PRED(pos-77)); - reset_player; - If (play_status = isStopped) then init_buffers; - end; + If (is_environment.keystroke = kSPACE) then + Case pos_4op of + 0: If (songdata.flag_4op OR (1 SHL PRED(pos-RANGE_4OP_LO+1)) <> songdata.flag_4op) then + begin + reset_player; + Case (pos-RANGE_4OP_LO+1) of + 1: songdata.nm_tracks := min(songdata.nm_tracks,2); + 2: songdata.nm_tracks := min(songdata.nm_tracks,4); + 3: songdata.nm_tracks := min(songdata.nm_tracks,6); + 4: songdata.nm_tracks := min(songdata.nm_tracks,11); + 5: songdata.nm_tracks := min(songdata.nm_tracks,13); + 6: songdata.nm_tracks := min(songdata.nm_tracks,15); + end; + songdata.flag_4op := songdata.flag_4op OR (1 SHL PRED(pos-RANGE_4OP_LO+1)); + reset_player; + If (play_status = isStopped) then init_buffers; + end + else + begin + reset_player; + songdata.flag_4op := songdata.flag_4op AND NOT (1 SHL PRED(pos-RANGE_4OP_LO+1)); + reset_player; + If (play_status = isStopped) then init_buffers; + end; - 1: begin - songdata.lock_flags[_4op_main_chan[pos-77]] := songdata.lock_flags[_4op_main_chan[pos-77]] XOR $40; - songdata.lock_flags[PRED(_4op_main_chan[pos-77])] := songdata.lock_flags[PRED(_4op_main_chan[pos-77])] XOR $40; - end; - end; + 1: begin + songdata.lock_flags[_4op_main_chan[pos-RANGE_4OP_LO+1]] := songdata.lock_flags[_4op_main_chan[pos-RANGE_4OP_LO+1]] XOR $40; + songdata.lock_flags[PRED(_4op_main_chan[pos-RANGE_4OP_LO+1])] := songdata.lock_flags[PRED(_4op_main_chan[pos-RANGE_4OP_LO+1])] XOR $40; + end; + end; - force_scrollbars := TRUE; - PATTERN_ORDER_page_refresh(pattord_page); - PATTERN_page_refresh(pattern_page); - force_scrollbars := FALSE; - end; + force_scrollbars := TRUE; + PATTERN_ORDER_page_refresh(pattord_page); + PATTERN_page_refresh(pattern_page); + force_scrollbars := FALSE; + end; - 84.. - 163: begin - GotoXY(xstart+64+(pos-83-1) MOD 4*4,ystart+6+(pos-83-1) DIV 4); - ThinCursor; - is_environment.keystroke := getkey; - Case is_environment.keystroke of - kLEFT: pos := _left_pos_lck[pos-83]; - kRIGHT: pos := _right_pos_lck[pos-83]; - kUP: pos := _up_pos_lck[pos-83]; - kDOWN,kENTER: pos := _down_pos_lck[pos-83]; - kShTAB: Case (pos-83-1) MOD 4 of - 0: pos := 18; - 1: pos := 84; - 2: pos := 85; - 3: pos := 86; - end; + RANGE_LCK_LO..RANGE_LCK_HI: + begin + GotoXY(xstart+64+(pos-RANGE_LCK_LO) MOD 4*4,ystart+6+(pos-RANGE_LCK_LO) DIV 4); + ThinCursor; + is_environment.keystroke := getkey; + _old_pos_lck := pos; + _check_key_shortcuts; + Case is_environment.keystroke of + kLEFT: pos := _left_pos_lck[pos-RANGE_LCK_LO+1]; + kRIGHT: pos := _right_pos_lck[pos-RANGE_LCK_LO+1]; + kUP: If ((pos-RANGE_LCK_LO) DIV 4 > 0) then Dec(pos,4) + else pos := RANGE_LCK_LO+PRED(songdata.nm_tracks)*4+(pos-RANGE_LCK_LO) MOD 4; + kDOWN: If ((pos-RANGE_LCK_LO) DIV 4 < PRED(songdata.nm_tracks)) then Inc(pos,4) + else pos := RANGE_LCK_LO+(pos-RANGE_LCK_LO) MOD 4; + kShTAB: Case (pos-RANGE_LCK_LO) MOD 4 of + 0: pos := RANGE_PAN_LO+1+(pos-RANGE_LCK_LO) DIV 4*3; + else Dec(pos); + end; - kTAB: Case (pos-83-1) MOD 4 of - 0: pos := 85; - 1: pos := 86; - 2: pos := 87; - 3: pos := 1; - end; + kTAB, + kENTER: Case (pos-RANGE_LCK_LO) MOD 4 of + 3: pos := 1; + else Inc(pos); + end; - kSPACE: Case (pos-83-1) MOD 4 of - 0: songdata.lock_flags[SUCC((pos-83-1) DIV 4)] := - songdata.lock_flags[SUCC((pos-83-1) DIV 4)] XOR 8; - 1: songdata.lock_flags[SUCC((pos-83-1) DIV 4)] := - songdata.lock_flags[SUCC((pos-83-1) DIV 4)] XOR 4; - - 2: begin - songdata.lock_flags[SUCC((pos-83-1) DIV 4)] := - songdata.lock_flags[SUCC((pos-83-1) DIV 4)] XOR $10; - lockvol := TRUE; - end; + kSPACE: Case (pos-RANGE_LCK_LO) MOD 4 of + 0: songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] := + songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] XOR 8; + 1: songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] := + songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] XOR 4; + + 2: begin + songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] := + songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] XOR $10; + lockvol := TRUE; + end; - 3: begin - songdata.lock_flags[SUCC((pos-83-1) DIV 4)] := - songdata.lock_flags[SUCC((pos-83-1) DIV 4)] XOR $20; - lockVP := TRUE; - end; - end; - end; - end; + 3: begin + songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] := + songdata.lock_flags[SUCC((pos-RANGE_LCK_LO) DIV 4)] XOR $20; + lockVP := TRUE; + end; + end; + end; + end; end; _end: {$IFDEF GO32V2} @@ -4661,18 +4869,19 @@ draw_screen; {$ENDIF} until (is_environment.keystroke = kESC) or - (is_environment.keystroke = kF1); + (is_environment.keystroke = kF1) or + (is_environment.keystroke = kCtENTR); If (nm_track_chan > songdata.nm_tracks) then nm_track_chan := songdata.nm_tracks; songdata.common_flag := BYTE(speed_update)+BYTE(lockvol) SHL 1+ - BYTE(lockVP) SHL 2+ - tremolo_depth SHL 3+ - vibrato_depth SHL 4+ - BYTE(panlock) SHL 5+ - BYTE(percussion_mode) SHL 6+ - BYTE(volume_scaling) SHL 7; + BYTE(lockVP) SHL 2+ + tremolo_depth SHL 3+ + vibrato_depth SHL 4+ + BYTE(panlock) SHL 5+ + BYTE(percussion_mode) SHL 6+ + BYTE(volume_scaling) SHL 7; If (Update32(songdata,SizeOf(songdata),0) <> songdata_crc) then module_archived := FALSE; @@ -4694,11 +4903,22 @@ move_to_screen_area[4] := ystart+26+1; move2screen; + _IRQFREQ_update_event := FALSE; + _show_bpm_realtime_proc := old_bpm_proc; + is_environment.min_num := 1; + is_environment.max_num := SizeOf(DWORD); + If (is_environment.keystroke = kF1) then begin HELP('song_variables'); GOTO _jmp1; end; + + If (is_environment.keystroke = kCtENTR) then + begin + LINE_MARKING_SETUP; + GOTO _jmp1; + end; end; procedure NUKE; @@ -4796,6 +5016,9 @@ stop_playing; tempo := init_tempo; speed := init_speed; + mark_line := 4; + IRQ_freq_shift := 0; + playback_speed_shift := 0; init_songdata; POSITIONS_reset; songdata_title := 'noname.'; @@ -4937,7 +5160,7 @@ is_setting.insert_mode := is_environment.insert_mode; is_environment.locate_pos := hpos; - is_setting.character_set := [#32..#255]; + is_setting.character_set := [#32..#255]; p_mb^.data[vpos] := InputStr(p_mb^.data[vpos],xstart+2, ystart+1+vpos-1,MB_HSIZE,MB_HSIZE, @@ -5273,6 +5496,53 @@ end; end; +procedure show_progress(value,refresh_dif: Longint); +begin +{$IFDEF GO32V2} + _last_debug_str_ := _debug_str_; + _debug_str_ := 'ADT2EXTN.PAS:show_progress'; +{$ENDIF} + If (progress_num_steps = 0) or + (progress_value = 0) then + EXIT; + If (value <> DWORD_NULL) then + begin + If (progress_num_steps = 1) then + progress_new_value := Round(40/progress_value*value) + else progress_new_value := + Round(40/progress_num_steps*PRED(progress_step)+ + 40/progress_num_steps/progress_value*value); + progress_new_value := max(progress_new_value,40); + If (Abs(progress_new_value-progress_old_value) >= refresh_dif) or + (progress_new_value = 40) then + begin + progress_old_value := progress_new_value; + ShowStr(screen_ptr,progress_xstart+35,progress_ystart-1, + ExpStrL(Num2Str(Round(100/40*progress_new_value),10)+'%',5,' '), + dialog_background+dialog_hi_text); + ShowCStr(screen_ptr, + progress_xstart,progress_ystart, + '~'+ExpStrL('',progress_new_value,#219)+'~'+ + ExpStrL('',40-progress_new_value,#219), + dialog_background+dialog_prog_bar1, + dialog_background+dialog_prog_bar2); + realtime_gfx_poll_proc; + draw_screen; + end; + end + else begin + ShowStr(screen_ptr,progress_xstart+35,progress_ystart-1, + ExpStrL('0%',5,' '), + dialog_background+dialog_hi_text); + ShowStr(screen_ptr, + progress_xstart,progress_ystart, + ExpStrL('',40,#219), + dialog_background+dialog_prog_bar1); + realtime_gfx_poll_proc; + draw_screen; + end; +end; + const last_dir: array[1..4] of String[DIR_SIZE] = ('','','',''); last_file: array[1..4] of String[FILENAME_SIZE] = ('FNAME:EXT','FNAME:EXT', @@ -5555,6 +5825,9 @@ percussion_mode := BOOLEAN(songdata.common_flag SHR 6 AND 1); volume_scaling := BOOLEAN(songdata.common_flag SHR 7 AND 1); + mark_line := songdata.bpm_data.rows_per_beat; + IRQ_freq_shift := songdata.bpm_data.tempo_finetune; + current_tremolo_depth := tremolo_depth; current_vibrato_depth := vibrato_depth; @@ -5821,6 +6094,10 @@ BYTE(panlock) SHL 5+ BYTE(percussion_mode) SHL 6+ BYTE(volume_scaling) SHL 7; + + songdata.bpm_data.rows_per_beat := mark_line; + songdata.bpm_data.tempo_finetune := IRQ_freq_shift; + header.ffver := FFVER_A2M; BlockWriteF(f,header,SizeOf(header),temp); If NOT (temp = SizeOf(header)) then @@ -6062,6 +6339,9 @@ BYTE(percussion_mode) SHL 6+ BYTE(volume_scaling) SHL 7; + songdata.bpm_data.rows_per_beat := mark_line; + songdata.bpm_data.tempo_finetune := IRQ_freq_shift; + header.patln := songdata.patt_len; header.nmtrk := songdata.nm_tracks; header.mcspd := songdata.macro_speedup; @@ -6104,8 +6384,11 @@ count_instruments(instruments); instruments := min(instruments,1); - Move(songdata.ins_4op_flags,buf2,SizeOf(songdata.ins_4op_flags)); - temp2 := SizeOf(songdata.ins_4op_flags); + temp2 := 0; + Move(songdata.bpm_data,buf2[temp2],SizeOf(songdata.bpm_data)); + Inc(temp2,SizeOf(songdata.bpm_data)); + Move(songdata.ins_4op_flags,buf2[temp2],SizeOf(songdata.ins_4op_flags)); + Inc(temp2,SizeOf(songdata.ins_4op_flags)); Move(songdata.reserved_data,buf2[temp2],SizeOf(songdata.reserved_data)); Inc(temp2,SizeOf(songdata.reserved_data)); Move(songdata.instr_data,buf2[temp2],instruments*SizeOf(songdata.instr_data[1])); diff -Nru adlibtracker2-2.4.23/adt2keyb.pas adlibtracker2-2.4.24/adt2keyb.pas --- adlibtracker2-2.4.23/adt2keyb.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2keyb.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2keyb; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -282,29 +297,23 @@ procedure keyboard_reset_buffer; begin -{$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2KEYB.PAS:keyboard_reset_buffer'; -{$ENDIF} MEMW[0:$041c] := MEMW[0:$041a]; end; procedure keyboard_reset_buffer_alt; begin -{$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2KEYB.PAS:keyboard_reset_buffer_alt'; -{$ENDIF} If (MEMW[0:$041c]-MEMW[0:$041a] > 5) then MEMW[0:$041c] := MEMW[0:$041a]; end; procedure wait_until_F11_F12_released; begin -{$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2KEYB.PAS:wait_until_key_released'; -{$ENDIF} Repeat realtime_gfx_poll_proc; draw_screen; @@ -338,10 +347,8 @@ end; begin -{$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2KEYB.PAS:screen_saver:fadeout'; -{$ENDIF} For depth := 1 to 32 do begin For index := 1 to 255 do @@ -367,10 +374,8 @@ begin -{$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2KEYB.PAS:screen_saver:fadein'; -{$ENDIF} For depth := 32 downto 1 do begin For index := 1 to 255 do @@ -385,10 +390,8 @@ end; begin -{$IFDEF GO32V2} _last_debug_str_ := _debug_str_; _debug_str_ := 'ADT2KEYB.PAS:screen_saver'; -{$ENDIF} If (ssaver_time = 0) then EXIT; fadeout; Repeat @@ -793,25 +796,17 @@ function LookUpKey(key: Word; var table; size: Byte): Boolean; var - result: Boolean; + idx: Byte; begin - asm - mov esi,[table] - xor ecx,ecx - mov cl,size - mov result,TRUE - jecxz @@3 -@@1: lodsw - cmp ax,key - jz @@2 - loop @@1 -@@2: mov result,FALSE - jecxz @@3 - mov result,TRUE -@@3: - end; - LookUpKey := result; + LookUpKey := FALSE; + If (size <> 0) then + For idx := 0 to PRED(size) do + If (pWord(@table)[idx] = key) then + begin + LookUpKey := TRUE; + BREAK; + end; end; end. diff -Nru adlibtracker2-2.4.23/adt2opl3.pas adlibtracker2-2.4.24/adt2opl3.pas --- adlibtracker2-2.4.23/adt2opl3.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2opl3.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2opl3; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -71,9 +86,7 @@ AdT2sys, TxtScrIO; -{$IFDEF GO32V2} procedure ___OPL3OUT_IRQ_CODE_START___; begin end; -{$ENDIF} var _opl_regs_cache: array[WORD] of Word; @@ -150,9 +163,7 @@ end; end; -{$IFDEF GO32V2} procedure ___OPL3OUT_IRQ_CODE_END___; begin end; -{$ENDIF} function detect_OPL3: Boolean; diff -Nru adlibtracker2-2.4.23/adt2pack.pas adlibtracker2-2.4.24/adt2pack.pas --- adlibtracker2-2.4.23/adt2pack.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2pack.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2pack; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -85,7 +100,7 @@ Inc(input_buffer_idx,result); ReadDataBlock := result; If NOT really_no_status_refresh then - show_progress(input_buffer_idx); + show_progress(input_buffer_idx,3); end; procedure WriteDataBlock(ptr: Pointer; size: Word); @@ -93,7 +108,7 @@ Move(ptr^,output_buffer^[output_buffer_idx],size); Inc(output_buffer_idx,size); If NOT really_no_status_refresh then - show_progress(output_buffer_idx); + show_progress(output_buffer_idx,3); end; procedure FillBitBuffer(bits: Integer); diff -Nru adlibtracker2-2.4.23/adt2play/a2data.pas adlibtracker2-2.4.24/adt2play/a2data.pas --- adlibtracker2-2.4.23/adt2play/a2data.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/a2data.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit A2data; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2play/a2depack.pas adlibtracker2-2.4.24/adt2play/a2depack.pas --- adlibtracker2-2.4.23/adt2play/a2depack.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/a2depack.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit A2depack; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2play/a2fileio.pas adlibtracker2-2.4.24/adt2play/a2fileio.pas --- adlibtracker2-2.4.23/adt2play/a2fileio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/a2fileio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit A2fileIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2play/a2player.pas adlibtracker2-2.4.24/adt2play/a2player.pas --- adlibtracker2-2.4.23/adt2play/a2player.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/a2player.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit A2player; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -8,6 +23,7 @@ const MAX_IRQ_FREQ = 1000; + MIN_IRQ_FREQ = 50; timer_poll_proc_ptr: Pointer = NIL; timer_initialized: Boolean = FALSE; @@ -56,7 +72,9 @@ hash_buffer: tOLD_VARIABLE_DATA2; const - irq_freq: Word = 50; + IRQ_freq: Word = 50; + IRQ_freq_shift: Integer = 0; + playback_speed_shift: Longint = 0; timer_fix: Boolean = TRUE; pattern_break: Boolean = FALSE; pattern_break_loop: Boolean = FALSE; @@ -651,16 +669,34 @@ procedure TimerSetup(Hz: Longint); forward; -procedure update_timer(Hz: Longint); +procedure update_timer(Hz: Word); begin - _debug_str_ := 'A2PLAYER.PAS:update_timer'; If (Hz = 0) then begin TimerSetup(18); EXIT end else tempo := Hz; If (tempo = 18) and timer_fix then IRQ_freq := TRUNC((tempo+0.2)*20) else IRQ_freq := 250; While (IRQ_freq MOD (tempo*_macro_speedup) <> 0) do Inc(IRQ_freq); If (IRQ_freq > MAX_IRQ_FREQ) then IRQ_freq := MAX_IRQ_FREQ; - TimerSetup(IRQ_freq); + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift > MAX_IRQ_FREQ) and + (playback_speed_shift > 0) do + Dec(playback_speed_shift); + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift > MAX_IRQ_FREQ) and + (IRQ_freq_shift > 0) do + Dec(IRQ_freq_shift); + TimerSetup(max(IRQ_freq+IRQ_freq_shift+playback_speed_shift,MAX_IRQ_FREQ)); +end; + +procedure update_playback_speed(speed_shift: Longint); +begin + If (speed_shift = 0) then EXIT + else If (speed_shift > 0) and (IRQ_freq+playback_speed_shift+speed_shift > MAX_IRQ_FREQ) then + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift+speed_shift > MAX_IRQ_FREQ) do + Dec(speed_shift) + else If (speed_shift < 0) and (IRQ_freq+IRQ_freq_shift+playback_speed_shift+speed_shift < MIN_IRQ_FREQ) then + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift+speed_shift < MIN_IRQ_FREQ) do + Inc(speed_shift); + playback_speed_shift := playback_speed_shift+speed_shift; + update_timer(tempo); end; procedure key_on(chan: Byte); @@ -1372,6 +1408,86 @@ change_frequency(chan,nFreq(arpgg_table2[chan].note-1)+ SHORTINT(ins_parameter(event_table[chan].instr_def,12))); end; + + If (event[chan].effect_def = ef_GlobalFSlideUp) or + (event[chan].effect_def = ef_GlobalFSlideDown) then + begin + If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd*16+ef_ex_cmd_ForceBpmSld) then + begin + If (event[chan].effect_def = ef_GlobalFSlideUp) then + update_playback_speed(event[chan].effect) + else update_playback_speed(-event[chan].effect); + end + else + begin + Case event[chan].effect_def of + ef_GlobalFSlideUp: + If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, + event[chan].effect) + else If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table[chan] := concw(ef_FSlideUpFine,event[chan].effect) + else effect_table[chan] := concw(ef_FSlideUp,event[chan].effect); + ef_GlobalFSlideDown: + If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, + event[chan].effect) + else If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table[chan] := concw(ef_FSlideDownFine,event[chan].effect) + else effect_table[chan] := concw(ef_FSlideDown,event[chan].effect); + end; + For idx := chan to songdata.nm_tracks do + begin + fslide_table[idx] := event[chan].effect; + glfsld_table[idx] := effect_table[chan]; + end; + end; + end; + + If (event[chan].effect_def2 = ef_GlobalFSlideUp) or + (event[chan].effect_def2 = ef_GlobalFSlideDown) then + begin + If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd*16+ef_ex_cmd_ForceBpmSld) then + begin + If (event[chan].effect_def2 = ef_GlobalFSlideUp) then + update_playback_speed(event[chan].effect2) + else update_playback_speed(-event[chan].effect2); + end + else + begin + Case event[chan].effect_def2 of + ef_GlobalFSlideUp: + If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, + event[chan].effect2) + else If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table2[chan] := concw(ef_FSlideUpFine,event[chan].effect2) + else effect_table2[chan] := concw(ef_FSlideUp,event[chan].effect2); + ef_GlobalFSlideDown: + If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, + event[chan].effect2) + else If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table2[chan] := concw(ef_FSlideDownFine,event[chan].effect2) + else effect_table2[chan] := concw(ef_FSlideDown,event[chan].effect2); + end; + For idx := chan to songdata.nm_tracks do + begin + fslide_table2[idx] := event[chan].effect2; + glfsld_table2[idx] := effect_table2[chan]; + end; + end; + end; end; For chan := 1 to songdata.nm_tracks do @@ -1465,38 +1581,6 @@ fslide_table[chan] := event[chan].effect; end; - ef_GlobalFSlideUp, - ef_GlobalFSlideDown: - begin - If (event[chan].effect_def = ef_GlobalFSlideUp) then - begin - If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, - event[chan].effect) - else If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table[chan] := concw(ef_FSlideUpFine,event[chan].effect) - else effect_table[chan] := concw(ef_FSlideUp,event[chan].effect); - end - else - begin - If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, - event[chan].effect) - else If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table[chan] := concw(ef_FSlideDownFine,event[chan].effect) - else effect_table[chan] := concw(ef_FSlideDown,event[chan].effect); - end; - For idx := chan to songdata.nm_tracks do - begin - fslide_table[idx] := event[chan].effect; - glfsld_table[idx] := effect_table[chan]; - end; - end; - ef_FSlideUpVSlide, ef_FSlUpVSlF, ef_FSlideDownVSlide, @@ -2073,38 +2157,6 @@ fslide_table2[chan] := event[chan].effect2; end; - ef_GlobalFSlideUp, - ef_GlobalFSlideDown: - begin - If (event[chan].effect_def2 = ef_GlobalFSlideUp) then - begin - If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, - event[chan].effect2) - else If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table2[chan] := concw(ef_FSlideUpFine,event[chan].effect2) - else effect_table2[chan] := concw(ef_FSlideUp,event[chan].effect2); - end - else - begin - If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, - event[chan].effect2) - else If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table2[chan] := concw(ef_FSlideDownFine,event[chan].effect2) - else effect_table2[chan] := concw(ef_FSlideDown,event[chan].effect2); - end; - For idx := chan to songdata.nm_tracks do - begin - fslide_table2[idx] := event[chan].effect2; - glfsld_table2[idx] := effect_table2[chan]; - end; - end; - ef_FSlideUpVSlide, ef_FSlUpVSlF, ef_FSlideDownVSlide, @@ -2779,6 +2831,7 @@ freq: Word; begin + If (freq_table[chan] AND $1fff = 0) then EXIT; freq := calc_freq_shift_up(freq_table[chan] AND $1fff,slide); If (freq <= limit) then change_frequency(chan,freq) else change_frequency(chan,limit); @@ -2790,6 +2843,7 @@ freq: Word; begin + If (freq_table[chan] AND $1fff = 0) then EXIT; freq := calc_freq_shift_down(freq_table[chan] AND $1fff,slide); If (freq >= limit) then change_frequency(chan,freq) else change_frequency(chan,limit); @@ -2893,7 +2947,6 @@ else begin If NOT peak_lock[chan] then limit1 := 0 else limit1 := ins_parameter(event_table[chan].instr_def,3) AND $3f; - If NOT peak_lock[chan] then limit2 := 0 else limit2 := ins_parameter(event_table[chan].instr_def,2) AND $3f; end; @@ -4173,21 +4226,21 @@ _debug_str_bak_ := _debug_str_; _debug_str_ := 'A2PLAYER.PAS:timer_poll_proc'; - If (timer_200hz_counter < IRQ_freq DIV 200) then + If (timer_200hz_counter < (IRQ_freq+IRQ_freq_shift+playback_speed_shift) DIV 200) then Inc(timer_200hz_counter) else begin timer_200hz_counter := 0; timer_200hz_flag := TRUE; end; - If (timer_50hz_counter < IRQ_freq DIV 50) then + If (timer_50hz_counter < (IRQ_freq+IRQ_freq_shift+playback_speed_shift) DIV 50) then Inc(timer_50hz_counter) else begin timer_50hz_counter := 0; timer_50hz_flag := TRUE; end; - If (timer_20hz_counter < IRQ_freq DIV 20) then + If (timer_20hz_counter < (IRQ_freq+IRQ_freq_shift+playback_speed_shift) DIV 20) then Inc(timer_20hz_counter) else begin timer_20hz_counter := 0; @@ -4206,9 +4259,9 @@ If (play_status = isPlaying) then begin - song_timer_tenths := Trunc(100/IRQ_freq*timer_temp); - If (song_timer_tenths = 100) then song_timer_tenths := 0; - If (timer_temp < IRQ_freq) then Inc(timer_temp) + song_timer_tenths := TRUNC(100/(IRQ_freq+IRQ_freq_shift+playback_speed_shift)*timer_temp); + If (song_timer_tenths >= 100) then song_timer_tenths := 0; + If (timer_temp < IRQ_freq+IRQ_freq_shift+playback_speed_shift) then Inc(timer_temp) else begin Inc(song_timer); timer_temp := 1; @@ -4479,6 +4532,7 @@ song_timer := 0; timer_temp := 0; song_timer_tenths := 0; + playback_speed_shift := 0; For temp := 1 to 20 do release_sustaining_sound(temp); opl2out(_instr[11],0); @@ -4510,6 +4564,7 @@ songdata.tempo := tempo; songdata.speed := speed; songdata.macro_speedup := 1; + songdata.bpm_data.tempo_finetune := IRQ_freq_shift; speed_update := FALSE; lockvol := FALSE; panlock := FALSE; @@ -4562,7 +4617,10 @@ ticklooper := 0; macro_ticklooper := 0; speed := songdata.speed; + IRQ_freq_shift := songdata.bpm_data.tempo_finetune; macro_speedup := songdata.macro_speedup; + playback_speed_shift := 0; + update_timer(songdata.tempo); end; diff -Nru adlibtracker2-2.4.23/adt2play/a2scrio.pas adlibtracker2-2.4.24/adt2play/a2scrio.pas --- adlibtracker2-2.4.23/adt2play/a2scrio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/a2scrio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit A2scrIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2play/adt2play.pas adlibtracker2-2.4.24/adt2play/adt2play.pas --- adlibtracker2-2.4.23/adt2play/adt2play.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/adt2play.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + program AdT2_Player; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -7,7 +22,7 @@ StringIO,TxtScrIO; const - VERSION_STR = '0.45'; + VERSION_STR = '0.46'; const modname: array[1..15] of String[39] = ( diff -Nru adlibtracker2-2.4.23/adt2play/c.bat adlibtracker2-2.4.24/adt2play/c.bat --- adlibtracker2-2.4.23/adt2play/c.bat 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/c.bat 1970-01-01 00:00:00.000000000 +0000 @@ -1,21 +0,0 @@ -@echo off -echo. -echo ************************************ -echo ** ** -echo ** Compiling sources ** -echo ** ** -echo ************************************ -..\utils\val2 ..\iloaders.inc iloaders.inc -if not exist *.exe goto :no_exe_file -del *.exe >nul -:no_exe_file -if not exist *.ppu goto :no_ppu_file -del *.ppu >nul -:no_ppu_file -if not exist *.o goto :no_o_file -del *.o >nul -:no_o_file -if not exist !log goto :no_log_file -del !log >nul -:no_log_file -ppc386 -O2 -OpPENTIUM2 -Ccpascal -Mtp -Rintel -Tgo32v2 adt2play >!log diff -Nru adlibtracker2-2.4.23/adt2play/d.bat adlibtracker2-2.4.24/adt2play/d.bat --- adlibtracker2-2.4.23/adt2play/d.bat 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/d.bat 1970-01-01 00:00:00.000000000 +0000 @@ -1,20 +0,0 @@ -@echo off -echo. -echo ************************************ -echo ** ** -echo ** Deleting file garbage ** -echo ** ** -echo ************************************ -echo. -if not exist *.exe goto :no_exe_file -del *.exe >nul -:no_exe_file -if not exist *.ppu goto :no_ppu_file -del *.ppu >nul -:no_ppu_file -if not exist *.o goto :no_o_file -del *.o >nul -:no_o_file -if not exist !log goto :no_log_file -del !log >nul -:no_log_file diff -Nru adlibtracker2-2.4.23/adt2play/iloaders.inc adlibtracker2-2.4.24/adt2play/iloaders.inc --- adlibtracker2-2.4.23/adt2play/iloaders.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/iloaders.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,5 +1,19 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { - function check_byte(var data; _byte: Byte; size: Longint): Boolean; procedure import_old_a2m_event1(patt,line,chan: Byte; old_chunk: tOLD_CHUNK; processing_whole_song: Boolean); procedure replace_old_adsr(patterns: Byte); @@ -47,27 +61,6 @@ procedure sa2_file_loader; } -function check_byte(var data; _byte: Byte; size: Longint): Boolean; - -var - result: Boolean; - -begin - asm - mov edi,[data] - mov ecx,size - jecxz @@1 - mov al,_byte - repnz scasb - jnz @@1 - mov result,TRUE - jmp @@2 -@@1: mov result,FALSE -@@2: - end; - check_byte := result; -end; - var adsr_carrier: array[1..9] of Boolean; @@ -983,7 +976,7 @@ end; end; - If (header.ffver in [12,FFVER_A2M]) then + If (header.ffver in [12,13,FFVER_A2M]) then begin crc := DWORD_NULL; BlockReadF(f,buf1,header.b0len,temp); @@ -1986,7 +1979,7 @@ end; end; - If (header.ffver in [12,FFVER_A2T]) then + If (header.ffver in [12,13,FFVER_A2T]) then begin crc := DWORD_NULL; BlockReadF(f,buf1,header.b0len,temp); @@ -2082,15 +2075,17 @@ end; temp := LZH_decompress(buf1,buf2,header.b0len); - Move(buf2,songdata.ins_4op_flags,SizeOf(songdata.ins_4op_flags)); - Move(buf2[SizeOf(songdata.ins_4op_flags)],songdata.reserved_data, - SizeOf(songdata.reserved_data)); - Move(buf2[SizeOf(songdata.ins_4op_flags)],songdata.reserved_data, - SizeOf(songdata.reserved_data)); - Move(buf2[SizeOf(songdata.ins_4op_flags)+ - SizeOf(songdata.reserved_data)],songdata.instr_data, - temp-SizeOf(songdata.ins_4op_flags)+ - SizeOf(songdata.reserved_data)); + temp2 := 0; + If (header.ffver = FFVER_A2T) then + begin + Move(buf2[temp2],songdata.bpm_data,SizeOf(songdata.bpm_data)); + Inc(temp2,SizeOf(songdata.bpm_data)); + end; + Move(buf2[temp2],songdata.ins_4op_flags,SizeOf(songdata.ins_4op_flags)); + Inc(temp2,SizeOf(songdata.ins_4op_flags)); + Move(buf2[temp2],songdata.reserved_data,SizeOf(songdata.reserved_data)); + Inc(temp2,SizeOf(songdata.reserved_data)); + Move(buf2[temp2],songdata.instr_data,temp-temp2); If (header.b1len <> 0) then begin BlockReadF(f,buf1,header.b1len,temp); @@ -3055,7 +3050,7 @@ FillChar(buf1,SizeOf(buf1),0); Move(hash_buffer,headr2,SizeOf(headr2)); - Move(POINTER(Ofs(hash_buffer)+SizeOf(headr2))^,buf1,out_size-SizeOf(headr2)); + Move(pBYTE(@hash_buffer)[SizeOf(headr2)],buf1,out_size-SizeOf(headr2)); end else begin @@ -3913,6 +3908,54 @@ put_chunk(pattern,line,channel+1,chunk); end; +// ******************************* +// ADT2plug RAD description loader +// ******************************* + +{$IFDEF PLUGIN} +procedure load_rad_description(length: Word); + +var + temp: Word; + row,temp2: Byte; + xstart,ystart: Byte; + desc: String; + +procedure insertdesc; +begin + radinstr_used := true; + While (SYSTEM.Length(desc) > maxmemolinelen) do + begin + radinstr_names[row] := Copy(desc,1,maxmemolinelen); + desc := Copy(desc,maxmemolinelen+1,system.length(desc)-maxmemolinelen); + Inc(row); + end; + radinstr_names[row] := desc; +end; + +begin + temp := 0; + row := 1; + desc := ''; + While (dscbuf[temp] <> #0) and (temp < length) do + begin + Case dscbuf[temp] of + #1: If (row < 255) then + begin + insertdesc; + Inc(row); + desc := ''; + end; + #2..#31: For temp2 := 1 to BYTE(dscbuf[temp]) do + desc := desc+' '; + #32..#255: desc := desc+dscbuf[temp]; + end; + Inc(temp); + end; + insertdesc; +end; +{$ENDIF} + begin {$i-} @@ -3932,6 +3975,12 @@ EXIT; end; + If (header.rmver <> $10) then + begin + CloseF(f); + EXIT; + end; + load_flag := $7f; FillChar(buf1,SizeOf(buf1),0); BlockReadF(f,buf1,SizeOf(buf1),temp); @@ -3957,6 +4006,9 @@ Dec(temp,temp2+1); Move(buf1,dscbuf,temp2+1); Move(buf1[temp2+1],buf1,temp); +{$IFDEF PLUGIN} + load_rad_description(temp2+1); +{$ENDIF} end; @@ -6230,34 +6282,14 @@ load_flag := 14; end; -function _sal(op1,op2: Word): Byte; - -var - result: Byte; - +function _sal(op1,op2: Integer): Byte; begin - asm - mov ax,op1 - mov cx,op2 - sal ax,cl - mov result,al - end; - _sal := result; + _sal := op1 SHL op2; end; -function _sar(op1,op2: Word): Byte; - -var - result: Byte; - +function _sar(op1,op2: Integer): Byte; begin - asm - mov ax,op1 - mov cx,op2 - sar ax,cl - mov result,al - end; - _sar := result; + _sar := op1 SHR op2; end; procedure import_sa2_effect(effect,def1,def2: Byte; diff -Nru adlibtracker2-2.4.23/adt2play/m.bat adlibtracker2-2.4.24/adt2play/m.bat --- adlibtracker2-2.4.23/adt2play/m.bat 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/m.bat 1970-01-01 00:00:00.000000000 +0000 @@ -1,42 +0,0 @@ -@echo off -echo. -echo ************************************ -echo ** ** -echo ** STEP 1/2 ** -echo ** Compiling sources ** -echo ** ** -echo ************************************ -..\utils\val2 ..\iloaders.inc iloaders.inc -if not exist *.exe goto :no_exe_file -del *.exe >nul -:no_exe_file -if not exist *.ppu goto :no_ppu_file -del *.ppu >nul -:no_ppu_file -if not exist *.o goto :no_o_file -del *.o >nul -:no_o_file -if not exist !log goto :no_log_file -del !log >nul -:no_log_file -ppc386 -O2 -OpPENTIUM2 -Ccpascal -Mtp -Rintel -Tgo32v2 adt2play >!log -if not exist adt2play.exe goto :compile_error -if not exist *.ppu goto :no_ppu_file -del *.ppu >nul -:no_ppu_file -if not exist *.o goto :no_o_file -del *.o >nul -:no_o_file -if not exist !log goto :no_log_file -del !log >nul -:no_log_file -echo. -echo ************************************ -echo ** ** -echo ** STEP 2/2 ** -echo ** UPX: Compressing executable ** -echo ** ** -echo ************************************ -c:\utils\upx -9 adt2play.exe >nul -echo. -:compile_error diff -Nru adlibtracker2-2.4.23/adt2play/parserio.pas adlibtracker2-2.4.24/adt2play/parserio.pas --- adlibtracker2-2.4.23/adt2play/parserio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/parserio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit ParserIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2play/stringio.pas adlibtracker2-2.4.24/adt2play/stringio.pas --- adlibtracker2-2.4.23/adt2play/stringio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/stringio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit StringIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -331,7 +346,7 @@ end; const - digits: array[0..35] of Char = '0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ'; + digits: array[0..15] of Char = '0123456789ABCDEF'; function Digit2index(digit: Char): Byte; @@ -365,9 +380,10 @@ begin value := 0; - For index := 1 to Length(str) do - Inc(value,Digit2index(str[index])* - position_value(Length(str)-index+1,base)); + If (base in [2,10,16]) then + For index := 1 to Length(str) do + Inc(value,Digit2index(str[index])* + position_value(Length(str)-index+1,base)); Str2num := value; end; diff -Nru adlibtracker2-2.4.23/adt2play/txtscrio.pas adlibtracker2-2.4.24/adt2play/txtscrio.pas --- adlibtracker2-2.4.23/adt2play/txtscrio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/txtscrio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit TxtScrIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adt2play/typconst.inc adlibtracker2-2.4.24/adt2play/typconst.inc --- adlibtracker2-2.4.23/adt2play/typconst.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2play/typconst.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + const NULL = $0ffffffff; BYTE_NULL = BYTE(NULL); @@ -5,8 +20,8 @@ DWORD_NULL = LONGINT(NULL); const - FFVER_A2M = 13; - FFVER_A2T = 13; + FFVER_A2M = 14; + FFVER_A2T = 14; FFVER_A2I = 10; FFVER_A2F = 2; FFVER_A2P = 11; @@ -149,6 +164,10 @@ dis_fmreg_col: array[1..255] of tDIS_FMREG_COL; ins_4op_flags: tINS_4OP_FLAGS; reserved_data: array[0..PRED(1024)] of Byte; + bpm_data: Record + rows_per_beat: Byte; + tempo_finetune: Integer; + end; end; type tOLD_CHUNK = Record @@ -268,6 +287,7 @@ ef_ex_cmd_RestartEnv = 4; ef_ex_cmd_4opVlockOff = 5; ef_ex_cmd_4opVlockOn = 6; + ef_ex_cmd_ForceBpmSld = 7; ef_ex_ExtendedCmd2 = 15; ef_ex_cmd2_RSS = 0; ef_ex_cmd2_ResetVol = 1; diff -Nru adlibtracker2-2.4.23/adt2sys.pas adlibtracker2-2.4.24/adt2sys.pas --- adlibtracker2-2.4.23/adt2sys.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2sys.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2sys; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -15,9 +30,20 @@ scroll_ticks: Real = 0; mouse_active: Boolean = FALSE; {$ENDIF} - blink_ticks: Longint = 0; +{$IFNDEF GO32V2} + _WAVREC_blink_flag: Boolean = FALSE; + _WAVREC_blink_ticks: Longint = 0; +{$ENDIF} + _NRECM_blink_flag: Boolean = FALSE; + _NRECM_blink_ticks: Longint = 0; + _IRQ_freq_shift_reset_flag: Boolean = FALSE; + _IRQFREQ_update_event: Boolean = FALSE; + _IRQFREQ_blink_flag: Boolean = FALSE; + _IRQFREQ_blink_ticks: Longint = 0; blink_flag: Boolean = FALSE; + blink_ticks: Longint = 0; cursor_sync: Boolean = FALSE; + _show_bpm_realtime_proc: procedure = NIL; {$IFDEF GO32V2} _draw_screen_without_vsync: Boolean = FALSE; _draw_screen_without_delay: Boolean = FALSE; @@ -30,7 +56,6 @@ {$ENDIF} _cursor_blink_factor: Longint = 13; _cursor_blink_pending_frames: Longint = 0; - _generic_blink_event_flag: Boolean = FALSE; _realtime_gfx_no_update: Boolean = FALSE; {$IFDEF GO32V2} _screen_refresh_pending_frames: Longint = 0; @@ -143,7 +168,7 @@ V_CHR = 30; var - bit_pos,bit_mask: Byte; + byte_pos,bit_mask: Byte; cur_pos_lo,cur_pos_hi: Byte; cur_shape: Word; pos_x,pos_y: Byte; @@ -176,14 +201,14 @@ movzx eax,MAX_ROWS mov loop_idx1,eax mov pos_y,1 -@@2: mov bit_pos,0 +@@2: mov byte_pos,0 mov loop_idx2,16 @@3: mov loop_idx3,H_CHR mov pos_x,1 @@4: movzx eax,byte ptr [ebx] mov edx,16 mul edx - movzx edx,bit_pos + movzx edx,byte_pos add eax,edx mov dl,[esi+eax] mov bit_mask,dl @@ -206,9 +231,9 @@ cmp al,cur_pos_lo jnz @@7 mov ax,cur_shape - cmp bit_pos,ah + cmp byte_pos,ah jb @@7 - cmp bit_pos,al + cmp byte_pos,al ja @@7 movzx eax,pos_y cmp al,cur_pos_hi @@ -241,7 +266,7 @@ sub skip_ofs,H_RES-H_CHR*8 jmp @@11 @@10: add edi,H_RES-H_CHR*8 -@@11: inc bit_pos +@@11: inc byte_pos dec loop_idx2 cmp loop_idx2,0 ja @@3 @@ -263,7 +288,7 @@ V_CHR = 36; var - bit_pos,bit_mask: Byte; + byte_pos,bit_mask: Byte; cur_pos_lo,cur_pos_hi: Byte; cur_shape: Word; pos_x,pos_y: Byte; @@ -293,14 +318,14 @@ movzx eax,MAX_ROWS mov loop_idx1,eax mov pos_y,1 -@@2: mov bit_pos,0 +@@2: mov byte_pos,0 mov loop_idx2,16 @@3: mov loop_idx3,H_CHR mov pos_x,1 @@4: movzx eax,byte ptr [ebx] mov edx,16 mul edx - movzx edx,bit_pos + movzx edx,byte_pos add eax,edx mov dl,[esi+eax] mov bit_mask,dl @@ -323,9 +348,9 @@ cmp al,cur_pos_lo jnz @@7 mov ax,cur_shape - cmp bit_pos,ah + cmp byte_pos,ah jb @@7 - cmp bit_pos,al + cmp byte_pos,al ja @@7 movzx eax,pos_y cmp al,cur_pos_hi @@ -358,7 +383,7 @@ sub skip_ofs,H_RES-H_CHR*8 jmp @@11 @@10: add edi,H_RES-H_CHR*8 -@@11: inc bit_pos +@@11: inc byte_pos dec loop_idx2 cmp loop_idx2,0 ja @@3 @@ -380,7 +405,7 @@ V_CHR = 46; var - bit_pos,bit_mask: Byte; + byte_pos,bit_mask: Byte; cur_pos_lo,cur_pos_hi: Byte; cur_shape: Word; pos_x,pos_y: Byte; @@ -408,14 +433,14 @@ movzx eax,MAX_ROWS mov loop_idx1,eax mov pos_y,1 -@@2: mov bit_pos,0 +@@2: mov byte_pos,0 mov loop_idx2,16 @@3: mov loop_idx3,H_CHR mov pos_x,1 @@4: movzx eax,byte ptr [ebx] mov edx,16 mul edx - movzx edx,bit_pos + movzx edx,byte_pos add eax,edx mov dl,[esi+eax] mov bit_mask,dl @@ -434,9 +459,9 @@ cmp al,cur_pos_lo jnz @@6 mov ax,cur_shape - cmp bit_pos,ah + cmp byte_pos,ah jb @@6 - cmp bit_pos,al + cmp byte_pos,al ja @@6 movzx eax,pos_y cmp al,cur_pos_hi @@ -465,7 +490,7 @@ ja @@4 sub ebx,H_CHR*2 add edi,H_RES-H_CHR*8 - inc bit_pos + inc byte_pos dec loop_idx2 cmp loop_idx2,0 ja @@3 @@ -572,10 +597,12 @@ {$ELSE} +{$IFNDEF CPU64} + procedure draw_SDL_screen_720x480; var - bit_pos,bit_mask: Byte; + byte_pos,bit_mask: Byte; pos_x,pos_y: Byte; skip: Dword; framebuffer_end: Dword; @@ -598,14 +625,14 @@ mov skip,eax mov loop_idx1,40 mov pos_y,1 -@@2: mov bit_pos,0 +@@2: mov byte_pos,0 mov loop_idx2,16 @@3: mov loop_idx3,90 mov pos_x,1 @@4: movzx eax,byte ptr [ebx] mov edx,16 mul edx - movzx edx,bit_pos + movzx edx,byte_pos add eax,edx mov dl,[esi+eax] mov bit_mask,dl @@ -624,9 +651,9 @@ cmp al,byte ptr [virtual_cur_pos] jnz @@7 mov ax,word ptr [virtual_cur_shape] - cmp bit_pos,ah + cmp byte_pos,ah jb @@7 - cmp bit_pos,al + cmp byte_pos,al ja @@7 movzx eax,pos_y cmp al,byte ptr [virtual_cur_pos+1] @@ -660,7 +687,7 @@ cmp loop_idx3,0 ja @@4 sub ebx,90*2 - inc bit_pos + inc byte_pos dec loop_idx2 cmp loop_idx2,0 ja @@3 @@ -675,7 +702,7 @@ procedure draw_SDL_screen_960x800; var - bit_pos,bit_mask: Byte; + byte_pos,bit_mask: Byte; pos_x,pos_y: Byte; loop_idx1,loop_idx2,loop_idx3, loop_idx4: Dword; @@ -692,14 +719,14 @@ mov ebx,dword ptr [screen_ptr] mov loop_idx1,50 mov pos_y,1 -@@2: mov bit_pos,0 +@@2: mov byte_pos,0 mov loop_idx2,16 @@3: mov loop_idx3,120 mov pos_x,1 @@4: movzx eax,byte ptr [ebx] mov edx,16 mul edx - movzx edx,bit_pos + movzx edx,byte_pos add eax,edx mov dl,[esi+eax] mov bit_mask,dl @@ -714,9 +741,9 @@ cmp al,byte ptr [virtual_cur_pos] jnz @@7 mov ax,word ptr [virtual_cur_shape] - cmp bit_pos,ah + cmp byte_pos,ah jb @@7 - cmp bit_pos,al + cmp byte_pos,al ja @@7 movzx eax,pos_y cmp al,byte ptr [virtual_cur_pos+1] @@ -744,7 +771,7 @@ cmp loop_idx3,0 ja @@4 sub ebx,120*2 - inc bit_pos + inc byte_pos dec loop_idx2 cmp loop_idx2,0 ja @@3 @@ -759,7 +786,7 @@ procedure draw_SDL_screen_1440x960; var - bit_pos,bit_mask: Byte; + byte_pos,bit_mask: Byte; pos_x,pos_y: Byte; loop_idx1,loop_idx2,loop_idx3, loop_idx4: Dword; @@ -776,14 +803,14 @@ mov ebx,dword ptr [screen_ptr] mov loop_idx1,60 mov pos_y,1 -@@2: mov bit_pos,0 +@@2: mov byte_pos,0 mov loop_idx2,16 @@3: mov loop_idx3,180 mov pos_x,1 @@4: movzx eax,byte ptr [ebx] mov edx,16 mul edx - movzx edx,bit_pos + movzx edx,byte_pos add eax,edx mov dl,[esi+eax] mov bit_mask,dl @@ -798,9 +825,9 @@ cmp al,byte ptr [virtual_cur_pos] jnz @@7 mov ax,word ptr [virtual_cur_shape] - cmp bit_pos,ah + cmp byte_pos,ah jb @@7 - cmp bit_pos,al + cmp byte_pos,al ja @@7 movzx eax,pos_y cmp al,byte ptr [virtual_cur_pos+1] @@ -828,7 +855,7 @@ cmp loop_idx3,0 ja @@4 sub ebx,180*2 - inc bit_pos + inc byte_pos dec loop_idx2 cmp loop_idx2,0 ja @@3 @@ -840,6 +867,65 @@ end; end; +{$ELSE} + +type + pBYTE_ARRAY = ^tBYTE_ARRAY; + tBYTE_ARRAY = array[0..PRED(SizeOf(DWORD))] of Byte; + +procedure draw_SDL_screen(columns,rows: Byte; scr_res: Dword); + +var + bit_pos,bit_mask,byte_pos: Byte; + pos_x,pos_y,chr,attr: Byte; + s_ofs,v_ofs,skip: Dword; + +begin + If (_cursor_blink_pending_frames >= _cursor_blink_factor) then + begin + _cursor_blink_pending_frames := 0; + cursor_sync := NOT cursor_sync; + end; + + s_ofs := 0; + v_ofs := 0; + skip := virtual_screen__first_row; + + For pos_y := 1 to rows do + begin + For byte_pos := 0 to 15 do + begin + For pos_x := 1 to columns do + begin + chr := pBYTE_ARRAY(screen_ptr)^[s_ofs]; + attr := pBYTE_ARRAY(screen_ptr)^[s_ofs+1]; + bit_mask := pBYTE_ARRAY(Addr(font8x16))^[chr*16+byte_pos]; + For bit_pos := 8 downto 1 do + begin + If (skip <> 0) then + Dec(skip) + else If (v_ofs < scr_res) then + begin + If cursor_sync and + (pos_x = LO(virtual_cur_pos)) and (pos_y = HI(virtual_cur_pos)) and + (byte_pos >= HI(virtual_cur_shape)) and (byte_pos <= LO(virtual_cur_shape)) then + pBYTE_ARRAY(_FrameBuffer)^[v_ofs] := attr AND $0f + else If (bit_mask OR (1 SHL bit_pos) SHR 1 = bit_mask) then + pBYTE_ARRAY(_FrameBuffer)^[v_ofs] := attr AND $0f + else pBYTE_ARRAY(_FrameBuffer)^[v_ofs] := attr SHR 4; + Inc(v_ofs); + end; + end; + Inc(s_ofs,2); + end; + Dec(s_ofs,columns*2); + end; + Inc(s_ofs,columns*2); + end; +end; + +{$ENDIF} + procedure draw_screen_proc; begin _update_sdl_screen := FALSE; @@ -847,11 +933,20 @@ else ScreenMemCopy(screen_ptr,ptr_screen_mirror); _cursor_blink_factor := ROUND(13/100*sdl_frame_rate); _update_sdl_screen := TRUE; + +{$IFNDEF CPU64} Case program_screen_mode of 0: draw_SDL_screen_720x480; 1: draw_SDL_screen_960x800; 2: draw_SDL_screen_1440x960; end; +{$ELSE} + Case program_screen_mode of + 0: draw_SDL_screen(90,40,720*480); + 1: draw_SDL_screen(120,50,960*800); + 2: draw_SDL_screen(180,60,1440*960); + end; +{$ENDIF} end; procedure vid_Init; diff -Nru adlibtracker2-2.4.23/adt2text.pas adlibtracker2-2.4.24/adt2text.pas --- adlibtracker2-2.4.23/adt2text.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2text.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,15 +1,35 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + +//{$DEFINE BETA} unit AdT2text; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} interface const -{__AT2VER__}at2ver = '2.3.56'; -{__AT2DAT__}at2date = '07-01-2017'; -{__AT2LNK__}at2link = '1:03pm'; +{__AT2VER__}at2ver = '2.4.24'; +{__AT2DAT__}at2date = '12-27-2018'; +{__AT2LNK__}at2link = '9:12am'; const +{$IFNDEF BETA} _ADT2_TITLE_STRING_ = '/ДDLiB TR/ДCK3R ]['; +{$ELSE} + _ADT2_TITLE_STRING_ = '/ДDLiB TR/ДCK3R ][ BETA VERSiON'; +{$ENDIF} {$IFDEF GO32V2} _PLATFORM_STR_ = 'DOS'; {$ELSE} @@ -18,9 +38,9 @@ const {$IFDEF GO32V2} - HELP_LINES = 1129; + HELP_LINES = 1135; {$ELSE} - HELP_LINES = 1157; + HELP_LINES = 1163; {$ENDIF} {$IFDEF GO32V2} @@ -154,6 +174,8 @@ '~^Enter~ Play next pattern according to order', '~[Ctrl]  ()~ Rewind current pattern (with Trace)', '~[Ctrl] '#26' ()~ Fast-Forward (with Trace)', + '~[Ctrl]{Shift} ,~ Change playback speed up/down `{fine stepping}`', + '~[Ctrl]{Shift} []~ Reset playback speed `{default speed}`', '~[Ctrl][Alt] ~ Temporarily show Debug Info window', '~^B~ Toggle Message Board window', '~^D~ Toggle Debug Info window', @@ -591,9 +613,11 @@ ' К `SONG VARiABLES WiNDOW KEY REFERENCE` К', 'ЭЪЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЪЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭ', '~,,,'#26'~ Cursor navigation', + '~[Alt]
~ Jump to section', '~Tab (Enter)~ Jump to next variable field', '~[Shift] Tab~ Jump to previous variable field', '~Space~ Select item', + '~^Enter~ Setup rows per beat for BPM calculation', '~Esc~ Return to Pattern Editor or Pattern Order', '', '@topic:file_browser', @@ -643,6 +667,7 @@ '~^K~ Delete characters to end', '~^Y~ Delete string', '~Insert~ Toggle input and overwrite mode', + '~+,-~ Increment/decrement decimal or hexadecimal value', '', '@topic:midiboard', 'ЭЫЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЫЭ', @@ -964,9 +989,9 @@ ' ШЭЭЭЭМ', '', '', - '~(*)~ `REMARK ABOUT  CONNECTiONS`', - 'Please note, that since order of  tracks in the tracker is ѕ and є,', - 'these non-symmetrical instrument connections are reversed.', + '~(*)~ `REMARK ABOUT  CONNECTiONS FM/AM AND AM/FM`', + 'Please note, that since order of  channels is (hardware-wise) ѕ and є,', + 'these two instrument connections are swapped.', 'The preview diagrams in the Instrument Editor window show actual order,', 'but here this information is kept in conformity with the official', 'Yamaha YMF262 data specification to prevent further confusion.', @@ -1139,6 +1164,7 @@ ' `2`/`3` DiSABLE/ENABLE RESTART ENVELOPE WiTH TONE PORTAMENTO', ' `4` PERFORM RESTART ENVELOPE', ' `5`/`6` DiSABLE/ENABLE '#4#3' TRACK VOLUME LOCK', + ' `7` PERFORM ~BPM SLiDE~ USiNG `~>~`~xx~ AND `~<~`~xx~ COMMANDS', '', '`ZF`? `0` RELEASE SUSTAiNiNG SOUND', ' `1` RESET iNSTRUMENT VOLUME', @@ -1275,7 +1301,7 @@ '', '`Greetz fly to the following people:`', 'Dragan Espenschied (drx/Bodenstandig 2000), Carl Peczynski (OxygenStar),', - 'Hubert Lamontagne (Madbrain), Jason Karl Warren (Televicious),', + 'Hubert Lamontagne (Madbrain), Jason Karl Warren (Televicious), kvee,', 'Vojta Nedved (nula), and all members of AT2 user group on Facebook'); procedure HELP(topic: String); @@ -1284,6 +1310,7 @@ implementation uses + StrUtils, AdT2unit,AdT2sys,AdT2keyb,AdT2data, TxtScrIO,StringIO,DialogIO,ParserIO; @@ -1391,13 +1418,13 @@ spec_attr_table[2] := main_hi_stat_line SHL 4 AND $0f0; HideCursor; - page_len := MAX_PATTERN_ROWS+6; + page_len := work_MaxLn-5; centered_frame(xstart,ystart,77,page_len+2,' HELP ', help_background+help_border, help_background+help_title, frame_double); page := 1; - While (page <= HELP_LINES-24) and ((Copy(help_data[page],1,6) <> '@topic') or + While (page <= HELP_LINES-page_len) and ((Copy(help_data[page],1,6) <> '@topic') or (Copy(help_data[page],8,Length(help_data[page])-7) <> topic)) do Inc(page); diff -Nru adlibtracker2-2.4.23/adt2unit.pas adlibtracker2-2.4.24/adt2unit.pas --- adlibtracker2-2.4.23/adt2unit.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adt2unit.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit AdT2unit; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -9,13 +24,15 @@ {$ENDIF} const + MIN_IRQ_FREQ = 50; MAX_IRQ_FREQ = 1000; {$i typcons1.inc} {$i typcons2.inc} const - IRQ_freq: Longint = 50; + IRQ_freq: Word = 50; + IRQ_freq_shift: Integer = 0; tempo: Byte = 50; speed: Byte = 6; macro_speedup: Word = 1; @@ -161,6 +178,7 @@ overall_volume: Byte = 63; global_volume: Byte = 63; fade_out_volume: Byte = 63; + playback_speed_shift: Longint = 0; play_status: tPLAY_STATUS = isStopped; chan_pos: Byte = 1; chpos: Byte = 1; @@ -294,7 +312,7 @@ function _macro_speedup: Word; procedure calibrate_player(order,line: Byte; status_filter: Boolean; line_dependent: Boolean); -procedure update_timer(Hz: Longint); +procedure update_timer(Hz: Word); procedure key_on(chan: Byte); procedure key_off(chan: Byte); procedure release_sustaining_sound(chan: Byte); @@ -338,6 +356,8 @@ function count_channel(hpos: Byte): Byte; function count_pos(hpos: Byte): Byte; function calc_max_speedup(tempo: Byte): Word; +function calc_bpm_speed(tempo,speed,rows_per_beat: Byte): Real; +function calc_realtime_bpm_speed(tempo,speed,rows_per_beat: Byte): Real; function calc_order_jump: Integer; function calc_following_order(order: Byte): Integer; function is_4op_chan(chan: Byte): Boolean; @@ -355,6 +375,7 @@ function min(value: Longint; minimum: Longint): Longint; function max(value: Longint; maximum: Longint): Longint; function asciiz_string(str: String): String; +procedure TimerSetup(Hz: Longint); const block_xstart: Byte = 1; @@ -762,9 +783,7 @@ macro_table[chan].vib_paused := FALSE; end; -procedure TimerSetup(Hz: Longint); forward; - -procedure update_timer(Hz: Longint); +procedure update_timer(Hz: Word); begin {$IFDEF GO32V2} _last_debug_str_ := _debug_str_; @@ -776,7 +795,30 @@ else IRQ_freq := 250; While (IRQ_freq MOD (tempo*_macro_speedup) <> 0) do Inc(IRQ_freq); If (IRQ_freq > MAX_IRQ_FREQ) then IRQ_freq := MAX_IRQ_FREQ; - TimerSetup(IRQ_freq); + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift > MAX_IRQ_FREQ) and + (playback_speed_shift > 0) do + Dec(playback_speed_shift); + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift > MAX_IRQ_FREQ) and + (IRQ_freq_shift > 0) do + Dec(IRQ_freq_shift); + TimerSetup(max(IRQ_freq+IRQ_freq_shift+playback_speed_shift,MAX_IRQ_FREQ)); +end; + +procedure update_playback_speed(speed_shift: Longint); +begin +{$IFDEF GO32V2} + _last_debug_str_ := _debug_str_; + _debug_str_ := 'ADT2UNIT.PAS:update_playback_speed'; +{$ENDIF} + If (speed_shift = 0) then EXIT + else If (speed_shift > 0) and (IRQ_freq+playback_speed_shift+speed_shift > MAX_IRQ_FREQ) then + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift+speed_shift > MAX_IRQ_FREQ) do + Dec(speed_shift) + else If (speed_shift < 0) and (IRQ_freq+IRQ_freq_shift+playback_speed_shift+speed_shift < MIN_IRQ_FREQ) then + While (IRQ_freq+IRQ_freq_shift+playback_speed_shift+speed_shift < MIN_IRQ_FREQ) do + Inc(speed_shift); + playback_speed_shift := playback_speed_shift+speed_shift; + update_timer(tempo); end; procedure key_on(chan: Byte); @@ -946,7 +988,7 @@ begin {$IFNDEF GO32V2} - // ** OPL3 emulation workaround ** + // OPL3 EMULATION WORKAROUND // force muted instrument volume with missing channel ADSR data // when there is additionally no FM-reg macro defined for this instrument If is_chan_adsr_data_empty(chan) and @@ -1499,6 +1541,86 @@ change_frequency(chan,nFreq(arpgg_table2[chan].note-1)+ SHORTINT(ins_parameter(event_table[chan].instr_def,12))); end; + + If (event[chan].effect_def = ef_GlobalFSlideUp) or + (event[chan].effect_def = ef_GlobalFSlideDown) then + begin + If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd*16+ef_ex_cmd_ForceBpmSld) then + begin + If (event[chan].effect_def = ef_GlobalFSlideUp) then + update_playback_speed(event[chan].effect) + else update_playback_speed(-event[chan].effect); + end + else + begin + Case event[chan].effect_def of + ef_GlobalFSlideUp: + If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, + event[chan].effect) + else If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table[chan] := concw(ef_FSlideUpFine,event[chan].effect) + else effect_table[chan] := concw(ef_FSlideUp,event[chan].effect); + ef_GlobalFSlideDown: + If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, + event[chan].effect) + else If (event[chan].effect_def2 = ef_Extended) and + (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table[chan] := concw(ef_FSlideDownFine,event[chan].effect) + else effect_table[chan] := concw(ef_FSlideDown,event[chan].effect); + end; + For idx := chan to songdata.nm_tracks do + begin + fslide_table[idx] := event[chan].effect; + glfsld_table[idx] := effect_table[chan]; + end; + end; + end; + + If (event[chan].effect_def2 = ef_GlobalFSlideUp) or + (event[chan].effect_def2 = ef_GlobalFSlideDown) then + begin + If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd*16+ef_ex_cmd_ForceBpmSld) then + begin + If (event[chan].effect_def2 = ef_GlobalFSlideUp) then + update_playback_speed(event[chan].effect2) + else update_playback_speed(-event[chan].effect2); + end + else + begin + Case event[chan].effect_def2 of + ef_GlobalFSlideUp: + If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, + event[chan].effect2) + else If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table2[chan] := concw(ef_FSlideUpFine,event[chan].effect2) + else effect_table2[chan] := concw(ef_FSlideUp,event[chan].effect2); + ef_GlobalFSlideDown: + If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then + effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, + event[chan].effect2) + else If (event[chan].effect_def = ef_Extended) and + (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then + effect_table2[chan] := concw(ef_FSlideDownFine,event[chan].effect2) + else effect_table2[chan] := concw(ef_FSlideDown,event[chan].effect2); + end; + For idx := chan to songdata.nm_tracks do + begin + fslide_table2[idx] := event[chan].effect2; + glfsld_table2[idx] := effect_table2[chan]; + end; + end; + end; end; For chan := 1 to songdata.nm_tracks do @@ -1592,38 +1714,6 @@ fslide_table[chan] := event[chan].effect; end; - ef_GlobalFSlideUp, - ef_GlobalFSlideDown: - begin - If (event[chan].effect_def = ef_GlobalFSlideUp) then - begin - If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, - event[chan].effect) - else If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table[chan] := concw(ef_FSlideUpFine,event[chan].effect) - else effect_table[chan] := concw(ef_FSlideUp,event[chan].effect); - end - else - begin - If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, - event[chan].effect) - else If (event[chan].effect_def2 = ef_Extended) and - (event[chan].effect2 = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table[chan] := concw(ef_FSlideDownFine,event[chan].effect) - else effect_table[chan] := concw(ef_FSlideDown,event[chan].effect); - end; - For idx := chan to songdata.nm_tracks do - begin - fslide_table[idx] := event[chan].effect; - glfsld_table[idx] := effect_table[chan]; - end; - end; - ef_FSlideUpVSlide, ef_FSlUpVSlF, ef_FSlideDownVSlide, @@ -2201,38 +2291,6 @@ fslide_table2[chan] := event[chan].effect2; end; - ef_GlobalFSlideUp, - ef_GlobalFSlideDown: - begin - If (event[chan].effect_def2 = ef_GlobalFSlideUp) then - begin - If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideUpXF, - event[chan].effect2) - else If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table2[chan] := concw(ef_FSlideUpFine,event[chan].effect2) - else effect_table2[chan] := concw(ef_FSlideUp,event[chan].effect2); - end - else - begin - If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FTrm_XFGFS) then - effect_table2[chan] := concw(ef_extended2+ef_fix2+ef_ex2_FreqSlideDnXF, - event[chan].effect2) - else If (event[chan].effect_def = ef_Extended) and - (event[chan].effect = ef_ex_ExtendedCmd2*16+ef_ex_cmd2_FVib_FGFS) then - effect_table2[chan] := concw(ef_FSlideDownFine,event[chan].effect2) - else effect_table2[chan] := concw(ef_FSlideDown,event[chan].effect2); - end; - For idx := chan to songdata.nm_tracks do - begin - fslide_table2[idx] := event[chan].effect2; - glfsld_table2[idx] := effect_table2[chan]; - end; - end; - ef_FSlideUpVSlide, ef_FSlUpVSlF, ef_FSlideDownVSlide, @@ -2756,6 +2814,7 @@ If (event[chan].effect_def+event[chan].effect = 0) then If (glfsld_table[chan] = 0) then effect_table[chan] := 0 + else else begin event_table[chan].effect_def := event[chan].effect_def; event_table[chan].effect := event[chan].effect; @@ -2763,6 +2822,7 @@ If (event[chan].effect_def2+event[chan].effect2 = 0) then If (glfsld_table2[chan] = 0) then effect_table2[chan] := 0 + else else begin event_table[chan].effect_def2 := event[chan].effect_def2; event_table[chan].effect2 := event[chan].effect2; @@ -2925,6 +2985,7 @@ freq: Word; begin + If (freq_table[chan] AND $1fff = 0) then EXIT; freq := calc_freq_shift_up(freq_table[chan] AND $1fff,slide); If (freq <= limit) then change_frequency(chan,freq) else change_frequency(chan,limit); @@ -2936,6 +2997,7 @@ freq: Word; begin + If (freq_table[chan] AND $1fff = 0) then EXIT; freq := calc_freq_shift_down(freq_table[chan] AND $1fff,slide); If (freq >= limit) then change_frequency(chan,freq) else change_frequency(chan,limit); @@ -4463,13 +4525,15 @@ _debug_str_bak_ := _debug_str_; _debug_str_ := 'ADT2UNIT.PAS:timer_poll_proc'; - If (timer_determinator < IRQ_freq) then Inc(timer_determinator) + If (timer_determinator < IRQ_freq+IRQ_freq_shift+playback_speed_shift) then + Inc(timer_determinator) else begin timer_determinator := 1; Inc(seconds_counter); end; - If (timer_det2 < IRQ_freq DIV 100) then Inc(timer_det2) + If (timer_det2 < (IRQ_freq+IRQ_freq_shift+playback_speed_shift) DIV 100) then + Inc(timer_det2) else begin timer_det2 := 1; Inc(hundereds_counter); @@ -4487,7 +4551,7 @@ reset_gfx_ticks := FALSE; end; - scroll_ticks := scroll_ticks+1/IRQ_freq*100; + scroll_ticks := scroll_ticks+1/(IRQ_freq+IRQ_freq_shift+playback_speed_shift)*100; If (scroll_ticks > 0.2) then begin If ctrl_tab_pressed then @@ -4554,11 +4618,37 @@ (@macro_preview_indic_proc <> NIL)) and (blink_ticks > 50)) or (blink_ticks > 50) then begin - _generic_blink_event_flag := NOT _generic_blink_event_flag; blink_flag := NOT blink_flag; blink_ticks := 0; end; + Inc(_WAVREC_blink_ticks); + If ((fast_forward or rewind or (space_pressed and debugging) or + (@macro_preview_indic_proc <> NIL)) and + (_WAVREC_blink_ticks > 50)) or (_WAVREC_blink_ticks > 50) then + begin + _WAVREC_blink_flag := NOT _WAVREC_blink_flag; + _WAVREC_blink_ticks := 0; + end; + + Inc(_NRECM_blink_ticks); + If ((fast_forward or rewind or (space_pressed and debugging) or + (@macro_preview_indic_proc <> NIL)) and + (_NRECM_blink_ticks > 50)) or (_NRECM_blink_ticks > 50) then + begin + _NRECM_blink_flag := NOT _NRECM_blink_flag; + _NRECM_blink_ticks := 0; + end; + + Inc(_IRQFREQ_blink_ticks); + If ((fast_forward or rewind or (space_pressed and debugging) or + (@macro_preview_indic_proc <> NIL)) and + (_IRQFREQ_blink_ticks > 25)) or (_IRQFREQ_blink_ticks > 25) then + begin + _IRQFREQ_blink_flag := NOT _IRQFREQ_blink_flag; + _IRQFREQ_blink_ticks := 0; + end; + If ctrl_tab_pressed then begin If scankey(SC_UP) then @@ -4582,13 +4672,13 @@ NOT (debugging and (NOT space_pressed or no_step_debugging)) then begin {$IFDEF GO32V2} - song_timer_tenths := Trunc(100/IRQ_freq*timer_temp); + song_timer_tenths := Trunc(100/(IRQ_freq+IRQ_freq_shift+playback_speed_shift)*timer_temp); {$ELSE} song_timer_tenths := timer_temp; {$ENDIF} If (song_timer_tenths >= 100) then song_timer_tenths := 0; {$IFDEF GO32V2} - If (timer_temp < IRQ_freq) then Inc(timer_temp) + If (timer_temp < IRQ_freq+IRQ_freq_shift+playback_speed_shift) then Inc(timer_temp) {$ELSE} If (timer_temp < 100) then Inc(timer_temp) {$ENDIF} @@ -4924,11 +5014,11 @@ fast_forward := FALSE; Inc(ticklooper); If (ticklooper >= IRQ_freq DIV tempo) then - ticklooper := 0; + ticklooper := 0; Inc(macro_ticklooper); If (macro_ticklooper >= IRQ_freq DIV (tempo*macro_speedup)) then - macro_ticklooper := 0; + macro_ticklooper := 0; If (previous_order <> current_order) then begin @@ -5199,6 +5289,7 @@ ticks := 0; tick0 := 0; fade_out_volume := 63; + playback_speed_shift := 0; replay_forbidden := FALSE; play_status := isPlaying; speed := songdata.speed; @@ -5243,6 +5334,7 @@ timer_temp := 0; song_timer_tenths := 0; time_playing := 0; + playback_speed_shift := 0; skip_macro_flag := TRUE; For temp := 1 to 20 do reset_chan_data(temp); @@ -5622,6 +5714,24 @@ calc_max_speedup := PRED(result); end; +function calc_bpm_speed(tempo,speed,rows_per_beat: Byte): Real; +begin +{$IFDEF GO32V2} + calc_bpm_speed := tempo*60/speed/rows_per_beat*(1+(IRQ_freq_shift/IRQ_freq)-0.02); +{$ELSE} + calc_bpm_speed := tempo*60/speed/rows_per_beat*(1+(IRQ_freq_shift/IRQ_freq)-sdl_timer_slowdown/100); +{$ENDIF} +end; + +function calc_realtime_bpm_speed(tempo,speed,rows_per_beat: Byte): Real; +begin +{$IFDEF GO32V2} + calc_realtime_bpm_speed := tempo*60/speed/rows_per_beat*(1+((IRQ_freq_shift+playback_speed_shift)/IRQ_freq)-0.02); +{$ELSE} + calc_realtime_bpm_speed := tempo*60/speed/rows_per_beat*(1+((IRQ_freq_shift+playback_speed_shift)/IRQ_freq)-sdl_timer_slowdown/100); +{$ENDIF} +end; + procedure init_old_songdata; var @@ -5667,8 +5777,12 @@ songdata.speed := speed; songdata.macro_speedup := init_macro_speedup; speed_update := FALSE; + IRQ_freq_shift := 0; + playback_speed_shift := 0; songdata.patt_len := patt_len; songdata.nm_tracks := nm_tracks; + songdata.bpm_data.rows_per_beat := mark_line; + songdata.bpm_data.tempo_finetune := IRQ_freq_shift; lockvol := FALSE; panlock := FALSE; lockVP := FALSE; @@ -5956,16 +6070,31 @@ If _realtime_gfx_no_update then EXIT; {$IFDEF GO32V2} + If NOT reset_gfx_ticks and - (gfx_ticks > (IRQ_freq DIV 100)*SUCC(fps_down_factor)) then + (gfx_ticks > ((IRQ_freq+IRQ_freq_shift+playback_speed_shift) DIV 100)*SUCC(fps_down_factor)) then begin Inc(blink_ticks); If (blink_ticks = 40) then begin - _generic_blink_event_flag := NOT _generic_blink_event_flag; blink_flag := NOT blink_flag; blink_ticks := 0; end; + + Inc(_NRECM_blink_ticks); + If (_NRECM_blink_ticks = 40) then + begin + _NRECM_blink_flag := NOT _NRECM_blink_flag; + _NRECM_blink_ticks := 0; + end; + + Inc(_IRQFREQ_blink_ticks); + If (_IRQFREQ_blink_ticks = 20) then + begin + _IRQFREQ_blink_flag := NOT _IRQFREQ_blink_flag; + _IRQFREQ_blink_ticks := 0; + end; + {$ENDIF} If blink_flag then @@ -5987,6 +6116,9 @@ macro_preview_indic_proc(2); end; + If (Addr(_show_bpm_realtime_proc) <> NIL) then + _show_bpm_realtime_proc; + decay_bars_refresh; {$IFNDEF GO32V2} If opl3_channel_recording_mode then update_recorded_channels; diff -Nru adlibtracker2-2.4.23/adt2vesa.pas adlibtracker2-2.4.24/adt2vesa.pas --- adlibtracker2-2.4.23/adt2vesa.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/adt2vesa.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit Adt2VESA; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/adtrack2.pas adlibtracker2-2.4.24/adtrack2.pas --- adlibtracker2-2.4.23/adtrack2.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/adtrack2.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,4 +1,19 @@ -program AdLib_Tracker_2; +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + +program AdLib_Tracker_II; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} {$IFDEF WINDOWS} @@ -143,11 +158,6 @@ If (IOresult <> 0) then dos_dir := ''; ShowStartMessage; -// WriteLn('******************************************'); -// WriteLn('** TEST VERSION -- DO NOT DISTRIBUTE! **'); -// WriteLn('******************************************'); -// WriteLn; - { init system things } sys_init; @@ -170,11 +180,11 @@ halt_startup(1); end; - If _debug_ then WriteLn('--- detecting available linear frame buffer'); + If _debug_ then WriteLn('--- detecting total available memory'); Get_MemInfo(mem_info); free_mem := mem_info.available_memory; - If _debug_ then WriteLn('--- ## ',free_mem/1024/1000:0:2,'MB lfb found'); + If _debug_ then WriteLn('--- ## ',free_mem/1024/1000:0:2,'MB found'); If NOT (free_mem DIV 1024 > 5*1024) then begin WriteLn('ERROR(1) - Insufficient memory!'); diff -Nru adlibtracker2-2.4.23/debian/adtrack2.1 adlibtracker2-2.4.24/debian/adtrack2.1 --- adlibtracker2-2.4.23/debian/adtrack2.1 2017-10-26 08:39:35.000000000 +0000 +++ adlibtracker2-2.4.24/debian/adtrack2.1 2018-12-28 21:05:36.000000000 +0000 @@ -60,4 +60,5 @@ XMS-Tracker .SH SEE ALSO .BR goattracker (1), +.BR ccutter (1), .BR adplay (1). diff -Nru adlibtracker2-2.4.23/debian/changelog adlibtracker2-2.4.24/debian/changelog --- adlibtracker2-2.4.23/debian/changelog 2017-10-26 08:39:35.000000000 +0000 +++ adlibtracker2-2.4.24/debian/changelog 2018-12-28 21:05:36.000000000 +0000 @@ -1,3 +1,12 @@ +adlibtracker2 (2.4.24-1) unstable; urgency=medium + + * New upstream version. + * Bump standards version to 4.2.1. + * Bump debhelper version to 11. + * debian/README.source: added. + + -- GУМrkan Myczko Fri, 28 Dec 2018 22:05:36 +0100 + adlibtracker2 (2.4.23-1) unstable; urgency=medium * Initial release. (Closes: #860904) diff -Nru adlibtracker2-2.4.23/debian/clean adlibtracker2-2.4.24/debian/clean --- adlibtracker2-2.4.23/debian/clean 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/debian/clean 2018-12-28 21:05:36.000000000 +0000 @@ -0,0 +1,3 @@ +sdl/*.o +*.o +sdl/*.ppu diff -Nru adlibtracker2-2.4.23/debian/compat adlibtracker2-2.4.24/debian/compat --- adlibtracker2-2.4.23/debian/compat 2017-04-21 12:45:44.000000000 +0000 +++ adlibtracker2-2.4.24/debian/compat 2018-12-28 21:05:36.000000000 +0000 @@ -1 +1 @@ -9 +11 diff -Nru adlibtracker2-2.4.23/debian/control adlibtracker2-2.4.24/debian/control --- adlibtracker2-2.4.23/debian/control 2017-10-26 08:37:23.000000000 +0000 +++ adlibtracker2-2.4.24/debian/control 2018-12-28 21:05:36.000000000 +0000 @@ -2,8 +2,8 @@ Section: sound Priority: optional Maintainer: GУМrkan Myczko -Build-Depends: debhelper (>= 10), fpc, libsdl1.2-dev -Standards-Version: 4.1.1 +Build-Depends: debhelper (>= 11), fpc, libsdl1.2-dev +Standards-Version: 4.2.1 Homepage: http://www.adlibtracker.net/ Package: adlibtracker2 diff -Nru adlibtracker2-2.4.23/debian/docs adlibtracker2-2.4.24/debian/docs --- adlibtracker2-2.4.23/debian/docs 2017-10-26 08:39:35.000000000 +0000 +++ adlibtracker2-2.4.24/debian/docs 2018-12-28 21:05:36.000000000 +0000 @@ -1,2 +1,3 @@ package/ver/sdl/revision.txt package/ver/sdl/adtrack2.ini +debian/README.source diff -Nru adlibtracker2-2.4.23/debian/README.source adlibtracker2-2.4.24/debian/README.source --- adlibtracker2-2.4.23/debian/README.source 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/debian/README.source 2018-12-28 21:05:36.000000000 +0000 @@ -0,0 +1,11 @@ +Repackaged with following removals: + +sdl.dll +package/ver/sdl/windows/sdl.dll +font/charedit.exe +utils/*.exe +package/ver/dos/*.EXE + +Example songs removed due unclear copyright status: + +package/modules/* diff -Nru adlibtracker2-2.4.23/debian/rules adlibtracker2-2.4.24/debian/rules --- adlibtracker2-2.4.23/debian/rules 2017-04-21 12:53:44.000000000 +0000 +++ adlibtracker2-2.4.24/debian/rules 2018-12-28 21:05:36.000000000 +0000 @@ -1,6 +1,4 @@ #!/usr/bin/make -f -# See debhelper(7) (uncomment to enable) -# output every command that modifies files on the build system. #export DH_VERBOSE = 1 # see FEATURE AREAS in dpkg-buildflags(1) @@ -14,8 +12,3 @@ %: dh $@ - -# dh_make generated override targets -# This is example for Cmake (See https://bugs.debian.org/641051 ) -#override_dh_auto_configure: -# dh_auto_configure -- # -DCMAKE_LIBRARY_PATH=$(DEB_HOST_MULTIARCH) diff -Nru adlibtracker2-2.4.23/depackio.pas adlibtracker2-2.4.24/depackio.pas --- adlibtracker2-2.4.23/depackio.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/depackio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit DepackIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -32,13 +47,14 @@ work_mem: array[0..PRED(WORKMEM_SIZE)] of Byte; ibufCount,ibufSize: Word; input_size,output_size: Word; - input_ptr,output_ptr,work_ptr: Pointer; + input_ptr,output_ptr,work_ptr: pByte; var - ibuf_idx,ibuf_end,obuf_idx,obuf_src: Pointer; + ibuf_idx,ibuf_end,obuf_idx,obuf_src: pByte; ctrl_bits,ctrl_mask, command,count,offs: Word; +{$IFNDEF CPU64} procedure RDC_decode; begin asm @@ -171,6 +187,80 @@ mov output_size,ax end; end; +{$ELSE} +procedure RDC_decode; +begin + ctrl_mask := 0; + ibuf_idx := input_ptr; + obuf_idx := output_ptr; + ibuf_end := input_ptr+input_size; + + While (ibuf_idx < ibuf_end) do + begin + ctrl_mask := ctrl_mask SHR 1; + If (ctrl_mask = 0) then + begin + ctrl_bits := pWord(ibuf_idx)^; + Inc(ibuf_idx,2); + ctrl_mask := $8000; + end; + + If (ctrl_bits AND ctrl_mask = 0) then + begin + obuf_idx^ := ibuf_idx^; + Inc(ibuf_idx); + Inc(obuf_idx); + CONTINUE; + end; + + command := (ibuf_idx^ SHR 4) AND 15; + count := ibuf_idx^ AND 15; + Inc(ibuf_idx); + + Case command Of + // short RLE + 0: begin + Inc(count,3); + FillChar(obuf_idx^,count,ibuf_idx^); + Inc(ibuf_idx); + Inc(obuf_idx,count); + end; + // long RLE + 1: begin + Inc(count,ibuf_idx^ SHL 4); + Inc(ibuf_idx); + Inc(count,19); + FillChar(obuf_idx^,count,ibuf_idx^); + Inc(ibuf_idx); + Inc(obuf_idx, count); + end; + // long pattern + 2: begin + offs := count+3; + Inc(offs,ibuf_idx^ SHL 4); + Inc(ibuf_idx); + count := ibuf_idx^; + Inc(ibuf_idx); + Inc(count,16); + obuf_src := obuf_idx-offs; + Move(obuf_src^,obuf_idx^,count); + Inc(obuf_idx,count); + end; + // short pattern + else begin + offs := count+3; + Inc(offs,ibuf_idx^ SHL 4); + Inc(ibuf_idx); + obuf_src := obuf_idx-offs; + Move(obuf_src^,obuf_idx^,command); + Inc(obuf_idx,command); + end; + end; + end; + + output_size := obuf_idx-output_ptr; +end; +{$ENDIF} function RDC_decompress(var source,dest; size: Word): Word; begin @@ -182,9 +272,13 @@ end; const - N = 4096; - F = 18; - T = 2; + N_BITS = 12; + F_BITS = 4; + THRESHOLD = 2; + N = 1 SHL N_BITS; + F = (1 SHL F_BITS)+THRESHOLD; + +{$IFNDEF CPU64} procedure GetChar; assembler; asm @@ -261,7 +355,7 @@ mov bl,ch mov cl,al and cl,0fh - add cl,T + add cl,THRESHOLD inc cl @@4: and ebx,N-1 push esi @@ -281,6 +375,96 @@ end; end; +{$ELSE} + +procedure LZSS_decode; + +label + j1,j2,j3,j4,j5; + +var + al,cl,ch,cf: Byte; + dx: Word; + ebx,edi: Dword; + +procedure GetChar; +begin + If (ibufCount < ibufSize) then + begin + al := input_ptr[ibufCount]; + Inc(ibufCount); + cf := 0; + end + else + cf := 1; +end; + +procedure PutChar; +begin + output_ptr[output_size] := al; + Inc(output_size); +end; + +begin + ibufCount := 0; // mov ibufCount,0 + ibufSize := input_size; // mov ax,input_size + // mov ibufSize,ax + output_size := 0; // mov output_size,0 + ebx := 0; // xor ebx,ebx + dx := 0; // xor edx,edx + edi := N-F; // mov edi,N-F +j1: dx := dx SHR 1; //@@1: shr dx,1 + If (dx SHR 8 <> 0) then // or dh,dh + GOTO j2; // jnz @@2 + GetChar; // call GetChar + If (cf = 1) then GOTO j5; // jc @@5 + dx := $ff00 OR al; // mov dh,0ffh + // mov dl,al +j2: If (dx AND 1 = 0) then //@@2: test dx,1 + GOTO j3; // jz @@3 + GetChar; // call GetChar + If (cf = 1) then GOTO j5; // jc @@5 + // push esi + work_ptr[edi] := al; // mov esi,work_ptr + // add esi,edi + // mov byte ptr [esi],al + // pop esi + edi := (edi+1) AND (N-1); // inc edi + // and edi,N-1 + PutChar; // caj PutChar + GOTO j1; // jmp @@1 +j3: GetChar; //@@3: caj GetChar + If (cf = 1) then GOTO j5; // jc @@5 + ch := al; // mov ch,al + GetChar; // call GetChar + If (cf = 1) then GOTO j5; // jc @@5 + // mov bh,al + // mov cl,4 + ebx := (al SHL 4) AND $ff00; // shr bh,cl + ebx := ebx OR ch; // mov bl,ch + // mov cl,al + // and cl,0fh + cl := (al AND $0f)+THRESHOLD; // add cl,THRESHOLD + Inc(cl); // inc cl +j4: ebx := ebx AND (N-1); //@@4: and ebx,N-1 + // push esi + al := work_ptr[ebx]; // mov esi,work_ptr + // mov al,byte ptr [esi+ebx] + // add esi,edi + work_ptr[edi] := al; // mov byte ptr [esi],al + // pop esi + Inc(edi); // inc edi + edi := edi AND (N-1); // and edi,N-1 + PutChar; // call PutChar + Inc(ebx); // inc ebx + Dec(cl); // dec cl + If (cl <> 0) then GOTO j4; // jnz @@4 + GOTO j1; // jmp @@1 +j5: //@@5: +end; + +{$ENDIF} + function LZSS_decompress(var source,dest; size: Word): Word; begin @@ -296,7 +480,12 @@ var le76,le77: Byte; le6a,le6c,le6e,le70,le72,le74,le78, - le7a_0,le7a_2,le7a_4,le7a_6,le7a_8,le82a,le82b: Word; + le82a,le82b: Word; + +const + le7a: array[0..4] of Word = ($1ff,$3ff,$7ff,$0fff,$1fff); + +{$IFNDEF CPU64} procedure NextCode; assembler; asm @@ -325,14 +514,10 @@ @@2: mov bx,le78 sub bx,9 shl bx,1 - and ax,[ebx+le7a_0] + and ax,le7a[ebx] end; -function LZW_decode: Word; - -var - result: Word; - +procedure LZW_decode; begin asm xor eax,eax @@ -351,11 +536,6 @@ mov le77,al mov le82a,ax mov le82b,ax - mov le7a_0,1ffh - mov le7a_2,3ffh - mov le7a_4,7ffh - mov le7a_6,0fffh - mov le7a_8,1fffh @@1: call NextCode cmp ax,101h jnz @@2 @@ -429,12 +609,153 @@ inc le78 shl le74,1 @@8: jmp @@1 -@@9: mov output_size,ax - mov result,ax +@@9: mov eax,edi + sub eax,output_ptr + mov output_size,ax end; - LZW_decode := result; end; +{$ELSE} + +var + stack: array[WORD] of Byte; + ax,bx,cx,sp: Word; + edi,td: Dword; + +procedure NextCode; + +label j2; + +begin + bx := le82a; // mov bx,le82a + ax := le82b; // mov ax,le82b + td := (ax SHL 16)+bx; // add bx,le78 + td := td + le78; // adc ax,0 + le82a := td AND $ffff; // xchg bx,le82a + le82b := td SHR 16; // xchg ax,le82b + cx := bx AND 7; // mov cx,bx + td := (ax SHL 16)+bx; // and cx,7 + td := td SHR 1; // shr ax,1 + // rcr bx,1 + td := td SHR 1; // shr ax,1 + // rcr bx,1 + td := td SHR 1; // shr ax,1 + bx := td; // rcr bx,1 + td := input_ptr[bx]+ // mov esi,input_ptr + (input_ptr[bx+1] shl 8)+ // mov ax,[ebx+esi] + (input_ptr[bx+2] shl 16); // mov dl,[ebx+esi+2] + If (cx = 0) then // or cx,cx + GOTO j2; // jz @@2 + While (cx <> 0) do + begin //@@1: shr dl,1 + td := td SHR 1; Dec(cx); // rcr ax,1 + end; // loop @@1 +j2: bx := le78; //@@2: mov bx,le78 + Dec(bx,9); // sub bx,9 + // shl bx,1 + ax:=td AND le7a[bx]; // and ax,[ebx+le7a_0] +end; + +procedure LZW_decode; + +label + j1,j2,j3,j4,j5,j7,j8,j9; + +begin + sp := PRED(SizeOf(stack)); + le72 := 0; // mov le72,0 + le78 := 9; // mov le78,9 + le70 := $102; // mov le70,102h + le74 := $200; // mov le74,200h + edi := 0; // mov edi,output_ptr + ax := 0; // xor eax,eax + le6a := 0; // mov le6a,ax + le6c := 0; // mov le6c,ax + le6e := 0; // mov le6e,ax + le76 := 0; // mov le76,al + le77 := 0; // mov le77,al + le82a := 0; // mov le82a,ax + le82b := 0; // mov le82b,ax +j1: NextCode; //@@1: call NextCode + If (ax <> $101) then // cmp ax,101h + GOTO j2; // jnz @@2 + GOTO j9; // jmp @@9 +j2: If (ax <> $100) then //@@2: cmp ax,100h + GOTO j3; // jnz @@3 + le78 := 9; // mov le78,9 + le74 := $200; // mov le74,200h + le70 := $102; // mov le70,102h + NextCode; // caj NextCode + le6a := ax; // mov le6a,ax + le6c := ax; // mov le6c,ax + le77 := ax; // mov le77,al + le76 := ax; // mov le76,al + // mov al,le77 + output_ptr[edi] := ax; // mov byte ptr [edi],al + Inc(edi); // inc edi + GOTO j1; // jmp @@1 +j3: le6a := ax; //@@3: mov le6a,ax + le6e := ax; // mov le6e,ax + If (ax < le70) then // cmp ax,le70 + GOTO j4; // jb @@4 + ax := le6c; // mov ax,le6c + le6a := ax; // mov le6a,ax + ax := (ax AND $ff00)+le76; // mov al,le76 + Dec(sp); stack[sp] := ax; // push eax + Inc(le72); // inc le72 +j4: If (le6a <= $ff) then //@@4: cmp le6a,0ffh + GOTO j5; // jbe @@5 + // mov esi,work_ptr + // mov bx,le6a + bx := le6a*3; // shl bx,1 + ax := (ax AND $ff00)+work_ptr[bx+2]; // add bx,le6a + Dec(sp); // mov al,[ebx+esi+2] + stack[sp] := ax; // push eax + Inc(le72); // inc le72 + ax := work_ptr[bx]+(work_ptr[bx+1] SHL 8); // mov ax,[ebx+esi] + le6a := ax; // mov le6a,ax + GOTO j4; // jmp @@4 +j5: ax := le6a; //@@5: mov ax,le6a + le76 := ax; // mov le76,al + le77 := ax; // mov le77,al + Dec(sp); stack[sp] := ax; // push eax + Inc(le72); // inc le72 + // xor ecx,ecx + cx := le72; // mov cx,le72 + If (cx = 0) then GOTO j7; // jecxz @@7 + While (cx <> 0) do // + begin // + ax := stack[sp]; Inc(sp); //@@6: pop eax + output_ptr[edi] := ax; // mov byte ptr [edi],al + Inc(edi); Dec(cx); // inc edi + end; // loop @@6 +j7: le72 := 0; //@@7: mov le72,0 + // push esi + // mov bx,le70 + // shl bx,1 + bx:=le70*3; // add bx,le70 + // mov esi,work_ptr + // mov al,le77 + work_ptr[bx+2] := le77; // mov [ebx+esi+2],al + work_ptr[bx+1] := le6c SHR 8; // mov ax,le6c + work_ptr[bx+0] := le6c; // mov [ebx+esi],ax + Inc(le70); // inc le70 + // pop esi + ax := le6e; // mov ax,le6e + le6c := ax; // mov le6c,ax + bx := le70; // mov bx,le70 + If (bx < le74) then // cmp bx,le74 + GOTO j8; // jl @@8 + If (le78 = 14) then // cmp le78,14 + GOTO j8; // jz @@8 + Inc(le78); // inc le78 + le74 := le74 SHL 1; // shl le74,1 +j8: GOTO j1; //@@8: jmp @@1 +j9: output_size := edi; //@@9: mov output_size,ax +end; + +{$ENDIF} + function LZW_decompress(var source,dest): Word; begin input_ptr := @source; @@ -468,7 +789,12 @@ var leftC,rghtC: array[0..MAXCHAR] of Word; dad,frq: array[0..TWICEMAX] of Word; - index,ibitCount,ibitBuffer,obufCount: Word; + ibitCount,ibitBuffer,obufCount: Word; + +{$IFNDEF CPU64} + +var + index: Word; procedure InitTree; begin @@ -886,16 +1212,222 @@ end; end; +{$ELSE} + +procedure InitTree; + +var + index: Word; + +begin + For index := 2 to TWICEMAX do + begin + dad[index] := index DIV 2; + frq[index] := 1; + end; + + For index := 1 to MAXCHAR do + begin + leftC[index] := 2*index; + rghtC[index] := 2*index+1; + end; +end; + +procedure UpdateFreq(a,b: Word); +begin + Repeat + frq[dad[a]] := frq[a]+frq[b]; + a := dad[a]; + If (a <> ROOT) then + If (leftC[dad[a]] = a) then b := rghtC[dad[a]] + else b := leftC[dad[a]]; + until (a = ROOT); + + If (frq[ROOT] = MAXFREQ) then + For a := 1 to TWICEMAX do frq[a] := frq[a] SHR 1; +end; + +procedure UpdateModel(code: Word); + +var + a,b,c, + code1,code2: Word; + +begin + a := code+SUCCMAX; + Inc(frq[a]); + + If (dad[a] <> ROOT) then + begin + code1 := dad[a]; + If (leftC[code1] = a) then UpdateFreq(a,rghtC[code1]) + else UpdateFreq(a,leftC[code1]); + + Repeat + code2 := dad[code1]; + If (leftC[code2] = code1) then b := rghtC[code2] + else b := leftC[code2]; + + If (frq[a] > frq[b]) then + begin + If (leftC[code2] = code1) then rghtC[code2] := a + else leftC[code2] := a; + + If (leftC[code1] = a) then + begin + leftC[code1] := b; + c := rghtC[code1]; + end + else begin + rghtC[code1] := b; + c := leftC[code1]; + end; + + dad[b] := code1; + dad[a] := code2; + UpdateFreq(b,c); + a := b; + end; + + a := dad[a]; + code1 := dad[a]; + until (code1 = ROOT); + end; +end; + +function InputCode(bits: Word): Word; + +var + index,code: Word; + +begin + code := 0; + For index := 1 to bits do + begin + If (ibitCount = 0) then + begin + If (ibufCount = MAXBUF) then ibufCount := 0; + ibitBuffer := pWord(input_ptr)[ibufCount]; + Inc(ibufCount); + ibitCount := 15; + end + else Dec(ibitCount); + + If (ibitBuffer > $7fff) then code := code OR bitValue[index]; + ibitBuffer := ibitBuffer SHL 1; + end; + + InputCode := code; +end; + +function Uncompress: Word; + +var + a: Word; + +begin + a := 1; + Repeat + If (ibitCount = 0) then + begin + If (ibufCount = MAXBUF) then ibufCount := 0; + ibitBuffer := pWord(input_ptr)[ibufCount]; + Inc(ibufCount); + ibitCount := 15; + end + else Dec(ibitCount); + + If (ibitBuffer > $7fff) then a := rghtC[a] + else a := leftC[a]; + ibitBuffer := ibitBuffer SHL 1; + until (a > MAXCHAR); + + Dec(a,SUCCMAX); + UpdateModel(a); + Uncompress := a; +end; + +procedure SIXPACK_decode; + +var + i,j,k,t,c, + count,dist,len,index: Word; + +begin + count := 0; + InitTree; + c := Uncompress; + + While (c <> TERMINATE) do + begin + If (c < 256) then + begin + output_ptr[obufCount] := c; + Inc(obufCount); + If (obufCount = MAXBUF) then + begin + output_size := MAXBUF; + obufCount := 0; + end; + + work_ptr[count] := c; + Inc(count); + If (count = MAXSIZE) then count := 0; + end + else begin + t := c-FIRSTCODE; + index := t DIV CODESPERRANGE; + len := t+MINCOPY-index*CODESPERRANGE; + dist := InputCode(CopyBits[index])+len+CopyMin[index]; + + j := count; + k := count-dist; + If (count < dist) then Inc(k,MAXSIZE); + + For i := 0 to PRED(len) do + begin + output_ptr[obufCount] := work_ptr[k]; + Inc(obufCount); + If (obufCount = MAXBUF) then + begin + output_size := MAXBUF; + obufCount := 0; + end; + + work_ptr[j] := work_ptr[k]; + Inc(j); + Inc(k); + If (j = MAXSIZE) then j := 0; + If (k = MAXSIZE) then k := 0; + end; + + Inc(count,len); + If (count >= MAXSIZE) then Dec(count,MAXSIZE); + end; + + c := Uncompress; + end; + + output_size := obufCount; +end; + +{$ENDIF} + function SIXPACK_decompress(var source,dest; size: Word): Word; begin input_ptr := @source; output_ptr := @dest; work_ptr := @work_mem; input_size := size; + ibitCount := 0; + ibitBuffer := 0; + obufCount := 0; + ibufCount := 0; SIXPACK_decode; SIXPACK_decompress := output_size; end; +{$IFNDEF CPU64} function APACK_decompress(var source,dest): Dword; var @@ -1014,5 +1546,135 @@ end; APACK_decompress := result; end; +{$ELSE} +function APACK_decompress(var source,dest): Dword; + +var + temp,res,swp,eax,ecx: Dword; + tsi,esi,edi: pByte; + ncf,cf,dl: Byte; + +label + j1,j2,j3,j4,j5,j6,j7,j8,j9,j10,j11,j12,j13, + j14,j15,j16,j17,j18,j19,j20,j21,j22,j23,j24,j25; + +begin + esi := @source; // mov esi,[source] + edi := @dest; // mov edi,[dest] + temp := 0; res := 0; // cld + dl := $80; // mov dl,80h +j1: edi^ := esi^; Inc(esi); Inc(edi); Inc(res); //@@1: movsb +j2: cf := dl SHR 7; dl := dl SHL 1; //@@2: add dl,dl + If (dl <> 0) then GOTO j3; // jnz @@3 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j3: If (cf = 0) then GOTO j1; //@@3: jnc @@1 + ecx := 0; // xor ecx,ecx + cf := dl SHR 7; dl := dl SHL 1; // add dl,dl + If (dl <> 0) then GOTO j4; // jnz @@4 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j4: If (cf =0 ) then GOTO j8; //@@4: jnc @@8 + eax := 0; // xor eax,eax + cf := dl SHR 7; dl := dl SHL 1; // add dl,dl + If (dl <> 0) then GOTO j5; // jnz @@5 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j5: If (cf = 0) then GOTO j15; //@@5: jnc @@15 + Inc(ecx); // inc ecx + eax := $10; // mov al,10h +j6: cf := dl SHR 7; dl := (dl SHL 1); //@@6: add dl,dl + If (dl <> 0) then GOTO j7; // jnz @@7 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl:= (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j7: ncf := (eax SHR 7) AND 1; + eax := (eax AND $ffffff00)+BYTE((eax SHL 1)+cf); + cf := ncf; //@@7: adc al,al + If (cf = 0) then GOTO j6; // jnc @@6 + If (eax <> 0) then GOTO j24; // jnz @@24 + edi^ := eax; Inc(edi); Inc(res); // stosb + GOTO j2; // jmp @@2 +j8: Inc(ecx); //@@8: inc ecx +j9: cf := dl SHR 7; dl := dl SHL 1; //@@9: add dl,dl + If (dl <> 0) then GOTO j10; // jnz @@10 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j10: ecx := ecx+ecx+cf; //@@10: adc ecx,ecx + cf := dl SHR 7; dl := dl SHL 1; // add dl,dl + If (dl <> 0) then GOTO j11; // jnz @@11 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j11: If (cf = 1) then GOTO j9; //@@11: jc @@9 + Dec(ecx); // dec ecx + Dec(ecx); If (ecx <> 0) then GOTO j16; // loop @@16 + ecx := 0; // xor ecx,ecx + Inc(ecx); // inc ecx +j12: cf := dl SHR 7; dl := dl SHL 1; //@@12: add dl,dl + If (dl <> 0) then GOTO j13; // jnz @@13 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j13: ecx := ecx+ecx+cf; //@@13: adc ecx,ecx + cf := dl SHR 7; dl := dl SHL 1; // add dl,dl + If (dl <> 0) then GOTO j14; // jnz @@14 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j14: If (cf = 1) then GOTO j12; //@@14: jc @@12 + GOTO j23; // jmp @@23 +j15: eax := esi^; Inc(esi); //@@15: lodsb + cf := eax AND 1; eax := eax SHR 1; // shr eax,1 + If (eax = 0) then GOTO j25; // jz @@25 + ecx := ecx+ecx+cf; // adc ecx,ecx + GOTO j20; // jmp @@20 +j16: swp := eax; eax := ecx; ecx := swp; //@@16: xchg eax,ecx + Dec(eax); // dec eax + eax := (eax SHL 8)+esi^; // shl eax,8 + Inc(esi); // lodsb + ecx := 0; // xor ecx,ecx + Inc(ecx); // inc ecx +j17: cf := dl SHR 7; dl := dl SHL 1; //@@17: add dl,dl + If (dl <> 0) then GOTO j18; // jnz @@18 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j18: ecx := ecx+ecx+cf; //@@18: adc ecx,ecx + cf := dl SHR 7; dl := dl SHL 1; // add dl,dl + If (dl <> 0) then GOTO j19; // jnz @@19 + dl := esi^; // mov dl,[esi] + Inc(esi); // inc esi + ncf := dl SHR 7; dl := (dl SHL 1)+cf; cf := ncf; // adc dl,dl +j19: If (cf = 1) then GOTO j17; //@@19: jc @@17 + If (eax >= 32000) then // cmp eax,32000 + GOTO j20; // jae @@20 + If (eax >= 1280) then // cmp ah,5 + GOTO j21; // jae @@21 + If (eax > 127) then // cmp eax,7fh + GOTO j22; // ja @@22 +j20: Inc(ecx); //@@20: inc ecx +j21: Inc(ecx); //@@21: inc ecx +j22: swp := temp; temp := eax; eax := swp; //@@22: xchg eax,temp +j23: eax := temp; //@@23: mov eax,temp +j24: //@@24: push esi + tsi := edi; // mov esi,edi + Dec(tsi,eax); // sub esi,eax + While (ecx <> 0) do // + begin // + edi^ := tsi^; // rep movsb + Inc(tsi); Inc(edi); Inc(res); // + Dec(ecx); // + end; // pop esi + GOTO j2; // jmp @@2 +j25: //@@25: sub edi,[dest] + // mov result,edi + APACK_decompress := res; +end; +{$ENDIF} end. diff -Nru adlibtracker2-2.4.23/dialogio.pas adlibtracker2-2.4.24/dialogio.pas --- adlibtracker2-2.4.23/dialogio.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/dialogio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit DialogIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -11,6 +26,7 @@ {$IFDEF WINDOWS} WINDOWS, {$ENDIF} + StrUtils, AdT2unit,AdT2sys,AdT2keyb,AdT2text, TxtScrIO,StringIO,ParserIO; @@ -68,9 +84,13 @@ end; type tDIALOG_ENVIRONMENT = Record - keystroke: Word; - context: String; - input_str: String; + keystroke: Word; + context: String; + input_str: String; + xpos,ypos: Byte; + xsize,ysize: Byte; + cur_item: Byte; + ext_proc: procedure; end; type tMENU_ENVIRONMENT = Record @@ -227,26 +247,6 @@ mbuf: tMBUFFR; contxt: String; -function OutStr(var queue; len: Byte; order: Word): String; -begin - asm - mov esi,[queue] - mov edi,@RESULT - xor ecx,ecx - mov cx,order - dec ecx - xor eax,eax - mov al,len - inc eax - jecxz @@2 -@@1: add esi,eax - loop @@1 -@@2: xor ecx,ecx - mov cl,al - rep movsb - end; -end; - function OutKey(str: String): Char; var @@ -430,6 +430,11 @@ dl_setting.frame_type); fr_setting.shadow_enabled := old_fr_shadow_enabled; + dl_environment.xpos := xstart; + dl_environment.ypos := ystart; + dl_environment.xsize := max+3; + dl_environment.ysize := num+2; + pos := 1; contxt := DietStr(dl_environment.context,max+ (Length(dl_environment.context)-CStrLen(dl_environment.context))); @@ -489,6 +494,8 @@ If (keys = '$') then EXIT; Repeat + dl_environment.cur_item := idx2; + If (Addr(dl_environment.ext_proc) <> NIL) then dl_environment.ext_proc; key := getkey; If LookUpKey(key,dl_setting.terminate_keys,50) then qflg := TRUE; @@ -581,7 +588,7 @@ _debug_str_ := 'DIALOGIO.PAS:pstr'; {$ENDIF} If (item <= mnu_count) then - Move(POINTER(Ptr(0,Ofs(mnu_data^)+(item-1)*(mnu_len+1)))^,temp,mnu_len+1) + Move(pBYTE(mnu_data)[(item-1)*(mnu_len+1)],temp,mnu_len+1) else temp := ''; If NOT solid then pstr := ExpStrR(temp,mnu_len-2,' ') else pstr := ExpStrR(temp,mnu_len,' '); @@ -595,7 +602,7 @@ begin If (item <= mnu_count) then - Move(POINTER(Ptr(0,Ofs(mnu_data^)+(item-1)*(mnu_len+1)))^,temp,mnu_len+1) + Move(pBYTE(mnu_data)[(item-1)*(mnu_len+1)],temp,mnu_len+1) else temp := ''; If NOT solid then temp := ExpStrR(temp,mnu_len-2,' ') else temp := ExpStrR(temp,mnu_len,' '); @@ -621,8 +628,7 @@ _debug_str_ := 'DIALOGIO.PAS:pdes'; {$ENDIF} If (mn_environment.descr <> NIL) and (item <= mnu_count) then - Move(POINTER(Ptr(0,Ofs(mn_environment.descr^)+ - (item-1)*(mn_environment.descr_len+1)))^,temp,mn_environment.descr_len+1) + Move(pBYTE(mn_environment.descr)[(item-1)*(mn_environment.descr_len+1)],temp,mn_environment.descr_len+1) else temp := ''; pdes := ExpStrR(temp,mn_environment.descr_len,' '); end; @@ -849,7 +855,7 @@ temp := Copy(item_str,1,mn_environment.edit_pos)+temp else temp := CutStr(temp); - Move(temp,POINTER(Ptr(0,Ofs(data)+(item-1)*(len+1)))^,len+1); + Move(temp,pBYTE(mnu_data)[(item-1)*(len+1)],len+1); end; mn_environment.do_refresh := TRUE; @@ -973,8 +979,7 @@ mn_setting.topic_len := mnu_topic_len; mnu_topic_len := 0; - mnu_data := POINTER(Ofs(data)+SUCC(len)*mn_setting.topic_len); - + mnu_data := mnu_data+SUCC(len)*mn_setting.topic_len; Inc(mnu_y,mn_setting.topic_len); Dec(len2,mn_setting.topic_len); Dec(mnu_len2,mn_setting.topic_len); @@ -1977,6 +1982,12 @@ mn_environment.ysize := 0; mn_environment.desc_pos := 0; mn_environment.hlight_chrs := 0; + dl_environment.xpos := 0; + dl_environment.ypos := 0; + dl_environment.xsize := 0; + dl_environment.ysize := 0; + dl_environment.cur_item := 1; + dl_environment.ext_proc := NIL; For index := 1 to 26 do path[index] := CHR(ORD('a')+PRED(index))+':'+PATHSEP; diff -Nru adlibtracker2-2.4.23/font/dumpfont.pas adlibtracker2-2.4.24/font/dumpfont.pas --- adlibtracker2-2.4.23/font/dumpfont.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/font/dumpfont.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + uses DOS,AdT2data; const Binary files /tmp/tmpjjzuF0/oCUuuGpB5E/adlibtracker2-2.4.23/font/font.com and /tmp/tmpjjzuF0/sRoahV9ozW/adlibtracker2-2.4.24/font/font.com differ diff -Nru adlibtracker2-2.4.23/.gitignore adlibtracker2-2.4.24/.gitignore --- adlibtracker2-2.4.23/.gitignore 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/.gitignore 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,6 @@ +bin/* +release +*.o +*.ppu +*.res +adtrack2 diff -Nru adlibtracker2-2.4.23/iloaders.inc adlibtracker2-2.4.24/iloaders.inc --- adlibtracker2-2.4.23/iloaders.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/iloaders.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,5 +1,19 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { - function check_byte(var data; _byte: Byte; size: Longint): Boolean; procedure import_old_a2m_event1(patt,line,chan: Byte; old_chunk: tOLD_CHUNK; processing_whole_song: Boolean); procedure replace_old_adsr(patterns: Byte); @@ -47,27 +61,6 @@ procedure sa2_file_loader; } -function check_byte(var data; _byte: Byte; size: Longint): Boolean; - -var - result: Boolean; - -begin - asm - mov edi,[data] - mov ecx,size - jecxz @@1 - mov al,_byte - repnz scasb - jnz @@1 - mov result,TRUE - jmp @@2 -@@1: mov result,FALSE -@@2: - end; - check_byte := result; -end; - var adsr_carrier: array[1..9] of Boolean; @@ -1171,7 +1164,7 @@ end; end; - If (header.ffver in [12,FFVER_A2M]) then + If (header.ffver in [12,13,FFVER_A2M]) then begin crc := DWORD_NULL; BlockReadF(f,buf1,header.b0len,temp); @@ -2610,7 +2603,7 @@ end; end; - If (header.ffver in [12,FFVER_A2T]) then + If (header.ffver in [12,13,FFVER_A2T]) then begin crc := DWORD_NULL; BlockReadF(f,buf1,header.b0len,temp); @@ -2759,15 +2752,17 @@ progress_num_steps := (header.patts-1) DIV 8 +6; {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_END} temp := LZH_decompress(buf1,buf2,header.b0len); - Move(buf2,songdata.ins_4op_flags,SizeOf(songdata.ins_4op_flags)); - Move(buf2[SizeOf(songdata.ins_4op_flags)],songdata.reserved_data, - SizeOf(songdata.reserved_data)); - Move(buf2[SizeOf(songdata.ins_4op_flags)],songdata.reserved_data, - SizeOf(songdata.reserved_data)); - Move(buf2[SizeOf(songdata.ins_4op_flags)+ - SizeOf(songdata.reserved_data)],songdata.instr_data, - temp-SizeOf(songdata.ins_4op_flags)+ - SizeOf(songdata.reserved_data)); + temp2 := 0; + If (header.ffver = FFVER_A2T) then + begin + Move(buf2[temp2],songdata.bpm_data,SizeOf(songdata.bpm_data)); + Inc(temp2,SizeOf(songdata.bpm_data)); + end; + Move(buf2[temp2],songdata.ins_4op_flags,SizeOf(songdata.ins_4op_flags)); + Inc(temp2,SizeOf(songdata.ins_4op_flags)); + Move(buf2[temp2],songdata.reserved_data,SizeOf(songdata.reserved_data)); + Inc(temp2,SizeOf(songdata.reserved_data)); + Move(buf2[temp2],songdata.instr_data,temp-temp2); {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_BEGIN} Inc(progress_step); {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_END} @@ -4269,7 +4264,7 @@ FillChar(buf1,SizeOf(buf1),0); Move(hash_buffer,headr2,SizeOf(headr2)); - Move(POINTER(Ofs(hash_buffer)+SizeOf(headr2))^,buf1,out_size-SizeOf(headr2)); + Move(pBYTE(@hash_buffer)[SizeOf(headr2)],buf1,out_size-SizeOf(headr2)); end else begin @@ -5246,6 +5241,54 @@ put_chunk(pattern,line,channel+1,chunk); end; +// ******************************* +// ADT2plug RAD description loader +// ******************************* + +{$IFDEF PLUGIN} +procedure load_rad_description(length: Word); + +var + temp: Word; + row,temp2: Byte; + xstart,ystart: Byte; + desc: String; + +procedure insertdesc; +begin + radinstr_used := true; + While (SYSTEM.Length(desc) > maxmemolinelen) do + begin + radinstr_names[row] := Copy(desc,1,maxmemolinelen); + desc := Copy(desc,maxmemolinelen+1,system.length(desc)-maxmemolinelen); + Inc(row); + end; + radinstr_names[row] := desc; +end; + +begin + temp := 0; + row := 1; + desc := ''; + While (dscbuf[temp] <> #0) and (temp < length) do + begin + Case dscbuf[temp] of + #1: If (row < 255) then + begin + insertdesc; + Inc(row); + desc := ''; + end; + #2..#31: For temp2 := 1 to BYTE(dscbuf[temp]) do + desc := desc+' '; + #32..#255: desc := desc+dscbuf[temp]; + end; + Inc(temp); + end; + insertdesc; +end; +{$ENDIF} + {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_BEGIN} procedure list_rad_description(length: Word); @@ -5338,6 +5381,17 @@ EXIT; end; + If (header.rmver <> $10) then + begin + CloseF(f); +{@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_BEGIN} + Dialog('UNKNOWN FiLE FORMAT VERSiON$'+ + 'LOADiNG STOPPED$', + '~O~KAY$',' RAD LOADER ',1); +{@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_END} + EXIT; + end; + {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_BEGIN} If (play_status <> isStopped) then begin @@ -5381,6 +5435,9 @@ Dec(temp,temp2+1); Move(buf1,dscbuf,temp2+1); Move(buf1[temp2+1],buf1,temp); +{$IFDEF PLUGIN} + load_rad_description(temp2+1); +{$ENDIF} end; {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_BEGIN} @@ -7953,34 +8010,14 @@ {@ADT2PLAY_COMPILER_DIRECTIVE:SKIP_END} end; -function _sal(op1,op2: Word): Byte; - -var - result: Byte; - +function _sal(op1,op2: Integer): Byte; begin - asm - mov ax,op1 - mov cx,op2 - sal ax,cl - mov result,al - end; - _sal := result; + _sal := op1 SHL op2; end; -function _sar(op1,op2: Word): Byte; - -var - result: Byte; - +function _sar(op1,op2: Integer): Byte; begin - asm - mov ax,op1 - mov cx,op2 - sar ax,cl - mov result,al - end; - _sar := result; + _sar := op1 SHR op2; end; procedure import_sa2_effect(effect,def1,def2: Byte; diff -Nru adlibtracker2-2.4.23/iloadins.inc adlibtracker2-2.4.24/iloadins.inc --- adlibtracker2-2.4.23/iloadins.inc 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/iloadins.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { procedure import_standard_instrument_alt(var data); procedure import_fin_instrument_alt(var data); diff -Nru adlibtracker2-2.4.23/instedit.inc adlibtracker2-2.4.24/instedit.inc --- adlibtracker2-2.4.23/instedit.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/instedit.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { procedure reset_instrument_preview; procedure INSTRUMENT_test(instr,instr2: Byte; chan: Byte; fkey: Word; process_macros: Boolean); @@ -1585,7 +1600,7 @@ begin adsr_vpos := y0+9; adsr_bckg := instrument_adsr; - end + end else begin adsr_vpos := y0+24; diff -Nru adlibtracker2-2.4.23/ipattern.inc adlibtracker2-2.4.24/ipattern.inc --- adlibtracker2-2.4.23/ipattern.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/ipattern.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { procedure cancel_note_recorder; procedure PATTERN_tabs_refresh; @@ -131,7 +146,7 @@ If jump_mark_mode and mark_lines then attr := main_background+main_dis_stat_line else attr := main_background+main_hi_stat_line; show_cstr(22,MAX_PATTERN_ROWS+12,'NRECM~:'#30+CHR(ORD('0')+rec_correction)+'~', - tracking_indicator_attr[_generic_blink_event_flag], + tracking_indicator_attr[_NRECM_blink_flag], attr); end else If debugging and (play_status = isStopped) then @@ -718,7 +733,7 @@ {$IFNDEF GO32V2} If opl3_channel_recording_mode and opl3_record_channel[temp1] then - chan_attr := chanrec_indicator_attr[_generic_blink_event_flag] + chan_attr := chanrec_indicator_attr[_NRECM_blink_flag] else {$ENDIF} If track_notes and (temp1 >= track_chan_start) and @@ -1810,7 +1825,7 @@ $80..$8f,$90..$9f, $a0..$a7,$b0..$b2, $c0..$cf,$d0..$df, - $e0..$e6,$f0..$ff]) then result := TRUE + $e0..$e7,$f0..$ff]) then result := TRUE else If (command_typing <> 0) then begin fxdata := fxdata AND $f0; @@ -1946,7 +1961,9 @@ chunk.note := clipboard.pattern[1][0].note; put_chunk(pattern,page,chan,chunk); If linefeed then - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; @@ -1956,7 +1973,9 @@ chunk.instr_def := clipboard.pattern[1][0].instr_def; put_chunk(pattern,page,chan,chunk); If linefeed then - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; @@ -1967,7 +1986,9 @@ chunk.effect := clipboard.pattern[1][0].effect; put_chunk(pattern,page,chan,chunk); If linefeed then - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; @@ -1978,7 +1999,9 @@ chunk.effect2 := clipboard.pattern[1][0].effect2; put_chunk(pattern,page,chan,chunk); If linefeed then - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; @@ -1988,7 +2011,9 @@ put_chunk(pattern,page,temp1, clipboard.pattern[temp1][0]); If linefeed then - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; @@ -2835,7 +2860,9 @@ else If NOT shift_pressed and NOT ctrl_pressed and NOT alt_pressed then If NOT midiboard then - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed or NOT lf_in_mboard_mode then Inc(page) + else else If cycle_pattern then page := 0; kINSERT: If NOT shift_pressed then @@ -3011,7 +3038,9 @@ put_chunk(pattern,pattern_page,track_chan_start+idx-1,chunk); keyboard_reset_buffer; end; - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end else begin @@ -3019,7 +3048,9 @@ chunk.note := BYTE_NULL; chunk.instr_def := 0; put_chunk(pattern,pattern_page,chan,chunk); - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; @@ -3179,7 +3210,9 @@ end; Case backspace_dir of - 1: If page < PRED(songdata.patt_len) then Inc(page) + 1: If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; 2: If page > 0 then Dec(page) else If cycle_pattern then page := PRED(songdata.patt_len); @@ -3334,7 +3367,9 @@ put_chunk(pattern,pattern_page,chan,chunk); Case backspace_dir of - 1: If page < PRED(songdata.patt_len) then Inc(page) + 1: If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; 2: If page > 0 then Dec(page) else If cycle_pattern then page := PRED(songdata.patt_len); @@ -4427,7 +4462,9 @@ debugging := FALSE; end; - If (page < PRED(songdata.patt_len)) then Inc(page) + If (page < PRED(songdata.patt_len)) then + If linefeed or NOT lf_in_mboard_mode then Inc(page) + else else If cycle_pattern then page := 0; If (left_shift_pressed or jump_mark_mode) and @@ -4443,7 +4480,9 @@ end; end; end - else If (page < PRED(songdata.patt_len)) then Inc(page) + else If (page < PRED(songdata.patt_len)) then + If linefeed or NOT lf_in_mboard_mode then Inc(page) + else else If cycle_pattern then page := 0; end; end @@ -4491,7 +4530,7 @@ If track_notes and (chan <> count_channel(old_hpos)) then cancel_note_recorder; - If (page <> old_patt_page) then + If (page <> old_patt_page) or (NOT linefeed and lf_in_mboard_mode) then For idx := 1 to 20 do ignore_note_once[idx] := FALSE; chan := count_channel(hpos); @@ -4590,7 +4629,7 @@ begin nope := FALSE; is_setting.append_enabled := FALSE; - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; is_environment.locate_pos := 2; tstr := CHAR(LO(fkey)); is_setting.terminate_keys[3] := kTAB; @@ -5033,7 +5072,9 @@ else If nope and linefeed then begin If (command_typing = 2) and (count_pos(hpos) in [3,6,9]) then Dec(hpos); - If page < PRED(songdata.patt_len) then Inc(page) + If page < PRED(songdata.patt_len) then + If linefeed then Inc(page) + else else If cycle_pattern then page := 0; end; end; diff -Nru adlibtracker2-2.4.23/ipattord.inc adlibtracker2-2.4.24/ipattord.inc --- adlibtracker2-2.4.23/ipattord.inc 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/ipattord.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { procedure pattern_list__proc; procedure PATTERN_LIST(page: Byte); @@ -1158,7 +1173,7 @@ no_trace_pattord := TRUE; nope := FALSE; is_setting.append_enabled := FALSE; - is_setting.character_set := ['0'..'9','a'..'f','A'..'F']; + is_setting.character_set := HEX_NUM_CHARSET; is_environment.locate_pos := 2; is_setting.terminate_keys[3] := kUp; is_setting.terminate_keys[4] := kDown; @@ -1176,8 +1191,9 @@ (is_environment.keystroke <> kESC) then begin If (Str2num(tstr,16) > $7f) and - (Str2num(tstr,16)-$80 = vpos+4*(hpos+page-1)-1) then GOTO _end; //CONTINUE; - nope := TRUE; + (Str2num(tstr,16)-$80 = vpos+4*(hpos+page-1)-1) then + is_environment.keystroke := WORD_NULL + else nope := TRUE; songdata.pattern_order[vpos+4*(hpos+page-1)-1] := Str2num(tstr,16); If (is_environment.keystroke = kENTER) then diff -Nru adlibtracker2-2.4.23/make_dos.bat adlibtracker2-2.4.24/make_dos.bat --- adlibtracker2-2.4.23/make_dos.bat 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/make_dos.bat 2018-12-26 23:00:00.000000000 +0000 @@ -1,6 +1,6 @@ @echo off rem ------------------------------------- -set VERSION=2.3.56 +set VERSION=2.3.57 rem ------------------------------------- if not exist *.exe goto :no_exe_file del *.exe >nul diff -Nru adlibtracker2-2.4.23/make_win.bat adlibtracker2-2.4.24/make_win.bat --- adlibtracker2-2.4.23/make_win.bat 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/make_win.bat 2018-12-26 23:00:00.000000000 +0000 @@ -3,7 +3,7 @@ cd %homedir%\git set ERR_RESULT=??? rem ------------------------------------- -set VERSION=2.4.23 +set VERSION=2.4.24 rem ------------------------------------- echo. echo ************************************ diff -Nru adlibtracker2-2.4.23/menulib1.pas adlibtracker2-2.4.24/menulib1.pas --- adlibtracker2-2.4.23/menulib1.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/menulib1.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit MenuLib1; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -134,7 +149,7 @@ _last_debug_str_ := _debug_str_; _debug_str_ := 'MENULIB1.PAS:pstr'; {$ENDIF} - Move(POINTER(Ptr(0,Ofs(mnu_data^)+(item-1)*(mnu_len+1)))^,temp,mnu_len+1); + Move(pBYTE(mnu_data)[(item-1)*(mnu_len+1)],temp,mnu_len+1); If NOT solid then pstr := ExpStrR(temp,mnu_len-2,' ') else pstr := ExpStrR(temp,mnu_len,' '); end; @@ -150,8 +165,7 @@ _debug_str_ := 'MENULIB1.PAS:pdes'; {$ENDIF} If (mn_environment.descr <> NIL) then - Move(POINTER(Ptr(0,Ofs(mn_environment.descr^)+ - (item-1)*(mn_environment.descr_len+1)))^,temp,mn_environment.descr_len+1) + Move(pBYTE(mn_environment.descr)[(item-1)*(mn_environment.descr_len+1)],temp,mn_environment.descr_len+1) else temp := ''; pdes := ExpStrR(temp,mn_environment.descr_len,' '); end; @@ -325,7 +339,7 @@ temp := Copy(pstr(item),1,MenuLib1_mn_environment.edit_pos)+temp else temp := CutStr(temp); - Move(temp,POINTER(Ptr(0,Ofs(data)+(item-1)*(len+1)))^,len+1); + Move(temp,pBYTE(mnu_data)[(item-1)*(len+1)],len+1); end; ShowCStr(MenuLib1_mn_environment.v_dest,x+1,y+idx2, diff -Nru adlibtracker2-2.4.23/menulib2.pas adlibtracker2-2.4.24/menulib2.pas --- adlibtracker2-2.4.23/menulib2.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/menulib2.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit MenuLib2; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -134,7 +149,7 @@ _last_debug_str_ := _debug_str_; _debug_str_ := 'MENULIB2.PAS:pstr'; {$ENDIF} - Move(POINTER(Ptr(0,Ofs(mnu_data^)+(item-1)*(mnu_len+1)))^,temp,mnu_len+1); + Move(pBYTE(mnu_data)[(item-1)*(mnu_len+1)],temp,mnu_len+1); If NOT solid then pstr := ExpStrR(temp,mnu_len-2,' ') else pstr := ExpStrR(temp,mnu_len,' '); end; @@ -150,8 +165,7 @@ _debug_str_ := 'MENULIB2.PAS:pdes'; {$ENDIF} If (mn_environment.descr <> NIL) then - Move(POINTER(Ptr(0,Ofs(mn_environment.descr^)+ - (item-1)*(mn_environment.descr_len+1)))^,temp,mn_environment.descr_len+1) + Move(pBYTE(mn_environment.descr)[(item-1)*(mn_environment.descr_len+1)],temp,mn_environment.descr_len+1) else temp := ''; pdes := ExpStrR(temp,mn_environment.descr_len,' '); end; @@ -325,7 +339,7 @@ temp := Copy(pstr(item),1,MenuLib2_mn_environment.edit_pos)+temp else temp := CutStr(temp); - Move(temp,POINTER(Ptr(0,Ofs(data)+(item-1)*(len+1)))^,len+1); + Move(temp,pBYTE(mnu_data)[(item-1)*(len+1)],len+1); end; ShowCStr(MenuLib2_mn_environment.v_dest,x+1,y+idx2, diff -Nru adlibtracker2-2.4.23/opl3emu.pas adlibtracker2-2.4.24/opl3emu.pas --- adlibtracker2-2.4.23/opl3emu.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/opl3emu.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,22 +1,23 @@ +// This file is part of Adlib Tracker II (AT2). // -// This library is free software; you can redistribute it and/or -// modify it under the terms of the GNU Lesser General Public -// License as published by the Free Software Foundation; either -// version 2.1 of the License, or (at your option) any later version. +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. // -// This library is distributed in the hope that it will be useful, +// AT2 is distributed in the hope that it will be useful, // but WITHOUT ANY WARRANTY; without even the implied warranty of -// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -// Lesser General Public License for more details. +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. // -// You should have received a copy of the GNU Lesser General Public -// License along with this file; if not, write to the Free Software -// Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . // +// ------------------------------------------------------------------ // OPL3 EMULATOR // Based on NukedOPL3 1.6 by Nuke.YKT (Alexey Khokholov) // Special thanks to insane/Altair for initial C to Pascal conversion -// +// ------------------------------------------------------------------ unit OPL3EMU; {$S-,Q-,R-,V-,B-,X+} diff -Nru adlibtracker2-2.4.23/package/ver/dos/ADTRACK2.DOC adlibtracker2-2.4.24/package/ver/dos/ADTRACK2.DOC --- adlibtracker2-2.4.23/package/ver/dos/ADTRACK2.DOC 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/dos/ADTRACK2.DOC 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,2821 @@ + + к-ФФФФФФФФФ--љњ њљ-ФФФФФФФ-П + љ Г + њ Г + subz3ro's Г + ФТФ ФФ Г + /ДDLiBГR/ДCK3R ГГ G3 Г + Г Г ФФ Г + 2.3.57 Г + Г + Г + Г + Г + SYSTEM REQUiREMENTS љ + ФФФФФФФФФФФФФФФФФФФ њ + + MiNiMAL + + ў CPU 386DX + ў VGA card + ў VGA monitor + ў 230k free conventional memory + ў 5MB+ free extended memory + ў Yamaha YMF262 / OPL3 (compatible) sound card + ў MS-DOS 3.0 (compatible) operating system + + RECOMMENDED + + ў Pentium processor + ў SVGA PCI card + ў SVGA monitor (VESA DDC/2B compliant) + ў 400k free conventional memory + ў 8MB+ free extended memory + ў 100k free disk space + ў SoundBlaster 16/AWE32/AWE64 (AWE64 with Reverb 15% and Chorus 25%) + ў DOS console under Windows 98 + + + CONTENTS + + I. PROLOGUE + II. SCREEN LAYOUT + III. KEY REFERENCE + IV. iNSTRUMENTS + V. EFFECTS + VI. SONG VARiABLES + VII. GETTiNG STARTED + VIII. USEFUL TiPS + IX. KNOWN PROBLEMS + X. EPiLOGUE + + +к-ФФФФФФФФФФФФФФФФФФФФФ--љњ њ +љ I. PROLOGUE љ +њ њљ-ФФФФФФФФФФФФФФФ-й + + + AdLib tracker is a 9-channel FM tracker for the OPL2-compatible + chips found on most sound cards. + A few years ago, there was a huge variety of AdLib trackers. + The most common were: + + Zwerg Zwack/Chicken's HSC-Tracker, + Jens-Christian Huus' EdLib, + Shayde's Reality AdLib Tracker, + Erik Pojar's Surprise! AdLib Tracker, + and the latest piece of cake - Conqueror's Amusic. + + This new way of AdLib tracking was just that missing part in scene, + splitting the two different worlds: a world of ugly CMF shit, + as Chicken used to say, and a world of sample based trackers, such as + FastTracker or Impulse Tracker. + + The above trackers became pretty popular and were used to produce + very nice FM music, short on size but high on quality. Such tunes were + included in many BBS intros and demos. Unfortunately, the things + went wrong, and AdLib tracking has come to fruition. + + Nowadays, at the end of second Millennium, i decided to revive this part + of tracking history. Ladies and gentlemen, subz3ro is proud to present + you a brandnew AdLib tool - /ДDLiB TR/ДCK3R ][. + + You may probably ask WHY? Let me use the words of Jens-Christian Huus, + one of the most common people in C64 and AdLib programming, the author + of EdLib: + + "People begun to actually hate FM sounds. The arrival of GUS and AWE32 + made wavetable techniques very popular and indeed it sounds very good, + but there are some things in the old FM standard that is unique. + You can't fiddle with samples in the same way as you can with FM. + I personally never quite liked sampling, i find it downright boring. + With a FM chip it is like on a C64; you have a few parameters and + everything you do has to be done within these parameters. + These boundaries makes it funny to make music, to see how far you can + actually push AdLib. To do sounds on FM requires expertice but + if you're good at it, almost any instrument can be reproduced properly, + except perhaps drums." + + + HiGHLiGHTS + ФФФФФФФФФФ + + ў supports 4-op instruments, melodic and percussion instruments + + ў supports up to 255 instruments, 128 patterns, 128 order list entries, + 89 effect commands, and 22 extended commands + + ў features 2 effect columns, instrument macro-definitions, + MPU-401 MIDI slave mode (SYNTH!) + + ў loads following song formats: + A2M (AdT2) + A2P (AdT2) [pattern] + A2T (AdT2) [tiny module] + N1/ AMD (Amusic) + CFF (BoomTracker 4.0) + DFM (Digital-FM) + N2/ FMK (FM-Kingtracker) + HSC (HSC AdLib Composer / HSC-Tracker) + MTK (MPU-401 tr’kkюr) + RAD (Reality AdLib Tracker) {ver.1} + N3/ S3M (Scream Tracker 3.x) + N4/ SAT (Surprise! AdLib Tracker) {ver.1,5,6} + N4/ SA2 (Surprise! AdLib Tracker 2.0) {ver.8,9} + N1/ XMS (XMS-Tracker) + + NOTE 1 + Because of bug in Amusic's (and its crack XMS-Tracker's) replay routine, + the Arpeggio effect used to generate buggy sounds. Since /ДDLiB TR/ДCK3R ][ + ain't got a support for such buggy things, the Arpeggio effect may differ. + + NOTE 2 + After conversion, the Tremolo and Vibrato effects may sound different, + because FM-Kingtracker uses slow speed table (not 100% emulated in AT2) + and optional waveform definitions that are currently not supported. + Also the Retrig Note effect is slightly different (if i should be honest, + i really couldn't get any sense of Sami's frame counting; all i could + do to make this effect sound way "authentic" was the frame correction during + conversion phase--and this ain't perfect, though :) + The OPL3 setting is ignored, Stereo setting is accepted, Rhythm mode is + not supported, because it was incorrectly implemented in earlier + versions of the tracker, and the author himself stopped supporting it. + Also the pattern order list will be truncated to 128 if exceeds. + + NOTE 3 + Conversion of these (primarily sample based) modules may not be 100% exact. + Therefore Slide Up/Down, Vibrato, and Tone Portamento effects may be + inaccurate after importing to /ДDLiB TR/ДCK3R ][. + Anyway, some experimental methods are used to fix up the fine-tuning + and frequency slide based Scream Tracker's effects during + conversion phase (experimental understand as "non-perfect" :) + Note that the optional vibrato/tremolo waveforms are not supported. + Also the pattern order list will be truncated to 128 if exceeds. + + NOTE 4 + Since Surprise! AdLib Tracker uses non-standard Volume Slide procedure + in replay routine, Volume Slide based effects may differ after importing + to /ДDLiB TR/ДCK3R ][. Anyway, an experimental method to fix up + this difference is used during conversion phase (blabla, same as above :) + The special arpeggio is also currently not supported (anyway, there are + no SA2 modules using that feature, afaik :) + + ў loads following instrument formats: + A2i (AdT2) + A2F (AdT2) [w/fm-register macro] + CiF (BoomTracker 4.0) + FiN (FM-Kingtracker) + iNS (HSC-Tracker/RAD-Tracker, SAdT, Amusic/AdLib instrument) + SBi (Creative Labs FM instrument) + SGi (Sound Generator 3.0) + + Note that the type of "ins" file can be set up in configuration file + if neccessary (see option "force_ins"). + + ў loads following bank formats: + A2B (AdT2) + A2W (AdT2) [w/macros] + BNK (AdLib instrument bank) {ver.1.0} + FiB (FM-Kingtracker) + iBK (Creative Labs FM instrument bank) + + ў The tracker supports block operations, and has an instrument editor. + In addition, it features Tracing, Debugging, and a MidiBoard. + + ў The tracker reads many of its settings from a configuration file. + It has strong support geared for row by row tracing, pausing, + and playing from any line in a pattern. + + +к-ФФФФФФФФФФФФФФФФФФФФФ--љњ њ +љ II. SCREEN LAYOUT љ +њ њљ-ФФФФФФФФФФФФФФФ-й + + + The main window of the tracker is roughly composed of 5 parts: + + A. The upper left hand window shows the Status of the song + (paused, playing, etc.), row number and order/pattern position, + current speed/tempo, time playing and file information. + + B. The upper right hand window is the Pattern Order. + There the user can build the order by which the patterns are played. + + C. The main window is the Pattern Editor with total count of 18/20 tracks, + 5 tracks visible at a time, where the user can compose the song, + enter the notes, commands, number of instrument, and effects. + + D. The Status Line at the bottom where the user can keep track + of the different modes and the active mode which is + highlighted (MBoard, Trace, Debug, Tracking), active octave, + active instrument, behavior mode, a.o. The user should experiment + to gain familiarity with different modes that can be activated. + + E. The bottom window under Pattern Editor shows the Volume Analyzer. + User have to scroll up the rest of main screen to see it. + You can get all the volume information (carrier and modulator + output level, overall volume and global song volume, + approximate intensity in dB) there. + + + KEYBOARD CONVENTiONS + ФФФФФФФФФФФФФФФФФФФФ + + [A] means the 'a' key on your keyboard + [^A] means the 'a' key + [Ctrl] + [Shift] A means the 'a' key + [Shift] + [Alt] A means the 'a' key + [Alt] + [Shift] ^A means the 'a' key + [Ctrl] + [Shift] + [Alt] ^A means the 'a' key + [Ctrl] + [Alt] + + In case of composite shortkeys, it is recommended to use following + order of pressing the keys: + + 1st: [Ctrl] (if any) + 2nd: [Alt] or [Shift] or [Tab] (if any) + 3rd: "ordinary" key (if any :) + + Note that sometimes when running /ДDLiB TR/ДCK3R ][ in Windows + environment, some of the Ctrl+Shift+xx (where "xx" is an ordinary + character key) keys may stop responding. It is caused by some Windows + programs or Windows itself overriding the DOS keyboard driver. + Therefore, it is recommended to use pure MS-DOS mode or terminate such + Windows programs. + + +к-ФФФФФФФФФФФФФФФФФФФФФ--љњ њ +љ III. KEY REFERENCE љ +њ њљ-ФФФФФФФФФФФФФФФ-й + + + III/1. GENERAL KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + F1 Help + F2 (^S) Save file + F3 (^L) Load file + F4 (^A) Toggle Nuke'm dialog + F5 Play + F6 Pause + F7 Stop + F8 Play song from current pattern or order + F9 Play current pattern or order only + [Ctrl] F8 @F8 from current line П + [Ctrl] F9 @F9 from current line У (Pattern Editor) + [Alt] F6 Single-play pattern й (Shift toggles trace) + [Alt] F5 @F5 П + [Alt] F8 @F8 У without synchronization + [Alt] F9 @F9 й + [Shift] F2 Quick Save + [Shift] F3 Quick Load + [Shift] F5 F5 with Trace + [Shift] F6 Toggle Debug mode from position at cursor + [Shift] F8 F8 with Trace + [Shift] F9 F9 with Trace + [Shift] Space Toggle MidiBoard mode ON/OFF + ^Space Toggle Note Recorder mode ON/OFF + [Alt] Space Toggle Synth! mode ON/OFF (if available) + [Ctrl] Home,End Skip to previous/next pattern while Tracing + +,- Same as above; play pattern from start + + кФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФП + Г WHEN iN NOTE RECORDER MODE Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г ^Left,^Right Select group of tracks for recording Г + Г Enter Start recording from current position (*) Г + Г Space Toggle using custom instrument for all tracks П Г + Г [Alt] Space Toggle using present instruments in tracks У ref. Г + Г MBoard keys Write notes to corresponding tracks Г (*) Г + Г F8,F9 Toggle pattern repeat OFF/ON й Г + Г Backspace Clear note/instrument sequence in tracks Г + Г ^Backspace Clear complete note/instrument columns Г + Г Up,Down Rewind/Fast-Forward while recording Г + Г [Shift] Up,Down Increase/Decrease row correction for writing notes Г + Г [Shift] F6 Continue in Debug mode from position at cursor Г + Г F7 Stop recording and reset starting position; Г + Г current group of tracks can be modified Г + Г [Alt] 1..9,0 Toggle track channel ON/OFF (Shift toggles 1X) Г + Г [Alt] R Reset flags on all tracks Г + Г * Reverse ON/OFF on all tracks Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г In case you need non-continuos track selection, you can choose Г + Г from already selected group a subset of tracks where notes will be Г + Г written by manipulating track ON/OFF flags. Г + РФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФй + + Note that playing with Trace and playing without synchronization can be + set up in configuration file (see options "trace_by_default" + and "nosync_by_default") + + кФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФП + Г iF SONG iS PLAYED WiTH TRACE, iT CAN BE REMOVED WHiLE... Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г Enter Playback is paused and cursor stays on position Г + Г Esc Cursor jumps to last position and playback continues Г + Г [Shift] Esc Cursor stays on position and playback continues Г + РФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФй + + ^Enter Play next pattern according to order + ^Left (Up) Rewind current pattern (with Trace) + ^Right (Down) Fast-Forward (with Trace) + [Ctrl]{Shift} , Change playback speed up/down {fine stepping} + [Ctrl]{Shift} [] Reset playback speed {default speed} + [Ctrl][Alt] Temporarily show Debug Info window + ^B Toggle Message Board window + ^D Toggle Debug Info window + ^Q Toggle Instrument Macro Editor window + ^G Toggle Arpeggio/Vibrato Macro Editor window + ^F Toggle Song Variables window + ^H Toggle Replace window + ^I Toggle Instrument Control panel + ^E Toggle Instrument Editor window + ^O Toggle Octave Control panel + ^P Toggle Pattern List window + ^R Toggle Remap Instrument window + ^T Toggle Transpose window + ^X Toggle Rearrange Tracks window + ^1..^8 Quick-set octave + [Alt] +,- (Up,Down) Adjust volume level of sound output + [Alt] C Copy object to clipboard (with selection) + [Alt] P Paste object from clipboard + [Alt] M Toggle marking lines ON/OFF + [Alt] L Toggle Line Marking Setup window + [Alt] 1..9,0 Toggle track channel ON/OFF (Shift toggles 1X) + [Alt] S Set all OFF except current track (solo) + [Alt] R Reset flags on all tracks + * Reverse ON/OFF on all tracks + F10 Quit program + F11 Toggle typing mode in Pattern Editor (ATФFTФST) + F12 Toggle line feed in Pattern Editor + [Shift] F12 Toggle jump to marked line in Pattern Editor + [Ctrl][Tab] [...] (*) Scroll screen content (if necessary) + + (*) Up,Down,Left,Right,PgUp,PgDown,Home,End + + + III/2. PATTERN ORDER KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right Cursor navigation + PgUp,PgDn Move up/down 32 patterns + Home,End Move to the top/end of pattern order + Tab,[Shift] Tab Move to next/previous entry + Insert Insert new entry + Delete Delete entry + Backspace Clear entry + ^Space Enter skip mark + ^C Copy entry to clipboard + ^V Paste entry from clipboard + +,- Adjust entry + ^F2 Save module in tiny format + Enter Switch to Pattern Editor + + Note that 80-FF pattern number range causes a jump in pattern order. + syntax: order_number[hex](+80h); e.g. "9A" jumps to order 1A + + + III/3. PATTERN EDiTOR KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right Cursor navigation + PgUp,PgDn Move up/down 16 lines + Home,End Move to the top/end of current pattern + Tab,[Shift] Tab Move to next/previous track + [Shift] PgDn,PgUp (+,-) Move to next/previous pattern + [Shift] Home,End Move fwd./bckwd. to the first/last pattern + ^Home,^End Move to the end/top of previous/next pattern + Space Advance to next row + ^PgUp,^PgDn Transpose note (block) halftone up/down + Backspace Remove note or clear attributes + Insert Insert new line (within track only) + Delete Delete line (within track only) + [Shift] Insert Insert new line + [Shift] Delete Delete line + [Shift] Enter Toggle fixed and regular note + ^K Insert Key-Off + ^C Copy object at cursor to clipboard + ^V Paste object from clipboard + [Alt][Shift] P Paste object from clipboard to more patterns + ^Z Undo last operation (if possible) + {Ctrl} "[","]" Change current instrument + [Alt] F2 Save current pattern to file + ^F2 Save module in tiny format + [Shift] F3 Quick load recent pattern data + Enter Switch to Pattern Order + + NOTE SYSTEM: C,C#,D,D#,E,F,F#,G,G#,A,A#,B(H) + VALiD NOTE ENTRiES: C,C-,C#,C1,C-1,C#1... + + кФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФП + Г BLOCK OPERATiONS iN PATTERN EDiTOR Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г Starting to mark a block: [Shift] Up,Down,Left,Right Г + Г When at least one row in one track is marked, you can continue Г + Г marking also with PgUp,PgDn,Home,End (Shift is still held down!) Г + Г Quick mark: [Alt] Q (1x-2x-3x) track Ф pattern Ф discard Г + Г Toggle last marked block: [Alt] B Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г ^B Blank block (Insert blank block to pattern) Г + Г ^C Copy block (Copy block to clipboard) Г + Г ^D Delete block (Remove block from pattern) Г + Г ^N Nuke block (Clear block contents) Г + Г ^V Paste block (Paste block from clipboard to pattern) (*) Г + Г ^X Cut block (Combine both Copy and Delete operation) Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г (*) PASTE BLOCK OPERATiON VARiANTS Г + Г ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Г + Г "Paste block" operation has three other functional variants Г + Г with different key shortcuts for activation: Г + Г 1. [Alt] V toggles "Mix block" operation, when block data Г + Г from clipboard is applied without overwriting existing data; Г + Г 2. [Shift] ^V toggles "Selective paste block" operation, Г + Г when only block data from clipboard corresponding to current Г + Г cursor position is being applied (i.e. note, instrument, Г + Г 1st effect or 2nd effect); Г + Г 3) [Alt][Shift] V toggles "Flipped paste block" operation, Г + Г when block data from clipboard is applied vertically flipped. Г + Г Г + Г MANiPULATiON WiTH FX VOLUME iNFORMATiON Г + Г ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ Г + Г When there is block marked, which contains some effect Г + Г commands carrying volume information, you can increase/decrease Г + Г their values with +/- keys. Г + Г Effect commands are processed with following priority: Г + Г 1) Set instrument volume (Cxx), Г + Г Force instrument volume (=xx) Г + Г 2) Set modulator volume (9xx) Г + Г 3) Set carrier volume (Ixx) Г + Г 4) Set global volume (%xx) Г + Г If effect command with higher priority has been processed, Г + Г all remaining effect commands with lower priority are skipped. Г + РФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФй + + + III/4. PATTERN LiST WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down Cursor navigation + PgUp,PgDn Move up/down 20 patterns + Home,End Move to the top/end of pattern list + Space Mark/Unmark pattern + ^Space Unmark all marked patterns + [Shift] ^Space Reverse marks on all patterns + [Alt] C (^C) Copy pattern to clipboard + [Alt] P (^V) Paste pattern from clipboard + [Shift] ^V Paste pattern data from clipboard + [Alt] ^V Paste pattern name from clipboard + ^N Nuke current pattern + [Shift] ^N Nuke all marked patterns + ^W Swap marked patterns + [Shift] ^W Swap marked patterns w/o names + [Shift] Insert Insert new pattern + [Shift] Delete Delete pattern + Enter Rename pattern / Multiple paste + [Shift] F3 Quick load recent pattern data + Esc Return to Pattern Editor or Pattern Order + + + III/5. iNSTRUMENT CONTROL PANEL KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down Cursor navigation + PgUp,PgDn Move up/down 16 instruments + Home,End Move to the top/end of instrument list + Space Mark/Unmark instrument + MBoard keys Preview instrument + Enter Rename instrument + ^C Copy instrument to clipboard + [Shift] ^C Copy instrument also with macro-definitions + ^V Paste instrument(s) from clipboard + [Shift] ^V Paste instrument data from clipboard + [Alt] V Paste instrument name(s) from clipboard + ^W Swap marked instruments + [Shift] ^W Swap marked instruments W/o names + Tab Toggle Instrument Editor window + [Shift] Tab Toggle Macro Editor window + [Shift] O Toggle operator mode 4OP / 2OP + [Shift] M,B,S,T,C,H Toggle melodic and percussion (BD,SD,TT,TC,HH) + [Shift] F2 Save instrument w/ fm-register macro to file + [Alt] F2 Save instrument bank to file + ^F2 Save instrument bank w/ all macros to file + [Shift] F3 Quick load recent instrument data + Esc Return to Pattern Editor or Pattern Order + + + III/6. iNSTRUMENT EDiTOR WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right, + Home,End Cursor navigation + [Alt]
Jump to section + Tab Jump to next setting + [Shift] Tab Jump to previous setting + +,- (PgUp,PgDn) Adjust value + Space Select item + ^Space (opt.) Toggle ADSR preview ON/OFF + [Ctrl] "[","]" Change current instrument + [Ctrl][Shift] "[","]" Change macro speed + [Alt]{Shift} 1..4,0 Set operators for instrument preview (*) + MBoard keys Preview instrument + Enter Toggle carrier/modulator/4OP slot settings + [Shift] O Toggle operator mode 4OP / 2OP + [Shift] M,B,S,T,C,H Toggle melodic and percussion (BD,SD,TT,TC,HH) + [Shift] F2 Save instrument w/ fm-register macro to file + [Shift] Enter Copy values from carrier/modulator slot + Esc Return to Instrument Control panel + + (*) [Alt] 1..4 Set solo operator + [Alt][Shift] 1..4 Toggle operator ON/OFF + [Alt] 0 Reset + + + III/7. iNSTRUMENT MACRO EDiTOR WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right + Home,End Cursor navigation + PgUp,PgDown Move up/down 16 lines + Tab (Enter) Jump to next field in order + [Shift] Tab Jump to previous field in order + [Shift] Up,Down Synchronous navigation within tables + [Shift] Home,End Move to the start/end of current line in table + ^Left,^Right Switch between macro tables + [Shift] ^Left,^Right Navigate to start/end of macro table + ^PgUp,^PgDown Change current arpeggio/vibrato table + [Ctrl] "[","]" Change current instrument + [Ctrl][Shift] "[","]" Change macro speed + [Alt]{Shift} 1..4,0 Set operators for instrument preview (*) + [Alt] ^C Copy values from carrier column + [Alt] ^M Copy values from modulator column + ^C Copy line in table (whole table respectively) + [Shift] ^C Copy column in table + ^V Paste object from clipboard + ^Enter Paste data from instrument registers + [Shift] Enter Paste data to instrument registers + [Shift] ^Enter Paste data from instrument registers w/ selection + Backspace Clear current item in table + [Shift] Backspace Clear line in table + +,- Adjust value at cursor / current item in table + ^Home,^End Quick-adjust table length + [Shift] ^Home,^End Quick-adjust loop begin position + [Shift] ^PgUp,^PgDown Quick-adjust loop length + Insert Insert new line in table + Delete Delete line in table + ^E Toggle envelope restart ON/OFF П + ^N Toggle note retrigger ON/OFF Г + ^Z Toggle ZERO frequency ON/OFF Г + [Alt] ^E,^N,^Z Reset all alike flags in table У FM-register + ^Backspace Toggle corresponding column ON/OFF Г table + [Alt] S Set all OFF except current column Г + [Alt] R Reset flags on all columns Г + * Reverse ON/OFF on all columns й + \ Toggle current item (switch types only) + Space Toggle macro-preview mode + ^Space Toggle Key-Off loop within macro-preview mode + ^F2 Save instrument bank w/ all macros to file + Esc Leave Instrument Macro Editor window + + (*) [Alt] 1..4 Set solo operator + [Alt][Shift] 1..4 Toggle operator ON/OFF + [Alt] 0 Reset + + + III/8. APREGGiO/ViBRATO MACRO EDiTOR WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right + Home,End Cursor navigation + PgUp,PgDown Move up/down 16 lines + Tab (Enter) Jump to next field in order + [Shift] Tab Jump to previous field in order + [Shift] Up,Down Synchronous navigation within tables + ^Left,^Right Switch between macro tables + [Shift] ^Left,^Right Navigate to start/end of macro table + ^PgUp,^PgDown Change current arpeggio/vibrato table + [Ctrl] "[","]" Change current instrument + [Ctrl][Shift] "[","]" Change macro speed + [Alt]{Shift} 1..4,0 Set operators for instrument preview (*) + ^C Copy line in table (whole table respectively) + [Shift] ^C Copy column in table + ^V Paste object from clipboard + Backspace Clear current item in table + [Shift] Backspace Clear line in table + +,- Adjust value at cursor / current item in table + ^Home,^End Quick-adjust table length + [Shift] ^Home,^End Quick-adjust loop begin position + [Shift] ^PgUp,^PgDown Quick-adjust loop length + [Shift] Esc Apply table indexes to current instrument + Esc Leave Arpeggio/Vibrato Macro Editor window + + (*) [Alt] 1..4 Set solo operator + [Alt][Shift] 1..4 Toggle operator ON/OFF + [Alt] 0 Reset + + + III/9. iNSTRUMENT MACRO BROWSER KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,PgUp,PgDown + Home,End Cursor navigation + [Shift] Up,Down Move up/down in macro table + [Shift] Left,Right Move left/right in macro table + [Shift] PgUp,PgDown Move page up/down in macro table + [Shift] Home,End Move to the start/end of macro table + [Ctrl] Home,End Move to the start/end of line in macro table + Enter Load selected macro data + ^Enter (opt.) Load all macro data from bank + [Ctrl][Shift] "[","]" Change macro speed + MBoard keys Preview instrument with selected macro data + Tab (opt.) Switch to Arpeggio/Vibrato Macro Browser window + Esc Leave Instrument Macro Browser window + + + III/10. ARPEGGiO/ViBRATO MACRO BROWSER KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,PgUp,PgDown + Home,End Cursor navigation + [Shift] Left,Right Move left/right in arpeggio table П + [Shift] PgUp,PgDown Move page left/right in arpeggio table Г + ^Left,^Right Move left/right in vibrato table У refer to + ^PgUp,^PgDown Move page left/right in vibrato table Г (*) + [Shift]{Alt} Space Toggle arpeggio table selection (**) Г + [Ctrl] {Alt} Space Toggle vibrato table selection (**) й + [Shift] Home,End Navigate to start/end of arpeggio table + ^Home,^End Navigate to start/end of vibrato table + [Ctrl] "[","]" Change current instrument + [Ctrl][Shift] "[","]" Change macro speed + MBoard keys Preview instrument with selected macro data + Enter Load selected macro data + ^Enter (opt.) Load all macro data from bank + Esc Leave Arpeggio/Vibrato Macro Browser window + + (*) Key combination with Ctrl+Shift applies action to both tables + (**) Alt key invokes no arpeggio resp. vibrato table (index value reset) + + + III/11. DEBUG iNFO WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,PgUp,PgDown + Home,End Change current track + Tab Toggle details + Backspace Toggle pattern repeat + Space Enter Debug mode / Proceed step + ^Space Exit Debug mode + [Ctrl] Home,End Skip to previous/next pattern + +,- Same as above; play pattern from start + ^Enter Play next pattern according to order + ^Left Rewind current pattern + ^Right Fast-Forward + [Alt] 1..9,0 Toggle track channel ON/OFF (Shift toggles 1X) + [Alt] S Set all OFF except current track (solo) + [Alt] R Reset flags on all tracks + * Reverse ON/OFF on all tracks + Esc Return to Pattern Editor or Pattern Order + + + III/12. REMAP iNSTRUMENT WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right + Home,End Cursor navigation + PgUp,PgDown Move up/down 16 instruments + Tab Jump to next selection + [Shift] Tab Jump to previous selection + MBoard keys Preview instrument + Enter Remap + Esc Return to Pattern Editor or Pattern Order + + + III/13. REARRANGE TRACKS WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right, + Home,End Cursor navigation + Tab Jump to next selection + [Shift] Tab Jump to previous selection + ^PgUp,^PgDown Shift track at cursor up/down in the track list + [Shift] ^PgUp,^PgDown Rotate track list from cursor upside/downside + Enter Rearrange + Esc Return to Pattern Editor or Pattern Order + + + III/14. REPLACE WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right, + Home,End Cursor navigation + Tab Jump to next selection + [Shift] Tab Jump to previous selection + ^K Insert Key-Off in note column + ^N Mark "new" field to clear found item + ^W Swap "to find" and "replace" mask content + Delete,Backspace Delete current/previous character + ^Backspace Delete "to find" or "replace" mask content + [Shift] ^Backspace Delete content of both masks + Enter Replace + Esc Return to Pattern Editor or Pattern Order + + + III/15. SONG VARiABLES WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right Cursor navigation + [Alt]
Jump to section + Tab (Enter) Jump to next variable field + [Shift] Tab Jump to previous variable field + Space Select item + ^Enter Setup rows per beat for BPM calculation + Esc Return to Pattern Editor or Pattern Order + + + III/16. FiLE BROWSER KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + Up,Down,Left,Right, + PgUp,PgDown,Home,End Cursor navigation + \ Navigate to drive root + Backspace Navigate to parent directory + [Shift] Backspace Navigate to program home directory + MBoard keys Preview instrument (instrument files only) + Enter Choose file under cursor / read instrument bank + Esc Leave without choosing file + + + III/17. MESSAGE BOARD WiNDOW KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Up,Down,Left,Right, + ^PgUp,^PgDown, + Home,End,^Home,^End Cursor navigation + PgUp,PgDown Move backwards/forwards over text + ^Left,^Right Move word left/right + Backspace,Delete Delete character left/right + ^Backspace,^T Delete word left/right + ^K Delete characters to end + ^Y Delete current line + Tab Indent current line + ^Space Insert row for text at cursor + [Shift] ^Backspace Delete row for text at cursor + Insert Toggle input and overwrite mode + Enter Wrap line of text + Esc Return to Pattern Editor or Pattern Order + + + III/18. iNPUT FiELD KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Left,Right Move left/right + Home,End Move to the begin/end + ^Left,^Right Move word left/right + Backspace,Delete Delete character left/right + ^Backspace,^T Delete word left/right + ^K Delete characters to end + ^Y Delete string + Insert Toggle input and overwrite mode + +,- Increment/decrement decimal or hexadecimal value + + + III/19. MiDiBOARD KEY REFERENCE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Use to enter notes while in MBoard mode (if not already active, + Shift+Space activates this mode if song is Stopped, or if song is Paused + with no Trace). + + + C# D# F# G# A# C# D# F# G# A# C# D# + + олл ол оллолл ол ол оллолл ол оллолл ол ол оллолл ол олл + олл ол оллолл ол ол оллолл ол оллолл ол ол оллолл ол олл + олл Sол Dоллолл Gол Hол Jоллолл 2ол 3оллолл 5ол 6ол 7оллолл 9ол 0олл + олл ол оллолл ол ол оллолл ол оллолл ол ол оллолл ол олл + олл ол оллолл ол ол оллолл ол оллолл ол ол оллолл ол олл + олллолллолллолллолллолллолллолллолллолллолллолллолллолллолллолллоллл + олллолллолллолллолллолллолллолллолллолллолллолллолллолллолллолллоллл + онZоонXоонCоонVоонBоонNоонMоонQоонWоонEоонRоонTоонYоонUоонIоонOоонPо + олллолллолллолллолллолллолллолллолллолллолллолллолллолллолллолллоллл + олллолллолллолллолллолллолллолллолллолллолллолллолллолллолллолллоллл + + C D E F G A B C D E F G A B C D E + + кФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФП + Г WHiLE TRACKER iS iN MBOARD MODE Г + УФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФД + Г MBoard key copies note in note field, plays it, and advances song Г + Г to next row. If used with Left-Shift key and line marking toggled ON, Г + Г it advances song to next highlighted row. Г + Г If used with Right-Shift key, it makes a fixed note. Г + Г Space plays row and advances song by one row. Г + Г ` inserts Key-Off, releases playing note and advances to next row. Г + РФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФй + + +к-ФФФФФФФФФФФФФФФФФФФФФ--љњ њ +љ IV. iNSTRUMENTS љ +њ њљ-ФФФФФФФФФФФФФФФ-й + + + ATTACK RATE + ФФФФФФФФФФФ + + Indicates how fast the sound volume goes to maximum. + 1=slow, 15=fast. 0 means no attack phase. + + + DECAY RATE + ФФФФФФФФФФ + + Indicates how fast the sound goes from maximum level to sustain level. + 1=slow, 15=fast. 0 means no decay phase. + + + SUSTAiN LEVEL + ФФФФФФФФФФФФФ + + Indicates the sustain level. + 1=loudest, 15=softest. 0 means no sustain phase. + + + RELEASE RATE + ФФФФФФФФФФФФ + + Indicates how fast the sound goes from sustain level to zero level. + 1=slow, 15=fast. 0 means no release phase. + + + OUTPUT LEVEL + ФФФФФФФФФФФФ + + Ranges from 0 to 63, indicates the attenuation according to the + envelope generator output. In Additive synthesis, varying + the output level of any operator varies the volume of its corresponding + channel. In FM synthesis, varying the output level of carrier varies + the volume of its corresponding channel, but varying the output of + the modulator will change the frequency spectrum produced by the carrier. + + + WAVEFORM SELECT + ФФФФФФФФФФФФФФФ + + Specifies the output waveform type. + The first is closest to pure sine wave, the last is most distorted. + + [0] SiNE + +  + Г + Г __ __ + Г / \ / \ + Г / \ / \ + ФХФФФФФФФФФФФТФФФФФФФФФФФТФФФФФФФФФФФТФФФФФФФФФФФТФФФ + Г \ / \ / + Г \ / \ / + Г ~~ ~~ + Г у/2 у 3/2у 2у + + + [1] HALF-SiNE + +  + Г + Г __ __ + Г / \ / \ + Г / \ / \ + ФХФФФФФФФФФФФТФФФФФФФФФФФТФФФФФФФФФФФТФФФФФФФФФФФТФФФ + Г + Г у/2 у 3/2у 2у + Г + Г + + + [2] ABS-SiNE + +  + Г + Г __ __ __ __ + Г / \ / \ / \ / \ + Г / \ / \ / \ / \ + ФХФФФФФФФФФФФТФФФФФФФФФФФТФФФФФФФФФФФТФФФФФФФФФФФТФФФ + Г + Г у/2 у 3/2у 2у + Г + Г + + + [3] PULSE-SiNE + +  + Г + Г _ _ _ _ + Г / | / | / | / | + Г/ | / | / | / | + ФХФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФ + Г + Г у/4 у/2 3/4у у 5/4у 3/2у 7/4у 2у + Г + Г + + + [4] SiNE, EVEN PERiODS ONLY (EPO) + +  + Г + Г + Г /~\ /~\ + Г/ \ / \ + ФХФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФ + Г \ / \ / + Г \_/ \_/ + Г + Г у/4 у/2 3/4у у 5/4у 3/2у 7/4у 2у + + + [5] ABS-SiNE, EVEN PERiODS ONLY (EPO) + +  + Г + Г + Г /~\ /~\ /~\ /~\ + Г/ \ / \ / \ / \ + ФХФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФ + Г + Г у/4 у/2 3/4у у 5/4у 3/2у 7/4у 2у + Г + Г + + + [6] SQUARE + +  + Г + Г + У-----------П к-----------П + | | | | + ФХФФФФФФФФФФФХФФФФФФФФФФФХФФФФФФФФФФФХФФФФФФФФФФФТФФФ + Г | | | | + Г Р-----------й Р-----------й + Г + Г у/2 у 3/2у 2у + + + [7] DERiVED SQUARE + +  + Г + |\ |\ + | ~~__ | ~~__ + | ~~--__ | ~~--__ + ФХФФФФФФФФФФФХФФФФФФФФФФФХФФФФФФФФФФФХФФФФФФФФФФФТФФФ + Г ~~--__ | ~~--__ | + Г ~~__ | ~~__ | + Г \| \| + Г у/2 у 3/2у 2у + + + KEY SCALiNG LEVEL (KSL) + ФФФФФФФФФФФФФФФФФФФФФФФ + + When set, makes the sound softer at higher frequencies. + With musical instruments, volume decreases as pitch increases. + Level key scaling values are used to simulate this effect. + If any (not zero), the diminishing factor can be 1.5 dB/octave, + 3.0 dB/octave, or 6.0 dB/octave. + + + PANNiNG + ФФФФФФФ + + Gives you ability of controlling output, going to left or right channel, + standing in the middle respectively. + The parameter corresponds either with carrier and modulator, therefore + it is listed only once (within the carrier slot). + + + FiNE-TUNE + ФФФФФФФФФ + + This is not a hardware parameter. + Ranges from -127 to 127, it indicates the number of frequency units + shifted up or down for any note playing with the corresponding instrument. + The parameter corresponds either with carrier and modulator, therefore + it is listed only once (within the carrier slot). + + + FEEDBACK STRENGTH + ФФФФФФФФФФФФФФФФФ + + Ranges from 0 to 7, it indicates the modulation depth + for the modulator slot FM feedback. + + кФФФФФФФФФФФФвФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФТФФФФФП + Г FEEDBACK К [0] Г [1] Г [2] Г [3] Г [4] Г [5] Г [6] Г [7] Г + УФФФФФФФФФФФФзФФФФФХФФФФФХФФФФФХФФФФФХФФФФФХФФФФФХФФФФФХФФФФФД + Г MODULATiON К 0 Гу/16 Г у/8 Г у/4 Г у/2 Г у Г 2у Г 4у Г + РФФФФФФФФФФФФаФФФФФСФФФФФСФФФФФСФФФФФСФФФФФСФФФФФСФФФФФСФФФФФй + + The parameter corresponds either with carrier and modulator, therefore + it is listed only once (within the carrier slot). + + + CONNECTiON TYPE + ФФФФФФФФФФФФФФФ + + Frequency modulation means that the modulator slot modulates the carrier. + Additive synthesis means that both slots produce sound on their own. + + [FM] FREQUENCY MODULATiON + + + кФФФФФФФФФФФФП + Г Г +  ЩЭЭЭЭЛ Г ЩЭЭЭЭЛ + P1 ФФ(+)ФФК MO ЧФФСФФ(+)ФФК CA ЧФФ OUT + ШЭЭЭЭМ  ШЭЭЭЭМ + Г + + P2 + + [ADDiTiVE SYNTHESiS] AM + + + кФФФФФФФФФФФФП + Г Г +  ЩЭЭЭЭЛ Г + P1 ФФ(+)ФФК MO ЧФФСФФФФП + ШЭЭЭЭМ Г +  + (+)ФФ OUT +  + ЩЭЭЭЭЛ Г + P2 ФФФФФФФФК CA ЧФФФФФФФй + ШЭЭЭЭМ + + The parameter corresponds either with carrier and modulator, therefore + it is listed only once (within the carrier slot). + This parameter is also very important when making 4-op instruments, + because the combination of two instrument connections specifies + the connection of the 4-op instrument as shown below: + + кФФФФФФФФФФФФвФФФФФТФФФФФТФФФФФТФФФФФП + Г SLOT К M1 Г C1 Г M2 Г C2 Г + УФФФФФФФФФФФФзФФФФФХФФФФФХФФФФФХФФФФФД + Г OPERATOR К 1 Г 2 Г 3 Г 4 Г + РФФФФФФФФФФФФаФФФФФСФФФФФСФФФФФСФФФФФй + + + [FM/FM] + + кФФФФФФФФФФФФП + Г Г +  ЩЭЭЭЭЛ Г ЩЭЭЭЭЛ ЩЭЭЭЭЛ ЩЭЭЭЭЛ + P1 ФФ(+)ФФК M1 ЧФФСФФ(+)ФФК C1 ЧФФ(+)ФФК M2 ЧФФ(+)ФФК C2 ЧФФ OUT + ШЭЭЭЭМ  ШЭЭЭЭМ  ШЭЭЭЭМ  ШЭЭЭЭМ + Г Г Г + + P2 P3 P4 + + [FM/AM] + + кФФФФФФФФФФФФП + Г Г +  ЩЭЭЭЭЛ Г ЩЭЭЭЭЛ + P1 ФФ(+)ФФК M1 ЧФФСФФ(+)ФФК C1 ЧФФФФП + ШЭЭЭЭМ  ШЭЭЭЭМ Г + Г Г +  + P2 (+)ФФ OUT +  + Г + ЩЭЭЭЭЛ ЩЭЭЭЭЛ Г + P3 ФФФФФФФФК M2 ЧФФФФФ(+)ФФК C2 ЧФФФФй + ШЭЭЭЭМ  ШЭЭЭЭМ + Г + + P4 + + [AM/FM] (*) + + кФФФФФФФФФФФФП + Г Г +  ЩЭЭЭЭЛ Г + P1 ФФ(+)ФФК M1 ЧФФСФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФП + ШЭЭЭЭМ Г + Г + Г + Г + ЩЭЭЭЭЛ ЩЭЭЭЭЛ ЩЭЭЭЭЛ  + P2 ФФФФФФФФК C1 ЧФФФФФ(+)ФФК M2 ЧФФ(+)ФФК C2 ЧФФ(+)ФФ OUT + ШЭЭЭЭМ  ШЭЭЭЭМ  ШЭЭЭЭМ + Г Г + + P3 P4 + + [AM/AM] (*) + + кФФФФФФФФФФФФП + Г Г +  ЩЭЭЭЭЛ Г + P1 ФФ(+)ФФК M1 ЧФФСФФФФФФФФФФФФФФФФФФФП + ШЭЭЭЭМ Г + Г + Г + Г + ЩЭЭЭЭЛ ЩЭЭЭЭЛ  + P2 ФФФФФФФФФК C1 ЧФФФФФ(+)ФФК M2 ЧФФ(+)ФФ OUT + ШЭЭЭЭМ  ШЭЭЭЭМ  + Г Г + Г + P3 Г + ЩЭЭЭЭЛ Г + P4 ФФФФФФФФК C2 ЧФФФФФФФФФФФФФФФФФФФФФФй + ШЭЭЭЭМ + + + (*) REMARK ABOUT 4OP CONNECTiONS FM/AM AND AM/FM + Please note, that since order of 4OP channels (hardware-wise) is 2) and 1), + these two instrument connections are swapped.', + The preview diagrams in the Instrument Editor window show actual order, + but here this information is kept in conformity with the official + Yamaha YMF262 data specification to prevent further confusion. + + + TREMOLO (AMPLiTUDE MODULATiON) + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + When set, turns tremolo (volume vibrato) ON for the corresponding slot. + The repetition rate is 3.7Hz, the depth is optional (1dB/4.8dB). + + + ViBRATO + ФФФФФФФ + + When set, turns frequency vibrato ON for the corresponding slot. + The repetition rate is 6.1Hz, the depth is optional (7%/14%). + + + KEY SCALE RATE (KSR) + ФФФФФФФФФФФФФФФФФФФФ + + When set, makes the sound shorter at higher frequencies. + With normal musical instruments, the attack and decay rate becomes faster + as the pitch increases. The key scale rate controls simulation of + this effect. An offset (rof) is added to the individual attack, decay, + and release rates depending on the following formula: + + actual_rate = (rate * 4) + rof + + The "rof" values for corresponding "rate" value and KSR state are shown + in the following table: + + кФФФФФФФФвФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФТФФФП + Г %rate% К 0 Г 1 Г 2 Г 3 Г 4 Г 5 Г 6 Г 7 Г 8 Г 9 Г A Г B Г C Г D Г E Г F Г + ЦЭЭЭЭЭЭЭЭЮЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭиЭЭЭЕ + Г [OFF] К 0 Г 0 Г 0 Г 0 Г 1 Г 1 Г 1 Г 1 Г 2 Г 2 Г 2 Г 2 Г 3 Г 3 Г 3 Г 3 Г + УФФФФФФФФзФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФХФФФД + Г [ON] К 0 Г 1 Г 2 Г 3 Г 4 Г 5 Г 6 Г 7 Г 8 Г 9 Г A Г B Г C Г D Г E Г F Г + РФФФФФФФФаФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФСФФФй + + + SUSTAiN (ENVELOPE GENERATOR TYPE) + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + When set, the sustain level of the voice is maintained until released. + When clear, the sound begins to decay immediately after hitting + the sustain phase. + + [OFF] /\ DR + / \ + / ...\...SL + AR / \ + / \ RR + / \ + _ _/ \_ _ _ + . + : + кФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + ФФФй KEY ON + + + [ON] /\ DR + / \ SL + / \ _ _ _ _ _ + AR / \ + / : \ RR + / : \ + _ _/ : \_ _ _ + . : + : : + кФФФФФФФФФФФФФФФФФФФФФФФФФФФП KEY OFF + ФФФй KEY ON РФФФФФФФФФФФФ + + + FREQUENCY DATA MULTiPLiER + ФФФФФФФФФФФФФФФФФФФФФФФФФ + + Sets the multiplier for the frequency data specified by block and + F-number. This multiplier is applied to the FM carrier or modulation + frequencies. The multiplication factor and corresonding harmonic types are + shown in the following table: + + кФФФФФФФТФФФФФТФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФП + Г MULT. Г x Г HARMONiC Г + ЦЭЭЭЭЭЭЭиЭЭЭЭЭиЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЭЕ + Г [0] Г 0.5 Г 1 octave below Г + Г [1] Г 1 Г at the voice's specified frequency Г + Г [2] Г 2 Г 1 octave above Г + Г [3] Г 3 Г 1 octave and a 5th above Г + Г [4] Г 4 Г 2 octaves above Г + Г [5] Г 5 Г 2 octaves and a Major 3rd above Г + Г [6] Г 6 Г 2 octaves and a 5th above Г + Г [7] Г 7 Г 2 octaves and a Minor 7th above Г + Г [8] Г 8 Г 3 octaves above Г + Г [9] Г 9 Г 3 octaves and a Major 2nd above Г + Г [A] Г 10 Г 3 octaves and a Major 3rd above Г + Г [B] Г 10 Г ... Г + Г [C] Г 12 Г 3 octaves and a 5th above Г + Г [D] Г 12 Г ... Г + Г [E] Г 15 Г 3 octaves and a Major 7th above Г + Г [F] Г 15 Г ... Г + РФФФФФФФСФФФФФСФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФй + + +к-ФФФФФФФФФФФФФФФФФФФФФ--љњ њ +љ V. EFFECTS љ +њ њљ-ФФФФФФФФФФФФФФФ-й + + + [0xy] ARPEGGiO + ФФФФФФФФФФФФФФ + + This command causes the note to quickly cycle through three notes: + the note playing, a note 'x' halftones above, + and a note 'y' halftones above. + This causes an effect similar to old C64 chords. + Note that the song speed has to be greater or equal to three + in order to perform arpeggio effect completely. + + + [1xx] FREQUENCY SLiDE UP + ФФФФФФФФФФФФФФФФФФФФФФФФ + + This command slides the frequency up (pitch bend). + Parameter 'xx' gives speed of slide. + + + [2xx] FREQUENCY SLiDE DOWN + ФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command slides the frequency down (pitch bend). + Parameter 'xx' gives speed of slide. + + + [3xx] TONE PORTAMENTO + ФФФФФФФФФФФФФФФФФФФФФ + + This command is used together with a note and will slide to its frequency. + Parameter 'xx' gives speed of slide. + If you specify 'xx' as '00' then the previous value will be used. + + + [4xy] ViBRATO + ФФФФФФФФФФФФФ + + This command causes the frequency to oscillate with depth 'y' at speed 'x'. + If you specify 'xy' as '00' then the previous value will be used. + + + [5xy] TONE PORTAMENTO WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Tone portamento and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [6xy] ViBRATO WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Vibrato and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [7xx] FiNE FREQUENCY SLiDE UP + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command slides the frequency up (pitch bend) once per row. + Parameter 'xx' gives speed of slide. + + + [8xx] FiNE FREQUENCY SLiDE DOWN + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command slides the frequency down (pitch bend) once per row. + Parameter 'xx' gives speed of slide. + + + [9xx] SET MODULATOR VOLUME + ФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command sets the volume of modulator slot. + Value of 'xx' ranges from 0 to 3F (softest -> loudest). + + + [Axy] VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФ + + This command fades the volume up or down at the given speed. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'x' then 'y' is not used, and vice versa. + + + [Bxx] PATTERN JUMP + ФФФФФФФФФФФФФФФФФФ + + This command causes the song to jump to order 'xx'. + This is often used to create looping songs. + If two 'Bxx' commands are given, then the command in the + higher track will take effect. + + + [Cxx] SET iNSTRUMENT VOLUME + ФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command sets the absolute volume of the instrument. + It is equal to Ixx command when instrument uses FM connection, + otherwise both carrier and modulator volume is updated. + Value of 'xx' ranges from 0 to 3F (softest -> loudest). + + + [Dxx] PATTERN BREAK + ФФФФФФФФФФФФФФФФФФФ + + This command signifies the end of the current pattern, and also that + the next pattern should be played from row 'xx'. + If two 'Dxx' commands are given, then the command in the + higher track will take effect. + + + [Exx] SET TEMPO + ФФФФФФФФФФФФФФФ + + This command changes the song tempo (also known as BPM). + Parameter 'xx' gives hexadecimal value of refresh rate in Hz. + If two 'Exx' commands are given, then the command in the + higher track will take effect. + + + [Fxx] SET SPEED + ФФФФФФФФФФФФФФФ + + This command changes the song speed. + Parameter 'xx' gives how many frames to wait before advancing row. + If two 'Fxx' commands are given, then the command in the + higher track will take effect. + + + [Gxy] TONE PORTAMENTO WiTH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Tone portamento and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Hxy] ViBRATO WiTH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Vibrato and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Ixx] SET CARRiER VOLUME + ФФФФФФФФФФФФФФФФФФФФФФФФ + + This command sets the volume of carrier slot. + Value of 'xx' ranges from 0 to 3F (softest -> loudest). + + + [Jxy] SET WAVEFORM + ФФФФФФФФФФФФФФФФФФ + + This command changes the waveform of carrier or modulator slot. + Parameter 'x' gives carrier and 'y' modulator waveform type as following: + + '0'-'7' means type of waveform, + 'F' means "no change". + + For more information see chapter IV, part "Waveform select". + + + [Kxy] FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФ + + This command fades the volume up or down at the given speed, + once per row. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'x' then 'y' is not used, and vice versa. + + + [Lxx] RETRiG NOTE + ФФФФФФФФФФФФФФФФФ + + This command retriggs the note after 'xx' frames. + If no note is specified, last given is used. + The lower is the interval, the faster is the retrigger. + + + [Mxy] TREMOLO + ФФФФФФФФФФФФФ + + This command causes the volume to oscillate with depth 'y' at speed 'x'. + If you specify 'xy' as '00' then the previous value will be used. + Tremolo acts like vibrato, but changing the volume instead of the pitch. + + + [Nxy] TREMOR + ФФФФФФФФФФФФ + + This command causes the volume to remain normal for 'x' frames, + then fades the volume to zero for 'y' frames. + + + [Oxy] ARPEGGiO WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Arpeggio and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Pxy] ARPEGGiO WiTH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Arpeggio and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Qxy] MULTi RETRiG NOTE + ФФФФФФФФФФФФФФФФФФФФФФФ + + This command retriggs the note after 'x' frames with specified + volume change. Parameter 'y' gives type of volume change: + + '0' is None, '8' is Unused, + '1' is -1, '9' is +1, + '2' is -2, 'a' is +2, + '3' is -4, 'b' is +4, + '4' is -8, 'c' is +8, + '5' is -16, 'd' is +16, + '6' is *2/3, 'e' is *3/2, + '7' is *1/2, 'f' is *2. + + If no note is specified, last given is used. + The lower is the interval, the faster is the retrigger. + + + [Rxy] FREQUENCY SLiDE UP WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Frequency slide up and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Sxy] FREQUENCY SLiDE DOWN WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Frequency slide down and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Txy] FiNE FREQUENCY SLiDE UP WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Fine frequency slide up and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Uxy] FiNE FREQUENCY SLiDE DOWN WiTH VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Fine frequency slide down and Volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Vxy] FREQUENCY SLiDE UP WiTH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Frequency slide up and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Wxy] FREQUENCY SLiDE DOWN WiTH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Frequency slide down and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Xxy] FiNE FREQUENCY SLiDE UP WITH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Fine frequency slide up and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Yxy] FiNE FREQUENCY SLiDE DOWN WiTH FiNE VOLUME SLiDE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command executes both Fine frequency slide down and Fine volume slide. + Parameter 'xy' gives speed of volume slide: + + 'x' is speed of slide up, + 'y' is speed of slide down. + + If you specify 'xy' as '00' then the previous value will be used. + + + [Z0x] SET TREMOLO DEPTH + ФФФФФФФФФФФФФФФФФФФФФФФ + + This command changes the Tremolo depth of all 36 operators. + Parameter 'x' gives depth: + + '0' is 1dB, + '1' is 4.8dB. + + + [Z1x] SET ViBRATO DEPTH + ФФФФФФФФФФФФФФФФФФФФФФФ + + This command changes the Vibrato depth of all 36 operators. + Parameter 'x' gives depth: + + '0' is 7%, + '1' is 14%. + + + [Z2x] SET MODULATOR'S ATTACK RATE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Attack rate". + + + [Z3x] SET MODULATOR'S DECAY RATE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Decay rate". + + + [Z4x] SET MODULATOR'S SUSTAiN LEVEL + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Sustain level". + + + [Z5x] SET MODULATOR'S RELEASE RATE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Release rate". + + + [Z6x] SET CARRiER'S ATTACK RATE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Attack rate". + + + [Z7x] SET CARRiER'S DECAY RATE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Decay rate". + + + [Z8x] SET CARRiER'S SUSTAiN LEVEL + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Sustain level". + + + [Z9x] SET CARRiER'S RELEASE RATE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + ADSR command. Parameter 'x' gives the value. + For more information see chapter IV, part "Release rate". + + + [ZAx] SET FEEDBACK STRENGTH + ФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command changes the Feedback strength of current instrument. + Parameter 'x' gives the value. + For more information see chapter IV, part "Feedback strength". + + + [ZBx] SET PANNiNG POSiTiON + ФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command changes the panning of current instrument. + Parameter 'x' gives position: + + '0' is center, + '1' is left, + '2' is right. + + + [ZCx] PATTERN LOOP + ФФФФФФФФФФФФФФФФФФ + + Syntax: ZC0 - Set loopback point + ZCx - Loop x times. + + This pattern space-saving feature will cause the pattern + to be looped 'x' times back to the last ZC0 command. + Note that you can only loop within the pattern, and each track + has its own loopback information, so you are supposed to have + corresponding ZC0 and ZCx commands in the same track in order to operate. + If ZCx commands are put in both effect columns, only the one in first + column will operate. + + + [ZDx] RECURSiVE PATTERN LOOP + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + Syntax: ZD0 - Set loopback point + ZDx - Loop x times. + + This command is recursive variant of ZCx effect command. + It means that when such kind of loop is located inside other "parent" loop, + it is proceeded any time it is passed by this loop. + Note that using ZC0 instead of ZD0 command has the same effect. + Please keep in mind that ZDx command should not be used in combination + with ZCx command in other effect column, otherwise it will cause an + endless loop. + + + [ZE0/ZE1] TOGGLE MACRO KEY-OFF LOOP + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command temporarily turns on-or-off looping + of Key-Off phase in macro-table for current instrument. + Parameter 'x' gives the state to toggle: + + '0' is OFF, + '1' is ON. + + Note that "temporarily" means that the change is valid until there will be + set different instrument than is the current one, for which this command + is to be used. + + + [ZE2/ZE3] TOGGLE RESTART ENVOLOPE WiTH TONE PORTAMENTO + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command turns on-or-off restarting of ADSR envelope for current track. + It means, that the note key will be retriggered for every row with non-empty + input in the note column (rows where note culumn is empty are not affected). + Parameter 'x' gives the state to toggle: + + '2' is OFF, + '3' is ON. + + + [ZE4] PERFORM RESTART ENVELOPE + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command restarts ADSR envelope for current instrument. + + + [ZE5/ZE6] TOGGLE 4OP TRACK VOLUME LOCK + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command turns on-or-off 4OP Track Volume Lock. + If 4OP Track Volume Lock is set, some of the volume effect commands + will work in 4OP mode instead of default (2OP) mode. This means, + that volume attenuation is calculated according 4OP connection + of the 2 used instruments in track 2) and 1), and you don't have to + manage carrier/modulator output level to get desired volume level + during playback. + Parameter 'x' gives the state to toggle: + + '5' is OFF, + '6' is ON. + + Here is a complete list of commands that are affected by this lock: + 5xy/6xy, Axy, Cxx, Gxy/Hxy, Kxy, Mxy, Rxy/Sxy, Txy/Uxy, + Vxy/Wxy, Xxy/Yxy, ^xy, %xx + + Please note that when the 4OP Track Volume Lock is set for particular + 4OP tracks, setting of 'Volume Scaling' is ignored (it is mandatory ON). + + + [ZE7] PERFORM BPM SLiDE USiNG >xx AND xx and xx, xx, loudest). + + + [%xx] SET GLOBAL VOLUME + ФФФФФФФФФФФФФФФФФФФФФФФ + + This command sets the global volume of song. + Value of 'xx' ranges from 0 to 3F (softest -> loudest). + + + [>xx] GLOBAL FREQ. SLiDE UP + ФФФФФФФФФФФФФФФФФФФФФФФФФФФФФ + + This command slides the frequency up (pitch bend) in all tracks + from current to last, if it's not overridden by using another + 'xx' in second effect column or one of succeeding tracks. + Parameter 'xx' gives speed of slide. + + Note that you can also use Fine or Extra Fine variant of this command + by putting command switch 'ZFD' or 'ZFE' into second effect column. + + + [ off) +18hz_fix=on ; treat 18 Hz tempo as 18.2 Hz + +[COSMETiCS] + +mod_description=off ; list description (if any) included in module +use_h_for_b=off ; use "h" instead of "b" in note system +highlight_controls=on ; highlight common effects (i.e. jump,loop,speed/tempo) +decay_bar_rise=3 ; decay bar's rise speed (1-10) +decay_bar_fall=3 ; decay bar's fall speed (1-10) +scroll_bars=on ; process scrollbars in pattern & order list +pattern_layout=0 ; pattern layout: + ; [0] AdT2 + ; [1] FastTracker + ; [2] Scream Tracker + +[COLORS] + +// main screen + +main_background=1 ; background +main_title=11 ; title +main_border=11 ; border +main_stat_line=3 ; status line text +main_hi_stat_line=11 ; status line highlighted text +main_dis_stat_line=5 ; status line disabled text +main_behavior=13 ; behavior mode indicator +main_behavior_dis=5 ; behavior mode disabled indicator + +// scrollbars + +scrollbar_bckg=3 ; background +scrollbar=0 ; text +scrollbar_mark=11 ; position mark +scrollbar_2nd_mark=15 ; 2nd position mark + +// status window + +status_background=1 ; background +status_border=14 ; border +status_static_txt=11 ; static text +status_dynamic_txt=15 ; dynamic text +status_play_state=7 ; play state +status_text_dis=5 ; disabled text + +// pattern order window + +order_background=1 ; background +order_hi_bckg=5 ; highlighted background +order_border=10 ; border +order_entry=3 ; entry +order_hi_entry=11 ; highlighted entry +order_patt#=7 ; pattern number +order_patt#_jump=2 ; pattern number (jump) +order_hi_patt#=15 ; highlighted pattern number +order_played_b=12 ; currently played order background +order_played=0 ; currently played order +order_input_bckg=11 ; string input background +order_input=0 ; string input text +order_input_warn=12 ; string input warning + +// pattern editor window + +pattern_bckg=1 ; background +pattern_border=15 ; border +pattern_pos_indic=11 ; position indicator +pattern_pan_indic=3 ; panning indicator +pattern_gpan_indic=15 ; track panning indicator +pattern_lock_indic=7 ; lock indicator +pattern_4op_indic=14 ; 4-OP track indicator +pattern_perc_indic=15 ; percussion track indicator +pattern_chan_indic=14 ; track OFF indicator +pattern_row_bckg=5 ; current row background +pattern_row_bckg_p=12 ; currently played row background +pattern_block_bckg=2 ; block background +pattern_line#=3 ; line number +pattern_line#_p=0 ; currently played line number +pattern_hi_line#=11 ; highlighted line number +pattern_row_bckg_m=11 ; current row background П +pattern_line#_m=11 ; line number У if line marking is active +pattern_hi_line#_m=3 ; highlighted line number й +pattern_note=7 ; note +pattern_hi_note=15 ; highlighted note +pattern_note0=3 ; note (nul) +pattern_hi_note0=12 ; highlighted note (nul) +pattern_note_hid=9 ; hidden note +pattern_hi_note_h=7 ; highlighted hidden note +pattern_ins#=2 ; instrument number +pattern_hi_ins#=10 ; highlighted instrument number +pattern_ins#0=2 ; instrument number (nul) +pattern_hi_ins#0=10 ; highlighted instrument number (nul) +pattern_cmnd=7 ; effect command +pattern_hi_cmnd=15 ; highlighted effect command +pattern_cmnd0=3 ; effect command (nul) +pattern_hi_cmnd0=11 ; highlighted effect command (nul) +pattern_fix_note=11 ; fixed note +pattern_hi_fx_note=14 ; higlighted fixed note +pattern_cmnd_ctrl=13 ; controls if "highlight controls" is ON +pattern_note_m=9 ; note П +pattern_note0_m=9 ; note (nul) Г +pattern_note_hid_m=13 ; hidden note Г +pattern_ins#_m=2 ; instrument number У if line marking is active +pattern_ins#0_m=2 ; instrument number Г +pattern_cmnd_m=9 ; effect command Г +pattern_cmnd0_m=9 ; effect command (nul) Г +pattern_fix_note_m=14 ; fixed note й +pattern_note_b=15 ; note П +pattern_note0_b=11 ; note (nul) Г +pattern_note_hid_b=5 ; hidden note У if block is being marked +pattern_ins#_b=10 ; instrument number Г +pattern_ins#0_b=10 ; instrument number Г +pattern_cmnd_b=15 ; effect command Г +pattern_cmnd0_b=11 ; effect command (nul) Г +pattern_fix_note_b=14 ; fixed note й +pattern_input_bckg=11 ; string input background +pattern_input=0 ; string input text +pattern_input_warn=8 ; string input warning + +// macro editor window + +macro_background=4 ; background +macro_title=11 ; title +macro_border=15 ; border +macro_topic=12 ; topic +macro_topic2=13 ; topic #2 +macro_hi_topic=14 ; highlighted topic +macro_text=13 ; text +macro_hi_text=14 ; highlighted text +macro_text_dis=6 ; disabled text +macro_text_loop=12 ; text [loop] +macro_text_keyoff=3 ; text [key-off] +macro_current_bckg=5 ; current line background +macro_current=13 ; current line text +macro_current_dis=0 ; current line disabled text +macro_current_loop=12 ; current line text [loop] +macro_current_koff=11 ; current line text [key-off] +macro_input_bckg=7 ; string input background +macro_input=0 ; string input text +macro_def_bckg=12 ; string input default text background +macro_def=0 ; string input default text +macro_scrbar_bckg=7 ; scrollbar background +macro_scrbar_text=0 ; scrollbar text +macro_scrbar_mark=15 ; scrollbar position mark +macro_hint=7 ; hint +macro_item=15 ; item text +macro_short=14 ; item shortcut +macro_item_dis=7 ; item disabled +macro_sel_itm_bckg=7 ; selected item background +macro_sel_itm=0 ; selected item text +macro_sel_short=4 ; selected item shortcut +macro_context=14 ; context +macro_context_dis=7 ; disabled context + +// volume analyzer window + +analyzer_bckg=1 ; background +analyzer=3 ; text +analyzer_overallvol=3 ; overall volume +analyzer_volumelvl=7 ; volume level +analyzer_modulator=2 ; modulator intensity +analyzer_carrier=3 ; carrier intensity + +// debug info window + +debug_info_bckg=4 ; background +debug_info_bckg2=9 ; background of current track +debug_info_border=5 ; border +debug_info_border2=15 ; border #2 +debug_info_title=11 ; title +debug_info_topic=14 ; topic +debug_info_txt=7 ; text +debug_info_hi_txt=15 ; highlighted text +debug_info_txt_hid=6 ; hidden text +debug_info_mod=2 ; modulator data +debug_info_hi_mod=10 ; highlighted modulator data +debug_info_car=3 ; carrier data +debug_info_hi_car=11 ; highlighted carrier data +debug_info_4op=8 ; 4-OP track indicator +debug_info_perc=8 ; percussion track indicator +debug_info_bpm=8 ; BPM indicator + +// help window + +help_background=4 ; background +help_title=11 ; title +help_border=15 ; border +help_topic=12 ; topic +help_text=7 ; text +help_hi_text=12 ; higlighted text +help_keys=15 ; keys, keywords +help_indicators=14 ; position indicators + +// instrument editor windows + +instrument_bckg=4 ; background +instrument_title=11 ; title +instrument_border=15 ; border +instrument_text=7 ; text +instrument_hi_text=15 ; highlighted text +instrument_glob=13 ; global data +instrument_hi_glob=15 ; highlighted global data +instrument_hid=6 ; hidden text +instrument_mod=2 ; modulator data +instrument_car=3 ; carrier data +instrument_hi_mod=10 ; highlighted modulator data +instrument_hi_car=11 ; highlighted carrier data +instrument_context=14 ; context +instrument_con_dis=5 ; disabled context +instrument_adsr=6 ; ADSR preview (background) + +// instrument activity indicators + +instrument_ai_off=6 ; never played +instrument_ai_on=13 ; already played +instrument_ai_trig=14 ; currently triggered + +// dialog boxes + +dialog_background=4 ; background +dialog_title=11 ; title +dialog_border=15 ; border +dialog_text=13 ; text +dialog_hi_text=14 ; highlighted text +dialog_hid=6 ; hidden text +dialog_item=15 ; item text +dialog_short=14 ; item shortcut +dialog_item_dis=5 ; disabled item +dialog_sel_itm_bckg=7 ; selected item background +dialog_sel_itm=0 ; selected item text +dialog_sel_short=4 ; selected item shortcut +dialog_context=14 ; context +dialog_context_dis=7 ; disabled context +dialog_context_dis2=9 ; disabled context #2 +dialog_input_bckg=7 ; string input background +dialog_input=0 ; string input text +dialog_def_bckg=12 ; string input default text background +dialog_def=0 ; string input default text +dialog_prog_bar1=9 ; progress bar color #1 +dialog_prog_bar2=11 ; progress bar color #2 +dialog_topic=13 ; topic +dialog_hi_topic=14 ; highlighted topic +dialog_mod_text=10 ; modulator specific text +dialog_car_text=11 ; carrier specific text +dialog_misc_indic=8 ; miscellaneuos indicators + +// RGB color palette + +color00=00,00,00 ; color #0 +color01=05,10,30 ; color #1 +color02=00,30,30 ; color #2 +color03=00,30,40 ; color #3 +color04=00,00,20 ; color #4 +color05=15,25,35 ; color #5 +color06=00,10,25 ; color #6 +color07=20,30,40 ; color #7 +color08=45,35,50 ; color #8 +color09=10,20,30 ; color #9 +color10=20,40,40 ; color #10 +color11=20,40,50 ; color #11 +color12=25,35,50 ; color #12 +color13=30,35,45 ; color #13 +color14=35,60,60 ; color #14 +color15=40,50,55 ; color #15 + +[SYSTEM SETTiNGS] + +// adlib hardware + +adlib_port=0 ; OPL3 interface's base address: + ; [0] autodetection + ; [1-FFFFh] user definable range + +opl_latency=0 ; OPLx register writes latency: + ; [0] opl3-optimized (recommended) + ; [1] 3.3 + 23 цs (use in case of troubles only) + +// program screen + +screen_mode=0 ; program screen mode: + ; [0] classic view (90x40 @ 720x480) (recommended) + ; [1] extended view (120x50 @ 800x600) [SVGA][*] + ; [2] wide extended view (180x60 @ 1024x768) [SVGA][*] + ; [3] compatibility text-mode (...) + ; [4] fullscreen (90x38 @ 800x600) [SVGA] + ; [5] extended fullscreen (120x47 @ 1024x768) [SVGA] + +comp_text_mode=0 ; compatibility text-mode: + ; [0] 80x30 + ; [1] 80x25 + ; [2] 90x30 (default) ФП + ; [3] 90x47 У VESA text-mode emulation + ; [4] 120x47 Фй + +fps_down_factor=0 ; CPU saving factor for realtime gfx presentation + ; and VESA text-mode emulation: + ; [0] none + ; [1-10] slowdown factor (1=least, 10=most) + +// peripherals + +typematic_rate=0 ; typematic rate [0] 30 chars/sec ... [31] 2 chars/sec +typematic_delay=0 ; typematic delay [0] 250 ms ... [3] 1s +mouse_hspeed=50 ; horizontal speed in mickeys/pixel +mouse_vspeed=50 ; vertical speed in mickeys/pixel +mouse_threshold=0 ; speed-doubling threshold in mickeys/second +mouse_disabled=off ; disable screen scrolling by mouse + +// ******************************************* +// ** Custom SVGA text-mode configuration ** +// ******************************************* + +custom_svga_mode=off ; custom SVGA text-mode (only use this mode + ; if you know what you are doing) + +svga_txt_columns=100 ; columns (80..180) +svga_txt_rows=37 ; rows (25..60) + +// CRTC register values (hex) (00-FF) + +crtc_misc_out=6b ; Miscellaneous output +crtc_h_total=70 ; Horizontal total +crtc_h_disp_en_end=63 ; Horizontal display enable end +crtc_h_blank_start=64 ; Horizontal blank start +crtc_h_blank_end=82 ; Horizontal blank end +crtc_h_ret_start=65 ; Horizontal retrace start +crtc_h_ret_end=82 ; Horizontal retrace end +crtc_v_total=70 ; Vertical total +crtc_overflow_reg=f0 ; Overflow register +crtc_preset_r_scan=00 ; Preset row scan +crtc_max_scan_h=4f ; Maximum scan line/char height +crtc_v_ret_start=5b ; Vertical retrace start +crtc_v_ret_end=8c ; Vertical retrace end +crtc_v_disp_en_end=4f ; Vertical display enable end +crtc_offs_width=3c ; Offset/logical width +crtc_underline_loc=00 ; Underline location +crtc_v_blank_start=58 ; Vertical blank start +crtc_v_blank_end=70 ; Vertical blank end +crtc_mode_ctrl=a3 ; Mode control +crtc_clock_m_reg=01 ; Clock mode register +crtc_char_gen_sel=00 ; Character generator select +crtc_memory_m_reg=00 ; Memory mode register +crtc_mode_reg=10 ; Mode register +crtc_misc_reg=0e ; Miscellaneous register +crtc_mode_control=02 ; Mode control +crtc_screen_b_clr=00 ; Screen border color +crtc_colr_plane_en=0f ; Color plane enable +crtc_h_panning=00 ; Horizontal panning +crtc_color_select=00 ; Color select diff -Nru adlibtracker2-2.4.23/package/ver/dos/FILE_ID.DIZ adlibtracker2-2.4.24/package/ver/dos/FILE_ID.DIZ --- adlibtracker2-2.4.23/package/ver/dos/FILE_ID.DIZ 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/dos/FILE_ID.DIZ 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,37 @@ + њљ-ФФФФФФФФФФФФФФФФФФФФ?? + ? subz3ro's ? + ? /?LiB TR/?K3R ][ G3 ? + ?ФФФФФФ-ФФФФФФФФФФФФ--љњ 2.3.57 + + ?ФФФФФФФФФФФФ?-љњ ? + ? HiGHLiGHTS ? + ? њљ-ФФФФФФФФФФ?? + + ў supports 4-op instruments, + melodic and percussion + instruments + + ў 255 instruments, + 128 patterns, + 128 order list entries + + ў 89 effect commands, + and 22 extended commands + ? + ў supports: ? + AMD,CFF,DFM,FMK,HSC,MTK,RAD, ? + S3M,SAT,SA2,XMS, ? + BNK,CiF,FiB,FiN,iBK,iNS, ? + SBi,SGi ? + ? + ў 2 effect columns, ? + instrument macro-definitions, + MPU-401 MIDI slave mode, + lots of adlib modules + and instruments + ? + ?ў command-line player included + ? + ?unZip with "-d" option set + ? + ?ФФФФФФФФФФФФФФФФ?-љњ \ No newline at end of file diff -Nru adlibtracker2-2.4.23/package/ver/dos/REVISION.TXT adlibtracker2-2.4.24/package/ver/dos/REVISION.TXT --- adlibtracker2-2.4.23/package/ver/dos/REVISION.TXT 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/dos/REVISION.TXT 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,641 @@ +к-ФФФФФФФФФФФФФФФФФФФФФФ--љњ њ +љ /ДDLiB TR/ДCK3R ][ Revision History љ +њ њљ-ФФФФФФФФФФФФФФФФФФ-й + +For complete AT2 revision history refer to: +http://www.adlibtracker.net/files/revision.htm + + +* version 2.3.57 + released: 12/24/2018 (* 12/27/2018) + + . fixed version check for Reality ADlib Tracker modules + . fixed instrument preview bug in Arpeggio/Vibrato Macro Browser window + . fixed C-9 note replacement bug on invalid flat-sharp conversion + . fixed linefeed option not being evaluated for some actions and added possibility + to disable it for MidiBoard (refer to option 'lf_in_mboard_mode' in config file) + . fixed broken Global Freq. Slide Up/Down effect command + . implemented native BPM handling: + - implemented BPM calculation (predicted according to rows per beat + taken from Line Marking Setup window) + - added possibility to manually control playback speed (hotkey: [Ctrl]{Shift} Up,Down) + and controlling it using effect commands (refer to extended command 'ZE7') + - added overview of current BPM and playback speed shift in Hz + . changes in Song Variables window: + - changed cursor movement to be more predictable/convenient + - added key shortcut for each option + - added preview and prediction of BPM speed + - added access to quick setup of rows per beat (hotkey: ^Enter) + . improved behavior of temporary song status in the upper left corner + . added possibility to change playback speed (hotkey: [Ctrl]{Shift} Up,Down) + . optimized window arrangement in case of enlarged screen size + . improved string input (quick change of decimal and hexadecimal values with +/-) + . improved speed of loading/saving progress bar + . AT2 player updated to version 0.46 + * bufgfixes (...) + + +* version 2.3.56 + released: 07/27/2016 (* 07/01/2017) + + . fixed typing behavior bugs in Message Board window + . fixed pattern names not being read from A2M file + . fixed typing with Alt-codes; changed alternative hotkey + for adjusting volume of sound output (new hotkey: [Alt][Shift] Up,Down) + . fixed ADSR preview being shown for KSR instead of Envelope type + . fixed bug with incorrect pitch calculation in instrument preview + . fixed bug when preview of non-macro instrument in File Selector + overwritten macro data of current instrument + . fixed interface bug occurring on instrument preview in File Selector + . fixed replace function for Key-Off notes + . added back and improved 'per instrument' positional memory + . improved functionality of Pattern List window + . added operator preview functionality to Instrument and Macro Editor + . added automatic 4OP track volume manipulation (4OP+) for much more + convenient work with volume for some volume-based commands + and added command switches for toggling old (2OP) handling (for more + information, refer to documentation of extended command 'ZE5/ZE6') + . fixed 'Bxx' command not being evaluated correctly in 2nd effect column + . fixed mistyped frequency multiplier values + . added 'reset envelope' and 'ZERO frequency' triggers to FM-macro table + . added short keys for copying data between carrier/modulator column + in Macro Editor window and copying data between carrier/modulator slot + in Instrument Editor window + . added possibility to apply table indexes to current instrument + in Arpeggio/Vibrato Macro Editor window + . completely redesigned handling of notes within 4OP channels + and improved user interface + . fixed slow reading of instrument bank files + . fixed preview of empty instrument with FM-register macro + . slightly fixed channel ON/OFF for percussion tracks SD/TT/TC/HH + . fixed occasional program crash when OPL3 is not detected + . pretty much improved extended screen views (using SVGA text modes) + and added back mouse support for more convenient text scrolling + . added fullscreen views using SVGA text modes (refer to modes 4 and 5) + and overally improved window size/position to fit screen mode + . AT2 player updated to version 0.45 + * fixed stability issues in AT2 player + * added some new stuff in Modules directory :-) + * fixed bug in replay routine of AT2 player + * implemented OPL registry cache to replay routine + + +* version 2.3.55 + released: 09/20/2015 (* 12/17/2015) + + . code ported to FPC/GO32V2 platform due to neverending stability issues + . changes/improvements in Instrument Editor window: + - added operator connection graphics + - added browsing through all 4 operators with Enter and Tab/Shift+Tab keys + - added status hints for current operator/instrument/4OP track + - rearranged carrier/modulator/general settings + - improved browsing through radio button sections + - added separate color for percussive instruments + - added section hotkeys for quick navigation + - removed 'per instrument' positional memory (obsolete now) + . improved speed of user interface in Macro Editor window + . improved changing current instrument when 4OP instrument is selected + . changed behaviour after releasing MBoard keys in instrument preview mode + to Key-Off trailing + . fixed Key-Off phase preview with 4OP instruments + . improved functionality of Macro Browser under different conditions of use + . fixed unintentional setting of octave while forcing KeyOff trailing + with Ctrl key upon instrument preview + . fixed interface bug in Macro Editor window when changing current instrument + upon macro preview + . fixed interface bug when loading complete content from A2B/A2W files + . fixed macro restart with 4OP tracks upon note retrigger + . changed compression algorithm to LZH / new file format versions + . added fast-forward / rewind multiplication factor options (refer to + options "fforward_factor" and "rewind_facotr" in config file) + . updated file system and overall improved manipulation for more + convenience when working with 4OP instruments + . added new effect commands for restarting envelope (refer to "ZEx") + . fixed non-functional hotkey for operation Paste object + from clipboard to more patterns (new hotkey: [Alt][Shift] P) + . added one step undo operation in Pattern Editor window (hotkey: ^Z) + . added hotkey to delete complete note/instrument columns of track group + when in when in Note Recorder mode (hotkey: ^Backspace) + . fixed program freeze upon reaching last marked line when jump to next + marked line was activated while cycle pattern was disabled + . added option to keep track position (column) when jumping over tracks + with Tab/Shift+Tab keys (refer to option "keep_track_pos" in config file) + . improved seeking to pattern / position when song is played without trace + . pretty much improved internal logic for preview of 4OP instruments + . added key shortcut for Instrument Editor window (hotkey: ^E); + changed hotkey for Arpeggio/Vibrato Macro Editor window (new hotkey: ^G) + . added Message Board window (hotkey: ^B) + . AT2 player updated to version 0.44 + * fixed detection of DPMI available memory (LFB) + * fixed cursor behavior on typing instrument name and in Message Board window + * fixed bug that may lead to program crash when previewing TC/HH instrument + * fixed slower speed of decay bars + + +* version 2.3.54 + released: 04/12/2015 (* 05/11/2015) + + . improved program stability (hopefully :) + . fixed/improved precision of playback calibration with pattern jumps + . fixed typing in effect columns with NUMPAD keys + . fixed note trailing of some instruments when playback is stopped + . added alternative key combo for setting volume level of sound output + on keyboards without NUMPAD keys (hotkey: [Alt] Up,Down) + . numerous changes of code for improving program stability under DPMI + . fixed occasional playback mute issue with F7 key in MBoard mode + . fixed occasional messy behavior of +/- keys in Macro Editor window + . fixed/improved fast-forward/rewind speed + . added 'per instrument' positional memory to Instrument Editor window + . improved track data processing during playback + . AT2 player updated to version 0.43 + * fixed interface bug (misplaced cursor) in Instrument Editor window + * added option to turn off positional memory for Instrument Editor (refer to + option 'remember_ins_pos' in config file) + * fixed some program stability issues + * fixed some bugs in Macro Arpeggio / Vibrato performer + + +* version 2.3.53 + released: 12/23/2014 (* 01/08/2015) + + . changed hotkey for toggle of typing modes (hotkey: F11) + . added toggle of line feed (hotkey: F12) + . added toggle of jump to marked line (hotkey: [Shift] F12) + . added back quick file load for modules (hotkey: [Shift] F3) + . enhanced MBoard and Note Recorder with jump to marked line option + . added possibility to remove song trace and continue playing + while cursor position is maintained (hotkey: [Shift] Esc) + . removed support for Synth! mode + . changes in Note Recorder mode: + - fixed quick reset last group of tracks action + - fixed behavior of some keys for mode exit + - added row correction parameter + . changes in Macro Browser: + - fixed return to browser after selecting arp/vib table + - improved arpeggio/vibrato table selection and indication + . added Global Freq. Slide Up/Down commands and added command switches + for Fine resp. Extra Fine variant (refer to new commands "xx" + and enhanced functionality of old "ZFD","ZFE" commands) + . fixed processing of new effects in Debug Info window + . fixed some typing check bugs in Replace window + . fixed bug when after swapping instruments one name was incomplete + . fixed cursor key navigation within Remap and Replace window + . removed mouse support for screen srolling + . added back VESA text-mode emulation and enhanced used screen contents + accordingly (refer to option 'screen_mode' and 'comp_text_mode' + for compatibility mode in config file) + . added Rearrange Tracks function (hotkey: ^X) + . added automatic activation of debug mode and switchover from offline + to normal debug mode with Space when in Debug Info window + . fixed/improved precision of fast-forward/rewind pattern function + . added navigation to program home directory in File Browser window + with Shift+Backspace key (refer also to option "home_dir_path") + . added quick selection for muting track numbers above 9 with Shift key + . fixed treating of all +/- combos for keyboards without NUMPAD + . fixed player calibration issue with pattern break command + . AT2 player updated to version 0.42 + * fixed interface bugs in Instrument Editor window + * fixed fast-forward/rewind outside Pattern Editor window; + added functionality to Instrument Control panel + * fixed interface bug with ~xy effect command in 2nd effect column + * fixed bug when entering notes for 4OP tracks in midiboard mode + caused putting wrong instrument in left track of the pair + * fixed rearrange function (faulty reordering of tracks) + + +* version 2.3.52 + released: 10/27/2014 + + . fixed switchover from offline to normal debug mode with ^Enter + . fixed not working instrument selection with no 4OP track extension + . fixed position bugs in File Selector + . fixed lately introduced bug in Tone Portamento (arrgh) + . fixed treating Tone Portamento with offline Debug and Note Recorder + . fixed usage of non-NUMPAD asterisk (*) key for track ON/OFF flags + . fixed/improved Replace function and added new shortkey functions + . fixed pattern/order editor refresh bug occurring with small song speeds + . added synchronized instrument/command processing for selected tracks + when Note Recorder mode is armed + . added quick reset of track group selection (hotkey: [Alt] Q) + . added 'fps_down_factor' option to config file + . improved layout in Instrument Control panel + +* version 2.3.51 + released: 09/05/2014 + + . changes in Note Recorder feature: + - fixed bug when deleting notes was operating in wrong track + if current track view on screen was moved by user; + fixed precision with high song speeds (all notes are deleted now :) + - added possibility to switch between using custom instrument + and using present instruments in tracks (hotkey: Space / [Alt] Space) + - improved keyboard reference on Help screen + + +* version 2.3.50 + released: 07/28/2014 (* 07/30/2014) + + . fixed ADSR preview update with enhanced screen modes + . added Note Recorder feature (hotkey: ^Space); + changed hotkey for Synth! mode to [Alt] Space + * fixed some missing usage of non-NUMPAD +/- keys + + +* version 2.3.49 + released: 07/14/2014 (* 07/15/2014) + + . improved current track movement in Debug Info window + . added macro details section to Debug Info window + . added flipped paste block feature (hotkey: Alt+Shift+V) + . fixed/improved File Browser and Replace window + . enhanced cursor movement in File Browser and Replace window + . enhanced Help screen navigation for effect columns + . added FX volume information processing in marked block + . small changes in user interface + * fixed deviation between real and OPL3-emulated playback + which was caused by misuse of FM-register macro; + updated AT2 player to version 0.41 + + +* version 2.3.48 + released: 06/13/2014 + + . added 'Set Custom Speed Table' effect command (`xx) + . fixed conversion of FMK files + . fixed forced OPL3 port range to 1-FFFFh + . fixed processing of Force instrument volume effect + for 'AM' connections + . fixed bug in post-processing of Arpeggio effect + . small fixes in Arpeggio import from S3M files + . fixed/improved/enhanced ADSR preview feature + . optimized/enhanced color scheme options in config file + . added possibility to control config file options + with command line (refer to tip #25 in program documentation); + removed obsolete "/aXXXX" option for OPL3 autodetection + . fixed handling of ON/OFF flags for 4-OP track pair + . added positional memory for Song variables and Replace window + . majorly improved Debug Info window functionality (hotkey: ^D) + . AT2 player updated to version 0.40 + + +* version 2.3.47 + released: 04/02/2014 (* 04/09/2014) + + . fixed current instrument control in Macro Browser window + . fixed macro speed manipulation and Song Variables value update + . fixed keypress repeat between instrument/macro speed control + . fixed macro table preview bug with positive/negative columns + . added macro speed control to Instrument Editor window + . added FM-register macro table preview to Instrument Macro Browser + . improved layout in Arpeggio/Vibrato Macro Editor window + . improved positional memory logic + . added enhanced screen layouts from SDL version (refer to option + "screen_mode" in config file); + removed obsolete VESA support and options from config file + . major fixes/cleanups/optimizations of source code + . updated incomplete key reference information in Help and .doc file + . fixed some keyboard routines for potentially less hardware issues + . fixed not initialized song timer in AT2 Player + * fixed text cursor position issue with mouse driver under DOS + * reverted back to "FT" as default typing mode + + +* version 2.3.46 + released: 03/14/2014 + + . fixed general faulty behavior of save-required notification + . fixed wrong instrument naming when loading complete A2W bank + . fixed position behavior of instrument selection for load/paste + register data operation in Macro Editor window + . completely recoded refresh routines of realtime graphics + for more efficient CPU usage; + removed option "cpu_saving" from config file + . fixed arpeggio/vibrato macro table pointer in Macro Editor window + + +* version 2.3.45 + released: 03/11/2014 + + . improved debugging information on program crash screen + . added new modules from Diode Milliampere + . slightly fixed Synth! mode behavior in Pattern Editor window + . added fully-featured macro browser + . added bank browser for A2B and A2W files (finally :) + . added file-dependent positional memory to all bank browsers + . added positional memory to Macro Editor window + . fixed command typing bug in arpeggio macro table + . improved paste operation in more places of the tracker + . improved navigation system in Macro Editor window + . improved layout of Macro Editor window + . overall major improvements in macro data exchange and user handling + + +* version 2.3.44 + released: 02/11/2014 + + . fixed bug in playback calibration (macros were not processed) + . added command typing behavior mode handling in Macro Editor window + . added some new modules (Madbrain’s awesome A2M collection is + hopefully complete now :) and a bit put to order some old ones + . added instrument type indicators in Macro Editor window + . added instrument type indicators and possibility to change current + instrument in Instrument Editor window + . changed handling of F2/F3 related actions over instrument data + + +* version 2.3.43 + released: 02/06/2014 + + . fixed interface bugs in Transpose and Pattern List window + . changed F2/F3 related key combos: + - some quick-load functionality was disabled for safety reasons; + only single instruments and patterns can be quick loaded now + - all quick-save functionality disabled except for saving A2M file + in Pattern Editor / Pattern Order window + - hot key for saving instrument bank w/ macros ([Shift] ^F2) + in Instrument Control panel was simplified to [Shift] F2 + . changed loading process of A2W files: + - only FM-register / FM-register Macro Table instrument data + is loaded within Instrument Control panel + - only Arpeggio/Vibrato Macro Table data is loaded within + Arpeggio/Vibrato Macro Table Editor window + . added some modules from OxygenStar, a.o. to Modules directory + . added new "AT" command typing behavior mode (like FT but without + cycling pattern moves) (hotkey: Shift+F11); + if not overridden by config file, this mode is set by default + . updated "techinfo.doc" file + + +* version 2.3.42 + released: 01/26/2014 + + . fixed bug in replay routine (playback never advanced to order #7f) + . added quick access key for Macro Editor window (^Q) + . added macro-preview mode for Arpeggio/Vibrato Macro Editor window + . added possibility to change current instrument directly within + Macro Editor window (new key combo: Ctrl+[]) + . added possibility to change current instrument and octave while + macro-preview mode is activated + + +* version 2.3.41 + released: 01/22/2014 + + . fixed bug in replay routine (frequency data output for 4OP channels) + . fixed bug with channel calculation in 4OP binding mode + . added ADSR preview indication to Instrument Control panel + . updated AdT2 player to version 0.38 (full source code included) + . updated "techinfo.doc" file + + +* version 2.3.40 + released: 01/17/2014 + + . fixed program freeze with marking block at 4OP channel + . fixed bug with track binding not displayed without panning lock on + in Song Variables window + . fixed binding of two 4OP instruments in Instrument Control panel + . fixed toggle of ADSR preview (new key combo: [Ctrl] LShift/RShift) + . improved MPU-401 status reporting + . removed "timer_precision" option from config file + + +* version 2.3.39 + released: 01/17/2012 as 2.4.00 at Google Code by ijsf + + . MPU-401 compatible MIDI slave mode, can be used to send notes + to Adlib Tracker II, also known as "synth mode" + + +* version 2.3.38 + released: 06/10/2007 + + . fixed bugs with command typing selection (ST/FT) + . added play state preview when in instrument control panel + . optimizations for running in DOSBox environment + + +* version 2.3.37 + released: 01/06/2006 + + . changed behavior of ZFF command (refer to "adtrack2.doc" file) + . slightly fixed off-tune bug with preview of macro-instruments + . fixed !xx and @xx command + . added playback control in instrument control panel (refer to tip #22) + . added activity indicators to instrument control panel + . fixed high CPU usage while playing w/o trace and some window is open + . fixed scrollbar bug + . fixed decimal values to hexadecimal in debug info and instrument editor + . fixed volume on testing instrument in song with volume_scaling set + . fixed arpeggio/vibrato table played on testing macro-instrument + . added compatibility text-mode (refer to "troubleshooting" options) + . improved CFF loader stability (implemented internal YsComp decompression) + + +* version 2.3.36 + released: 02/21/2004 (* 10/13/2004) never officially released + + . added possibility to toggle off ADSR preview (hotkey: [ScrollLock]) + . added possibility to quick-adjust loop begin and loop length + (hotkey: [Shift] ^Home,End and [Shift] ^PgUp,PgDown) + . added separated arpeggio/vibrato editing (hotkey: ^E) + . improved cursor navigation with Home,End and [Shift] Home,End keys + . improved song change indicator + . improved scrollbar accuracy + . improved speed of internal calculation routines + . improved replace feature + . improved macro editor convenience + . improved running program under Windows NT/2000/XP + (no system warnings - but no drive info either :) + . fixed jerky playback on setting octave in instrument control panel + . fixed marked pattern indicator in pattern list + . fixed timing bug in player + . fixed song tracing when pattern is delayed + . fixed pattern delay effect command + * improved tracing in pattern editor and pattern order window + * changed layout for on/off fm-registers (TVKS) + + +* version 2.3.35 + released: 01/28/2003 + + . added instrument w/ fm-register macro file format (A2F) + . added optional playback w/ sync (refer to tip #18) + . added CPU saving feature (refer to "troubleshooting" options) + . added note retrigger to FM-register macro-table (refer to tip #21) + . added ^Home and ^End as quick-adjust table length in macro editor + . added macro indicator to instrument control panel + . added [Alt] B as toggle last marked block + . added highlighting of currently played line number + . added some block marking intelligence (it's no Einstein, though :) + . added disabling columns in fm-register macro table (refer to tip #20) + . added checking key-off phase while testing instrument (refer to tip #17) + . added single-playing pattern (hotkey: [Alt] F6) + . added playback without synchronization (hotkey: [Alt] F5,F8,F9) + . added ADSR preview to instrument editor + . added full-view text-mode emulation (refer to "troubleshooting" options) + . added [Ctrl] F8 or [Ctrl] F9 as play from current line + . added [Shift] ^V or [Shift][Alt] P as partial block pasting + . added [Ctrl][Tab] V as multiple object pasting + . added pattern list (hotkey: ^P) (cool, isn't it? :) + . added behavior mode hotkeys (F11,F12) and behavior indicator + . fixed some keyboard routines (due to national keyboard drivers) + . fixed processing global volume in tracker + . fixed loading text font to graphic card's memory (hardware method used) + . fixed some bugs in player (it should not crash now ;) + . fixed slow movement in pattern order window + . fixed some interface bugs + . improved midiboard (note can be tracked while playing with no trace) + . improved decay bars and volume analyzer in both tracker and player + . improved several interface functions + + +* version 2.3.34 + released: 12/02/2002 + + . changed line counter (at status line) to decimal mode + . added optional OPLx latency (refer to "troubleshooting" options) + . fixed minor bugs in both tracker and player + + +* version 2.3.33 + released: 10/06/2002 + + . replay routine sourcecode changes: + sorcecode is both TMTPC and FPC compatible + added optional fading out + fixed minor bugs + . added text-mode emulation (refer to "troubleshooting" options) + . added screen saver + . added FMK file support + . added initial lock states to song variables + . added more FM-register control effect commands (#0x-#Cx) + . added extra fine vibrato and tremolo effect commands (~xy,^xy) + . added extra fine arpeggio effect command ($xy) + . added extra fine frequency slide effect commands (&Ex,&Fx) + . added extra fine volume slide effect commands (&Cx,&Dx) + . added extra fine global volume slide effect commands (&Ax,&Bx) + . added 4-op track extension (ogie dogie :) + . added force instrument volume effect command (=xx) + . added replace feature (hotkey: ^H) + . fixed mixing block bug in pattern editor + . fixed tremor effect command + . fixed retrig note and multi retrig note effect commands + . fixed loading FIN instruments + . fixed instrument preview + . improved autodetection of OPL3 interface + . improved program documentation + . improved debugging mode and playback navigation + . improved song timer + . improved importing AMD/S3M/SAT/SA2/XMS modules + . improved overall volume control (made a bit more logarithmic :) + . improved debug info window + . improved macro editor and instrument editor + . improved program interface + + +* version 2.3.32 + released: 04/05/2002 + + . major cosmetic improvements (finally had time to do that :) + . advanced macro frequency range (1000Hz should be enough, imho :) + . fixed behavior of global volume indicator + . fixed errors in macro editor + + +* version 2.3.31 + released: 01/08/2002 (* 03/21/2002) + + . added lots of other things I can't remember now =) + . added lots of AdLib modules + . added instrument preview in file open dialog and bank browser + . added FIB and FIN file support + . added IBK file support + . added BNK file support + . added S3M file support + . added indicator of unsaved data + . added fine vibrato/tremolo switch commands (ZFD,ZFE) + . added pattern length and number of tracks settings + . added volume scaling switch + . added 2nd effect column (useful, isn't it? :) + . added global volume effects (%xx,&6x,&7x,&8x,&9x) + . added vibrato off and tremolo off effect commands (ZFB,ZFC) + . added tremolo effect command (Mxy); manual slide up/down has been + remapped to &4x,&5x (fine-tune up/down) + . added fixed-note system + . added percussion track extension (yep, yep :) + . improved file format (smaller files :) + . improved transpose feature + . improved instrument preview (chords can be done :) + . improved command typing (see tip #5 in doc file) + . improved importing AMD/XMS modules + . improved importing RAD modules + . improved importing SAT/SA2 modules + . improved importing CFF/DFM/HSC/MTK modules + . fixed pattern loop in combination with Bxx/Dxx effect commands + . fixed calculating frequency shift up/down + . fixed pattern delay effect command + . fixed saving tiny modules + . removed mouse requirement (mouse emulation keys: [Ctrl][Tab] Arrows) + . increased number of patterns and instruments available + . recoded task switching (looks like multi-tasking, eh? :) + . completely recoded timer and keyboard routines + * added swap arpeggio table effect command (!xx) + * added swap vibrato table effect command (@xx) + * added arpeggio/vibrato table swapping switch command (ZFF) + * added macro key-off loop command (ZEx) + * added instrument macro-definitions (yep! they kick EdLib's ass, imho :) + * added instrument bank with macros file format (A2W) + * fixed song change indicator + * fixed instrument testing/preview + * fixed loading older A2P/A2B/A2I file formats + * removed pattern/order editing restriction while song is played + + +* version 2.3.30 + released: 02/10/2001 (* 02/24/2001) + + . added TMT Pascal port of replay routine + . added icon (adtrack2.ico) for the tracker (thanks to Corona688 :) + . added brandnew modules from Nula and Malfunction/Altair + . added drive identification in directory lister + . added active octave and instrument indicators + . added quick octave setting (hotkey: [Shift] 1..8) + . added remapping of instruments (hotkey: ^R) + . added instrument swapping in instrument control panel + . added note delay and note cut effect commands (&2x,&3x) + . added pattern delay effect commands (&0x,&1x) + . added pattern loop and recursive pattern loop effect commands (ZCx,ZDx) + . fixed replay of tone portamento with volume slide effect commands + . fixed sorting in directory lister + . fixed ZAx effect command + . fixed typing in effect command definitions + * added backup feature for song state (Hotkeys: /, [Shift] /) + * fixed loading pattern files + * fixed all (?) cosmetic bugs :)) + * added FPC port of replay routine + * fixed TMT Pascal port of replay routine + * fixed instrument loading bug + + +* version 2.3.29 + released: 12/31/2000 + + . added brandnew modules from Nula and Malfunction/Altair + . added track panning and track volume control + . added pattern/song/track/block transpose (hotkey: ^T) + . added sequencing [5xy,6xy],[Gxy,Hxy],[Oxy,Pxy],[Rxy-Yxy] effect commands + . added frequency slide with volume slide effect commands (Rxy-Yxy) + . added changing of instrument parameters while playing + . fixed typing in "Jxy" effect command + + +* version 2.3.28 + released: 12/21/2000 + + . totally changed color scheme (hope you like it, guys :) + . improved module and tiny module file format + . implemented like 9 new effects (tremor, multi retrig, a.o.) + . implemented advanced song variables + . added support for DFM modules + . bugfixes ;-D diff -Nru adlibtracker2-2.4.23/package/ver/sdl/adtrack2.ini adlibtracker2-2.4.24/package/ver/sdl/adtrack2.ini --- adlibtracker2-2.4.23/package/ver/sdl/adtrack2.ini 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/sdl/adtrack2.ini 2018-12-26 23:00:00.000000000 +0000 @@ -1,6 +1,6 @@ // // ADLiB TRACK3R II SDL configuration file -// version 2.4.23+ +// version 2.4.24+ // // @@ -52,6 +52,7 @@ alt_ins_name=on ; alternative instrument name ("instrXXX") adjust_tracks=on ; adjust number of tracks for corresponding module linefeed=on ; process line feeding in pattern editor +lf_in_mboard_mode=off ; treat line feeding option in MidiBoard mode update_ins=off ; update active instrument by typing in numbers cycle_pattern=on ; cycle vertical and horizontal moves inside pattern keep_track_pos=off ; keep track position (column) when jumping over tracks @@ -267,6 +268,7 @@ debug_info_hi_car=11 ; highlighted carrier data debug_info_4op=8 ; 4-OP track indicator debug_info_perc=8 ; percussion track indicator +debug_info_bpm=8 ; BPM indicator // help window @@ -330,6 +332,7 @@ dialog_hi_topic=14 ; highlighted topic dialog_mod_text=10 ; modulator specific text dialog_car_text=11 ; carrier specific text +dialog_misc_indic=8 ; miscellaneuos indicators // RGB color palette diff -Nru adlibtracker2-2.4.23/package/ver/sdl/adtrack2.mht adlibtracker2-2.4.24/package/ver/sdl/adtrack2.mht --- adlibtracker2-2.4.23/package/ver/sdl/adtrack2.mht 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/sdl/adtrack2.mht 2018-12-26 23:00:00.000000000 +0000 @@ -1,9 +1,9 @@ MIME-Version: 1.0 -Content-Type: multipart/related; boundary="----=_NextPart_01D1E5D7.64999090" +Content-Type: multipart/related; boundary="----=_NextPart_01D49B5D.3D5D5E60" -Tento dokument je jednosњborovс webovс strсnka, naz§vanс tiež webov§ archэv. Ak sa zobrazuje toto hlсsenie, vсš prehОadсvaш alebo editor nepodporuje sњbory webov§ch archэvov. Prevezmite prehОadсvaш, ktor§ podporuje webovщ archэvy, naprэklad program WindowsЎ Internet ExplorerЎ. +Tento dokument je jednosњborovс webovс strсnka, naz§vanс tiež sњbor webovщho archэvu. Ak sa vсm zobrazuje toto hlсsenie, vсš prehliadaш alebo editor nepodporuje sњbory webov§ch archэvov. Stiahnite si prehliadaш, ktor§ podporuje webov§ archэv. -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1250" @@ -18,8 +18,8 @@ - - + +         &n= bsp;            = ;       -/┤DLiB&= -#9474;R/┤CK3R ││ SDL&n= +/┤DLiB│R/┤CK3R &= +#9474;│ +SDL           &n= bsp;            = -;             +;   │

            &= nbsp;           &nbs= p;         -     2.4.23        &n= bsp;                                                      -             

+style=3D'mso-spacerun:yes'>                                                +
               <= +/o:p>

VII. GETTiNG -STARTED

+font-family:"Courier New";mso-ansi-language:EN-US'>VII. GETTiNG STARTED

 AdLib tracker is= - a -9-channel FM tracker for the OPL2-compatible

+mso-ansi-language:EN-US'> AdLib tracker is a 9-channel FM tracker for = +the +OPL2-compatible

 A few years ago, there was a huge variety of= - AdLib trackers.

+mso-ansi-language:EN-US'> A few years ago, there was a huge variety of +AdLib trackers.

 Zwerg Zwack/Chicken's HSC-Tracker,

+mso-ansi-language:EN-US'> Zwerg Zwack/Chicken's HSC-Tracker,

 Jens-Christian Huus' EdLib,

+mso-ansi-language:EN-US'> Jens-Christian Huus' EdLib,

 Shayde's Reality= - AdLib Tracker,

+mso-ansi-language:EN-US'> Shayde's Reality AdLib Tracker,

 Erik Pojar's Sur= -prise! -AdLib Tracker,

+mso-ansi-language:EN-US'> Erik Pojar's Surprise! AdLib Tracker,

 and the latest piece of cake - Conqueror's <= -span -class=3DSpellE>Amusic.

+mso-ansi-language:EN-US'> and the latest piece of cake - Conqueror's +Amusic.

 This new way of AdLib -tracking was just that missing part in scene,

+mso-ansi-language:EN-US'> This new way of AdLib tracking was just that +missing part in scene,

 FastTracker or I= -mpulse -Tracker.

+mso-ansi-language:EN-US'> FastTracker or Impulse Tracker.

 went wrong, and AdLib -tracking has come to fruition.

+mso-ansi-language:EN-US'> went wrong, and AdLib tracking has come to +fruition.

 Nowadays, at the end of second Millennium, <= -span -class=3DSpellE>i decided to revive this part

+mso-ansi-language:EN-US'> Nowadays, at the end of second Millennium, i +decided to revive this part

 you a brandnew <= -span -class=3DSpellE>AdLib tool - /┤DLiB= - TR/┤CK3R +mso-ansi-language:EN-US'> you a brandnew AdLib tool - /┤DLiB TR/= +┤CK3R ][.

 You may probably ask WHY? Let me use the wor= ds -of Jens-Christian Huus,

+of Jens-Christian Huus,

 one of the most common people in C64 and AdLib programming, the author

+mso-ansi-language:EN-US'> one of the most common people in C64 and AdL= +ib +programming, the author

 of EdLib:

+mso-ansi-language:EN-US'> of EdLib:

  I personally never quite liked sampling, i find it downright boring.

+mso-ansi-language:EN-US'>  I personally never quite liked sampling, i = +find +it downright boring.

  actually push AdLib. -To do sounds on FM requires expertice but<= -/o:p>

+mso-ansi-language:EN-US'>  actually push AdLib. To do sounds on FM +requires expertice but

 HiGHLiGHTS

+'> HiGHLiGHTS

   89 effect commands, and 21 extended +mso-ansi-language:EN-US'>   89 effect commands, and 22 extended commands

 N1/ AMD (Amusic)= -

+mso-ansi-language:EN-US'> N1/ AMD (Amusic)

     CFF (BoomTracker -4.0)

+mso-ansi-language:EN-US'>     CFF (BoomTracker 4.0)

 N2/ FMK (FM-Kingtracker)

+mso-ansi-language:DE'> N2/ FMK (FM-Kingtracker)

          MTK (MPU-401 trÆkkεr)

+mso-ansi-language:EN-US'>     MTK (MPU-401 +trÆkkεr)

     RAD (Reality AdLib Tracker)

+mso-ansi-language:EN-US'>     RAD (Reality AdLib +Tracker)       {ver.1}

 N4/ SAT (Surprise! AdLi= -b +mso-ansi-language:EN-US'> N4/ SAT (Surprise! AdLib Tracker)     {ver.1,5,6}

 N4/ SA2 (Surprise! AdLi= -b -Tracker 2.0) {ver.8,9}

+mso-ansi-language:EN-US'> N4/ SA2 (Surprise! AdLib Tracker 2.0) {ver.8= +,9}

 Because of bug in Amusi= -c's -(and its crack XMS-Tracker's) replay routine,

+mso-ansi-language:EN-US'> Because of bug in Amusic's (and its crack +XMS-Tracker's) replay routine,

 the Arpeggio effect used to generate buggy -sounds. Since /┤DLiB TR/┤CK3R ][

+sounds. Since /┤DLiB TR/┤CK3R ][

 ain't got a supp= -ort -for such buggy things, the Arpeggio effect may differ.

+mso-ansi-language:EN-US'> ain't got a support for such buggy things, t= +he +Arpeggio effect may differ.

 because FM-Kingtracker<= -/span> -uses slow speed table (not 100% emulated in AT2)

+mso-ansi-language:EN-US'> because FM-Kingtracker uses slow speed table +(not 100% emulated in AT2)

 Also the Retrig = -Note -effect is slightly different (if i should be ho= -nest,

+mso-ansi-language:EN-US'> Also the Retrig Note effect is slightly +different (if i should be honest,

 i really couldn'= -t get -any sense of Sami's frame counting; all i could= -

+mso-ansi-language:EN-US'> i really couldn't get any sense of Sami's fr= +ame +counting; all i could

 conversion phase--and this ain't -perfect, though :)

+mso-ansi-language:EN-US'> conversion phase--and this ain't perfect, th= +ough +:)

 Therefore Slide Up/Down, Vibrato, and Tone <= -span -class=3DSpellE>Portamento effects may be

+mso-ansi-language:EN-US'> Therefore Slide Up/Down, Vibrato, and Tone +Portamento effects may be

 inaccurate after importing to /┤DLiB TR/┤CK3R ][.

+mso-ansi-language:EN-US'> inaccurate after importing to /┤DLiB T= +R/┤CK3R +][.

 Since Surprise! AdLib -Tracker uses non-standard Volume Slide procedure

+mso-ansi-language:EN-US'> Since Surprise! AdLib Tracker uses non-stand= +ard +Volume Slide procedure

 to /┤DLiB = -TR/┤CK3R -][. Anyway, an experimental method to fix up

+mso-ansi-language:EN-US'> to /┤DLiB TR/┤CK3R ][. Anyway, an +experimental method to fix up

 this difference is used during conversion ph= ase -(blabla, same as above :)

+(blabla, same as above :)

 no SA2 modules using that feature, afaik :)

+mso-ansi-language:EN-US'> no SA2 modules using that feature, afaik :)<= +o:p>

     A2F (AdT2) [w/fm-register macro]

+mso-ansi-language:EN-US'>     A2F (AdT2) [w/fm-register +macro]

     CiF<= -/span> -(BoomTracker 4.0)

+mso-ansi-language:EN-US'>     CiF (BoomTracker 4.0)

     FiN<= -/span> -(FM-Kingtracker)

+mso-ansi-language:EN-US'>     FiN (FM-Kingtracker)= +

     iNS<= -/span> -(HSC-Tracker/RAD-Tracker, SAdT, Amusic/AdLib instrument)

+mso-ansi-language:EN-US'>     iNS (HSC-Tracker/RAD-Trac= +ker, +SAdT, Amusic/AdLib instrument)

     SBi<= -/span> -(Creative Labs FM instrument)

+mso-ansi-language:EN-US'>     SBi (Creative Labs FM +instrument)

     SGi<= -/span> -(Sound Generator 3.0)

+mso-ansi-language:EN-US'>     SGi (Sound Generator 3.0)= +

 if neccessary (s= -ee -option "force_ins").

+mso-ansi-language:EN-US'> if neccessary (see option +"force_ins").

     BNK (AdLib -instrument bank) {ver.1.0}

+mso-ansi-language:EN-US'>     BNK (AdLib instrument ban= +k) +{ver.1.0}

     FiB<= -/span> -(FM-Kingtracker)

+mso-ansi-language:EN-US'>     FiB (FM-Kingtracker)= +

     iBK<= -/span> -(Creative Labs FM instrument bank)

+mso-ansi-language:EN-US'>     iBK (Creative Labs FM +instrument bank)

   In addition, it features Tracing, -Debugging, and a MidiBoard. +Debugging, and a MidiBoard.

      highlighted (MBoard, Trace, Debug, Track, Synth!), active octave,<= -o:p>

+mso-ansi-language:EN-US'>      highlighted (MBoard, +Trace, Debug, Track, Synth!), active octave,

      active instrument, = -behavior -mode, a.o. The user should experiment

+mso-ansi-language:EN-US'>      active instrument, +behavior mode, a.o. The user should experiment

 In case of composite sh= -ortkeys, -it is recommended to use following

+mso-ansi-language:EN-US'> In case of composite shortkeys, it is +recommended to use following

 F4 = (^A)           &= nbsp;      -Toggle Nuke'm dialog

+Toggle Nuke'm dialog

           &nb= sp;   -F5 with Trace

+F5 with Trace

 [Sh= -ift] -F8           &nb= -sp;   -F8 with Trace

+ift] F8
          &nbs= +p;    +F8 with Trace

           &nb= sp;   -F9 with Trace

+F9 with Trace

 [Sh= ift] Space            -Toggle MidiBoard mode ON/OFF<= -/p> +Toggle MidiBoard mode ON/OFF

 [Ct= -rl] Home,End       = -;   -Skip to previous/next pattern while Tracing

+rl] +Home,End          Skip to +previous/next pattern while Tracing

 γ= 4; -WHEN iN NOTE -RECORDER MODEWHEN iN NOTE RECORDER MODE                                            γ= @@ -9091,8 +9764,7 @@ mso-ansi-language:EN-US'> γ= 4; -^Left,^Right<= -/span>^Left,^Right     Select group of tracks for recording=                   γ= 4; -MBoard keysMBoard keys      Write notes to corresponding tracks=            F8,F9            Toggle pattern repeat OFF/ON<= span -style=3D'mso-spacerun:yes'>                       

+style=3D'mso-spacerun:yes'>                        γ= +4;

Backspace        Clear note/instrument sequence in -tracks             

+tracks             γ= +4;

 γ= 4; -Up,DownUp,Down          Rewind/Fast-Forward while recording                  γ= @@ -9224,8 +9893,7 @@ mso-ansi-language:EN-US'> γ= 4; -[Shift] Up,Do= -wn[Shift] Up,Down  Increase/Decrease row correction for wr= iting notes    γ= 4; -In case you need non-continuos track selection,= - you -can choose        <= -/o:p>

+In case you need non-continuos track selection, you can choose       

  iF SONG iS PLAYED WiTH TRACE, iT CAN BE REMOVED WHiLE..= -. iF +SONG iS PLAYED WiTH TRACE, iT CAN BE REMOVED WHiLE...        &nb= sp; γ= @@ -9495,15 +10157,14 @@

 set up in configuration file (see options &q= -uot;trace_by_default"

+mso-ansi-language:EN-US'> set up in configuration file (see options +"trace_by_default"

 and "nosync_by_def= -ault")

+mso-ansi-language:EN-US'> and "nosync_by_default")

 [Ctrl]{Shift} Up,Down    Change playback speed up/down {fine +stepping}

+ +

 [Ctrl]{Shift} Up+Down    Reset playback speed {default speed}

+ +

 [Ctrl][Alt] <hold down>  Temporarily show Debug Info window=

@@ -9680,9 +10358,8 @@ y:"Courier New"; mso-ansi-language:EN-US'> [Al= t] -+,- (Up,Down)    &= -nbsp; -Adjust volume level of sound output

++,- (Up,Down)      Adjust volume level of +sound output

 F12                      Toggle F12     +                 Toggle line feed in Pattern Editor<= /o:p>

@@ -9809,8 +10487,8 @@ line-height:normal'> (*) Up,Down,PgUp= -,PgDown

+style=3D'mso-bidi-font-weight:normal'>(*) Up,Down,PgUp,PgDown

 [Alt|Ctrl= -]{Shift} -F11    Toggle WAV recording ON<= -o:p>

+style=3D'mso-bidi-font-weight:normal'>[Alt|Ctrl]{Shift} F11    Toggle WAV recording ON

 [Alt|Ctrl= -]{Shift} -F12    Toggle WAV recording OFF= -

+style=3D'mso-bidi-font-weight:normal'>[Alt|Ctrl]{Shift} F12    Toggle WAV recording OFF

  FUNCTiONALiTY O= -F ALTERNATiVE KEYS                   

+mso-themeshade:217'>│ FUNCTiONALiTY +OF ALTERNATiVE KEYS                    +

  POSSiBLE COMBiNATiONS: Alt,Ctrl,Alt+S= -hift,Ctrl+Shift -

+mso-themeshade:217'>│ POSSiBLE +COMBiNATiONS: Alt,Ctrl,Alt+Shift,Ctrl+Shift

 you can -exclude/include corresponing tracks from/to bei= -ng -recorded

+exclude/include corresponing tracks from/to being recorded

 Up,Down,Left,Right       +mso-ansi-language:EN-US'> Up,= +Down,Left,Right       Cursor navigation

 PgUp,PgDn        = -;        +mso-ansi-language:EN-US'> PgU= +p,PgDn           = +;     Move up/down 32 patterns

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Move to the top/end of pattern order

 Ins= ert           &n= bsp;       -Insert new entry

+Insert new entry

 Del= ete           &n= bsp;       -Delete entry

+Delete entry

 syntax: order_number[hex](+80h); -e.g. "9A" jumps to order 1A

+mso-ansi-language:EN-US'> syntax: order_number[hex](+80h); e.g. +"9A" jumps to order 1A

 Up,Down,Left,Right       +mso-ansi-language:EN-US'> Up,= +Down,Left,Right       Cursor navigation

 PgUp,PgDn        = -;        +mso-ansi-language:EN-US'> PgU= +p,PgDn           = +;     Move up/down 16 lines

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Move to the top/end of current pattern

 [Sh= -ift] PgDn,PgUp (+,-)  Move to next/previous patte= -rn

+ift] +PgDn,PgUp (+,-)  Move to next/previous pattern

 [Sh= -ift] Home,End       = -;  -Move fwd./bckwd. to the first/last pattern= -

+ift] +Home,End         Move fwd./bckw= +d. +to the first/last pattern

 ^Home,^End      &nbs= -p;        +mso-ansi-language:EN-US'> ^Ho= +me,^End          &nbs= +p;    Move to the end/top of previous/next pattern

 ^PgUp,^PgDn  = -;            +mso-ansi-language:EN-US'> ^Pg= +Up,^PgDn          &nb= +sp;   Transpose note (block) halftone up/down

 Ins= ert           &n= bsp;       -Insert new line (within track only)<= -/span>

+Insert new line (within track only)

 Del= ete           &n= bsp;       -Delete line (within track only)

+Delete line (within track only)

 [Sh= ift] -Insert           Insert new line

+Insert           Inse= +rt +new line

 [Sh= ift] -Delete           Delete line

+Delete           Dele= +te +line

 ^Z                       Undo last operatio= -n (if -possible)

+style=3D'mso-spacerun:yes'>                       Undo last operation (if poss= +ible)

 VALiD NOTE ENTRiES: C,C-,C#,C1,C-1,C#1...<= -/p> +mso-ansi-language:EN-US'> VAL= +iD +NOTE ENTRiES: C,C-,C#,C1,C-1,C#1...

BLOCK -OPERATiONS iN PATTE= -RN EDiTOR       = +OPERATiONS iN PATTERN EDiTOR       =             = ;              Starting to mark a block: [Shift] Up,Down,Left,Right   &nbs= -p;         +style=3D'mso-bidi-font-weight:normal'>[Shift] Up,Down,Left,Right    &nbs= +p;       

@@ -10535,15 +11191,16 @@ y:"Courier New"; mso-ansi-language:EN-US'>  marking also with PgUp, marking also with PgUp,PgDn,Home,PgDn,Home,End (Shift is still held down!)

+normal'>End
(Shift is stil= +l held +down!)

(*) -PASTE BLOCK OPERATiON VARi= -ANTS                               γ= 4;

@@ -10711,12 +11367,12 @@ acr;                                                              γ= +4;

     from -clipboard is applied without overwriting existing data;    +from clipboard is applied without overwriting existing data; 

@@ -10841,11 +11496,11 @@ mso-ansi-language:EN-US'> γ= 4;                                                                  <= -span -style=3D'color:#D9D9D9;mso-themecolor:background1;mso-themeshade:217'>γ= -4;

+style=3D'mso-spacerun:yes'>                                                = +                  +

 γ= 4; -MANiPULATiON<= -/b> WiTH FX = -VOLUME iNFORMATiONMANiPULATiON WiTH FX VOLUME iNFORM= +ATiON                          γ= 4;

@@ -10882,8 +11534,8 @@ pan style=3D'color:#D9D9D9;mso-themecolor:background1;mso-themeshade:217'>γ= 4;

their values with +/- keys.                                      γ= -4;

+style=3D'mso-spacerun:yes'>                                      

 γ= 4;   1) Set instrument volume (Cxx),                                

+style=3D'mso-spacerun:yes'>   1) Set instrument volume (Cxx),                                γ= +4;

 γ= 4;   3) Set carrier volume (Ixx)   3) Set carrier volume (Ixx)                                    γ= 4;

@@ -11053,9 +11703,7 @@ y:"Courier New"; mso-ansi-language:EN-US'> III= /5. -PATTERN LiST WiNDOW= - KEY -REFERENCE

+PATTERN LiST WiNDOW KEY REFERENCE

 Up,Down        &= -nbsp;         +mso-ansi-language:EN-US'> Up,= +Down           &= +nbsp;      Cursor navigation

 PgUp,PgDn        = -;        +mso-ansi-language:EN-US'> PgU= +p,PgDn           = +;     Move up/down 20 patterns

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Move to the top/end of pattern list

 [Sh= ift] -Insert           Insert new pattern

+Insert           Inse= +rt +new pattern

 [Sh= ift] -Delete           Delete pattern

+Delete           Dele= +te +pattern

 III= -/6. iNSTRUMENT CONTROL PANEL KEY REFERENCE= -

+/6. +iNSTRUMENT CONTROL PANEL KEY REFERENCE

 Up,Down         +mso-ansi-language:EN-US'> Up,= +Down                  Cursor navigation

 PgUp,PgDn        = -;        +mso-ansi-language:EN-US'> PgU= +p,PgDn           = +;     Move up/down 16 instruments

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Move to the top/end of instrument list

 MBoard keys <= -;hold -down>  Preview instrument

+mso-ansi-language:EN-US'> MBo= +ard +keys <hold down>  Preview instrument

            &nb= sp; -Save instrument w/ fm-register macro to file

+Save instrument w/ fm-register macro to file

 III= -/7. iNSTRUMENT EDiTOR WiNDOW KEY REFERENCE

+/7. +iNSTRUMENT EDiTOR WiNDOW KEY REFERENCE

 Up,Down,Left,Right,

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right,

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Cursor navigation

 +,-= - (PgUp,PgDn)      &nb= -sp;   -Adjust value

+mso-ansi-language:EN-US'> +,- +(PgUp,PgDn)          Adjust +value

 MBoard keys <= -;hold -down>  Preview instrument

+mso-ansi-language:EN-US'> MBo= +ard +keys <hold down>  Preview instrument

 [Shift] F2               Save instrument w/ fm-register macro to file

+style=3D'mso-spacerun:yes'>               Save instrument w/ fm-regi= +ster +macro to file

 III= -/8. iNSTRUMENT MACRO EDiTOR <= -span -class=3DSpellE>WiNDOW KEY REFERENCE

+/8. +iNSTRUMENT MACRO EDiTOR WiNDOW KEY REFERENCE

 Up,Down,Left,Right

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Cursor navigation

 PgUp,PgDown       &nb= -sp;      +mso-ansi-language:EN-US'> PgU= +p,PgDown          &nb= +sp;   Move up/down 16 lines

 [Sh= -ift] Up,Down       = -   -Synchronous navigation within tables

+ift] +Up,Down          Synchrono= +us +navigation within tables

 [Sh= -ift] Home,End       = -;  -Move to the start/end of current line in table

+ift] +Home,End         Move to the +start/end of current line in table

 ^Left,^Right^Left,^Right             Switch between macro tables<= o:p>

@@ -11802,8 +12427,7 @@ line-height:normal'> [Shift] ^Left,^R= -ight[Shift] ^Left,^Right     Navigate to start/end of macro table=

@@ -11811,10 +12435,8 @@ line-height:normal'> ^PgUp,^PgDown       &= -nbsp;    +style=3D'mso-bidi-font-weight:normal'>^PgUp,^PgDown   &n= +bsp;        Change current arpeggio/vibrato table

 ^Home,^End      &nbs= -p;        +mso-ansi-language:EN-US'> ^Ho= +me,^End          &nbs= +p;    Quick-adjust table length

 [Sh= -ift] ^Home,^End       -Quick-adjust loop begin position

+ift] +^Home,^End       Quick-adjust loop begin +position

 [Sh= -ift] ^PgUp,^PgDown &nb= -sp;  -Quick-adjust loop length

+ift] +^PgUp,^PgDown    Quick-adjust loop length

 Ins= ert           &n= bsp;       -Insert new line in table

+Insert new line in table

 Del= ete           &n= bsp;       -Delete line in table

+Delete line in table

 ^F2                      Save instrument ban= -k w/ -all macros to file

+style=3D'mso-spacerun:yes'>                      Save instrument bank w/ all ma= +cros +to file

 III= -/9. APREGGiO/ViBRATO MACRO EDiTOR WiNDOW KEY REFEREN= -CE

+/9. APREGGiO/ViBRATO +MACRO EDiTOR WiNDOW KEY REFERENCE

 Up,Down,Left,Right

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Cursor navigation

 PgUp,PgDown       &nb= -sp;      +mso-ansi-language:EN-US'> PgU= +p,PgDown          &nb= +sp;   Move up/down 16 lines

 [Sh= -ift] Up,Down       = -   -Synchronous navigation within tables

+ift] +Up,Down          Synchrono= +us +navigation within tables

 ^Left,^Right^Left,^Right             Switch between macro tables<= o:p>

@@ -12258,18 +12871,16 @@ line-height:normal'> [Shift] ^Left,^R= -ight[Shift] ^Left,^Right     Navigate to start/end of macro table=

 ^PgUp,^PgDown &nb= -sp;          +mso-ansi-language:EN-US'> ^Pg= +Up,^PgDown          &= +nbsp; Change current arpeggio/vibrato table

 ^Home,^End      &nbs= -p;        +mso-ansi-language:EN-US'> ^Ho= +me,^End          &nbs= +p;    Quick-adjust table length

 [Sh= -ift] ^Home,^End       -Quick-adjust loop begin position

+ift] +^Home,^End       Quick-adjust loop begin +position

 [Sh= -ift] ^PgUp,^PgDown &nb= -sp;  -Quick-adjust loop length

+ift] +^PgUp,^PgDown    Quick-adjust loop length

 III= -/10. iNSTRUMENT MACRO BROWSER KEY REFERENCE= -

+/10. +iNSTRUMENT MACRO BROWSER KEY REFERENCE

 Up,Down,PgUp,PgDown= -

+mso-ansi-language:EN-US'> Up,Down,PgUp,PgDown

 Home,End= - Home,End                 Cursor navigation

@@ -12495,8 +13102,7 @@ line-height:normal'> [Shift] Up,Down<= -/span> [Shift] Up,Down          Move up/down in macro table=

@@ -12504,8 +13110,7 @@ line-height:normal'> [Shift] Left,Rig= -ht[Shift] Left,Right       Move left/right in macro table

@@ -12513,8 +13118,7 @@ line-height:normal'> [Shift] PgUp,PgD= -own[Shift] PgUp,PgDown      Move page up/down in macro table

@@ -12522,8 +13126,7 @@ line-height:normal'> [Shift] Home,End= -[Shift] Home,End         Move to the start/end of macro t= able

@@ -12531,8 +13134,7 @@ line-height:normal'> [Ctrl] Home,End<= -/span>[Ctrl] Home,End          Move to the start/end of line in macro table

@@ -12570,9 +13172,8 @@

 MBoard keys <hold down> MBoard keys <hold down>  Preview instrument with selected macro = data

@@ -12611,10 +13212,8 @@ line-height:normal'> III= -/11. ARPEGGiO/ViBRATO MACRO BR= -OWSER KEY -REFERENCE

+/11. +ARPEGGiO/ViBRATO MACRO BROWSER KEY REFERENCE

 Up,Down,PgUp,PgDown= -

+mso-ansi-language:EN-US'> Up,Down,PgUp,PgDown

 Home,End= - Home,End                 Cursor navigation

@@ -12651,8 +13249,7 @@ line-height:normal'> [Shift] Left,Rig= -ht[Shift] Left,Right       Move left/right in arpeggio table<= span style=3D'mso-spacerun:yes'>       [Shift] PgUp,PgD= -own[Shift] PgUp,PgDown      Move page left/right in arpeggio ta= ble │<= @@ -12674,8 +13270,7 @@ line-height:normal'> ^Left,^Right^Left,^Right             Move left/right in vibrato table        ^PgUp,^PgDown            -Move page left/right in vibrato table  - -(*)

+style=3D'mso-bidi-font-weight:normal'>^PgUp,^PgDown            Move page left/right in vibra= +to +table  (*)

 [Shift] Home,End         Navigate to start/e= -nd of -arpeggio table

+style=3D'mso-spacerun:yes'> 
[Shift] Home,End
         Navigate to start/end of arpeggio +table

 ^Home,^End^Home,^End               Navigate to start/end of v= ibrato table

@@ -12767,9 +13358,8 @@

 MBoard keys <hold down> MBoard keys <hold down>  Preview instrument with selected macro = data

@@ -12806,8 +13396,8 @@ mso-ansi-language:EN-US'> Esc                      Leave Arpeggio/Vibr= -ato Macro -Browser window

+ato +Macro Browser window

 (*)  -Key combination with Ctrl+Shift applies action to both tables

+
Key combination with Ctrl+S= +hift +applies action to both tables

 III/12. DEBUG iNFO<= -/span> WiNDOW KEY REFERENCE

+style=3D'mso-spacerun:yes'> 
III/12. DEBUG iNFO WiNDOW KEY REFERENCE<= +o:p>

 Up,Down,Left,Right

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Change current track

 [Ctrl] Home,End          Skip to previous/n= -ext -pattern

+style=3D'mso-spacerun:yes'> [Ctrl] Home,End          Skip to previous/next pattern

 ^Right                   Fast-Forward<= -/p> +style=3D'mso-spacerun:yes'>                   Fast-Forward

 [Alt] R                  Reset flags on all trac= -ks

+style=3D'mso-spacerun:yes'>                  Reset flags on all tracks<= +/span>

 III= /13. -REMAP iNSTRUMENT WiNDOW KEY -REFERENCE

+REMAP iNSTRUMENT WiNDOW KEY REFERENCE

 Up,Down,Left,Right

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Cursor navigation

 PgUp,PgDown       &nb= -sp;      +mso-ansi-language:EN-US'> PgU= +p,PgDown          &nb= +sp;   Move up/down 16 instruments

 MBoard keys <= -;hold -down>  Preview instrument

+mso-ansi-language:EN-US'> MBo= +ard +keys <hold down>  Preview instrument

 III= /14. -REARRANGE TRACKS WiNDOW KEY REFERENCE

+REARRANGE TRACKS WiNDOW KEY REFERENCE

 Up,Down,Left,Right<= -/b>,

+mso-ansi-language:EN-US'> Up,Down,Left,Right,

 Home,End= - Home,End                  Cursor navigation<= /o:p>

@@ -13200,21 +13773,18 @@ line-height:normal'> ^PgUp,^PgDown          = -   -Shift track at cursor up/down in the track list

+style=3D'mso-bidi-font-weight:normal'>^PgUp,^PgDown
             Shift track at cursor up/dow= +n in +the track list

 [Shift] ^PgUp,^PgDown     Rotate -track list from cursor upside/downside

+style=3D'mso-bidi-font-weight:normal'>[Shift] ^PgUp,^PgDown     Rotate track list from cursor upside= +/downside

 Esc                       Return to Pattern Editor or Pattern Orde= -r

+style=3D'mso-spacerun:yes'>                       Return to Pattern = +Editor +or Pattern Order

 III= /15. -REPLACE WiNDOW KEY REFERENCE

+REPLACE WiNDOW KEY REFERENCE

 Up,Down,Left,Right,

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right,

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Cursor navigation

 ^W                       Swap "to find= -" -and "replace" mask content

+style=3D'mso-spacerun:yes'>                       Swap "to find" and +"replace" mask content

 Delete,Backspace Delete,Backspace         Delete current/previous characte= r

@@ -13381,9 +13946,7 @@ N-US style=3D'font-size:10.0pt;font-family:"Courier New";mso-ansi-language:EN-US= '> III/16. -SONG VARiABLES WiNDOW KEY -REFERENCE

+SONG VARiABLES WiNDOW KEY REFERENCE

 Up,Down,Left,Right +mso-ansi-language:EN-US'> Up,= +Down,Left,Right       Cursor navigation

 [Alt] <section hotkey><= +span +lang=3DEN-US style=3D'font-size:10.0pt;font-family:"Courier New";mso-ansi-l= +anguage: +EN-US'>   Jump to section

+ +

 Tab @@ -13435,6 +14009,15 @@

 ^Enter                   Setup rows per beat fo= +r BPM +calculation

+ +

 Esc=             = ;          @@ -13455,8 +14038,7 @@ N-US style=3D'font-size:10.0pt;font-family:"Courier New";mso-ansi-language:EN-US= '> III/17. -FiLE BROWSER KEY REFERENCE

+FiLE BROWSER KEY REFERENCE

 Up,Down,Left,Right,

+mso-ansi-language:EN-US'> Up,= +Down,Left,Right,

 PgUp,PgDown,Home,En= -d PgUp,PgDown,Home,End     Cursor navigation<= /p> @@ -13490,10 +14069,9 @@ style=3D'mso-bidi-font-weight:normal'>\ (/ for Linux)          Navigate to root directory

+normal'>/ for Linux)          <= +/span>Navigate +to root directory

 MBoard keys <hold down> MBoard keys <hold down>  Preview instrument (instrument files on= ly)

@@ -13555,9 +14132,8 @@ N-US style=3D'font-size:10.0pt;font-family:"Courier New";mso-ansi-language:EN-US= '> III/18. MESSAGE BOARD WiNDOW -KEY REFERENCE

+style=3D'mso-spacerun:yes'> III/18. MESSAGE BOARD WiNDOW KEY REFEREN= +CE

 Up,Down,Left,Right<= -/b>,

+mso-ansi-language:EN-US'> Up,Down,Left,Right,

 ^PgUp,^PgDown,

+style=3D'mso-bidi-font-weight:normal'>^PgUp,^PgDown,
<= +/p>

 Home,End,^Home,^End= - Home,End,^Home,^End      Cursor navigation=

 PgUp,PgDown PgUp,PgDown              Move backwards/forwards ove= r text

@@ -13613,17 +14185,15 @@ line-height:normal'> ^Left,^Right^Left,^Right
             Move word left/right

 Backspace,Delete Backspace,Delete         Delete character left/right=

@@ -13631,8 +14201,7 @@ line-height:normal'> ^Backspace,^T^Backspace,^T            Delete word left/right

@@ -13717,8 +14286,7 @@ N-US style=3D'font-size:10.0pt;font-family:"Courier New";mso-ansi-language:EN-US= '> III/19. -iNPUT FiELD KEY REF= -ERENCE

+iNPUT FiELD KEY REFERENCE

 Left,Right       &nbs= -p;       +mso-ansi-language:EN-US'> Lef= +t,Right          &nbs= +p;    Move left/right

 Home,End        = -         +mso-ansi-language:EN-US'> Hom= +e,End           = +      Move to the begin/end

 ^Left,^Right      &n= -bsp;      +mso-ansi-language:EN-US'> ^Le= +ft,^Right          &n= +bsp;  Move word left/right

 Backspace,Delete      &nbs= -p;  +mso-ansi-language:EN-US'> Bac= +kspace,Delete         Delete character left/right

 ^Backspace,^T  +mso-ansi-language:EN-US'> ^Ba= +ckspace,^T            Delete word left/right

@@ -13805,6 +14367,14 @@

 +,-                      Increment/decrement +decimal or hexadecimal value

+ +

 

 III= -/20. MiDiBOARD KEY REFERENCE

+/20. +MiDiBOARD KEY REFERENCE

 Use to enter notes while in MBoard -mode (if not already active,
- Shift+Space activates this mode if song is Stopped, or if -song is Paused
+mso-ansi-language:EN-US'> Use to enter notes while in MBoard mode (if = +not +already active,
+ Shift+Space +activates this mode if song is Stopped, or if song is Paused
 with no Trace).
<= /p> @@ -13854,9 +14423,8 @@ line-height:normal'> 

+>= +

 γ= 4; -WHiLE TRACKER iS iN MBOARD MODE      = -;            &n= +WHiLE TRACKER iS iN MBOARD MODE            &n= bsp;            = -;        +;            &n= +bsp;

@@ -13922,11 +14489,7 @@ w"'> γ= 4; -MBoard key copies note in note field= -, plays it, and advances +MBoard key copies note in note field, plays it, and advances song    

@@ -14032,10 +14595,9 @@ line-height:normal'>I= -V. iNSTRUMENTS       = -     -

+V. +iNSTRUMENTS          &nbs= +p;

 SUSTAiN LEVEL

+'> SUSTAiN +LEVEL

- @@ -14344,8 +14906,8 @@

[0] SiNE

+ style=3D'font-size:10.0pt;font-family:"Courier New"'> SiNE

 

@@ -14358,13 +14920,13 @@ pt; visibility:visible;mso-wrap-style:square'> - 3Dimage001 - [4] SiNE - / EPO

+ style=3D'font-size:10.0pt;font-family:"Courier New"'> SiNE / EPO

 

@@ -14395,13 +14956,13 @@ idth:176.4pt; height:98.4pt;visibility:visible;mso-wrap-style:square'> - 3Dimage003

- [1] HALF-SiNE

+ style=3D'font-size:10.0pt;font-family:"Courier New"'> HALF-SiNE

- 3Dimage006 - [5] ABS-SiNE - / EPO

+ style=3D'font-size:10.0pt;font-family:"Courier New"'> ABS-SiNE / EPO= +

 

@@ -14464,7 +15024,7 @@ pt; visibility:visible;mso-wrap-style:square'> - 3Dimage005 - [2] ABS-SiNE

+ DE'>ABS-SiNE

- 3Dimage004 - - 3Dimage007 -  [3] PULSE-= -SiNE

+ style=3D'mso-bidi-font-weight:normal'>[3] PULSE-SiNE

 

@@ -14583,11 +15142,11 @@ idth:187.8pt; height:92.4pt;visibility:visible;mso-wrap-style:square'> - 3Dimage008

- [7] DERiVED - SQUARE

+ style=3D'font-size:10.0pt;font-family:"Courier New"'> DERiVED SQUARE= +

 

@@ -14620,7 +15178,7 @@ pt; visibility:visible;mso-wrap-style:square'> - 3Dimage009 KEY -SCALiNG LEVEL (KSL)

+SCALiNG LEVEL (KSL)

 PANNiNG

+'> PANNiNG

 Gives you abilit= -y of -controlling output, going to left or right channel,

+mso-ansi-language:EN-US'> Gives you ability of controlling output, goi= +ng +to left or right channel,

 FiNE-TUNE

+'> FiNE-TUNE

  MODULATiON   0  π/16 │<= -/span> +mso-themeshade:128'>│ MODULATiON +&#= +9553;  +0  π/16 +&#= +9474; π/8 π/4  CONNECTiON TYPE= -

+mso-ansi-language:EN-US'> CON= +NECTiON +TYPE

-  [FM] - FREQUENCY MODULATiON

+ FREQUENCY MODULATiON

 

@@ -15058,8 +15613,7 @@ line-height:normal'>         │           = -

+ │

         @@ -15107,7 +15661,7 @@ -

 

+New"'> 

 [ADDiTiVE SYNTHESiS] AM<= -o:p>

+l'>[ADDiTiVE + SYNTHESiS] AM

 

@@ -15138,8 +15692,7 @@ line-height:normal'>         │           = -

+ │

         @@ -15340,7 +15893,7 @@ ckground1; mso-border-insidev-themeshade:191'> -          │           = -

+ │

         @@ -15375,10 +15927,9 @@ ; │         ╔════╗     &= nbsp;   - ╔════╗&nb= -sp;        - ╔════╗

+ ╔════╗     &= +nbsp;   + ╔════╗

  @@ -15406,9 +15957,9 @@    │           =    -       &n= -bsp;       -

+ │           = +   + │

           &nb= @@ -15416,9 +15967,9 @@    │          =    -       &n= -bsp;       -  

+  │          = +   +  │

           &nb= @@ -15436,7 +15987,7 @@ -          │           = -

+ │

         @@ -15494,7 +16044,7 @@    │           =    -

+ │

           &nb= @@ -15502,7 +16052,7 @@    │          =    -  

+  │

           &nb= @@ -15531,9 +16081,8 @@ sp;  ╔════╗     &= nbsp;      - ╔════╗&nb= -sp;   - │

+ ╔════╗    │= +

  @@ -15576,7 +16125,7 @@ -          │           = -

+ │

         @@ -15658,11 +16206,10 @@ sp;  ╔════╗     &= nbsp;      - ╔════╗&nb= -sp;        - ╔════╗&nb= -sp;   - │­

+ ╔════╗     &= +nbsp;   + ╔════╗    │­

  @@ -15688,7 +16235,7 @@             &= nbsp;  │        &nb= sp;     -

+ │

           &nb= @@ -15696,7 +16243,7 @@    │           =    -

+ │

           &nb= @@ -15713,7 +16260,7 @@ -          │           = -

+ │

         @@ -15790,9 +16336,8 @@ sp;  ╔════╗     &= nbsp;      - ╔════╗&nb= -sp;   - │­

+ ╔════╗    │­

  @@ -15817,7 +16362,7 @@    │           =    -

+ │

           &nb= @@ -15825,7 +16370,7 @@    │           =    -

+ │

           &nb= @@ -15862,7 +16407,7 @@ -

 

+New"'> 

REMA= RK - ABOUT 4OP CONNECTiONS + ABOUT 4OP CONNECTiONS FM/AM AND AM/FM

 Please not= -e, that since order - of 4OP tracks in = -the tracker is - 2) and 1),

+ style=3D'mso-spacerun:yes'> Please note, that since order of 4OP + channels (hardware-wise) is 2) and 1),

 these non-symmetrical instrument connections are reversed.',

+ style=3D'mso-spacerun:yes'> these two instrument connections are + swapped.',

 The preview diagrams in the Instrument Editor <= -span - class=3DSpellE>window show actual order,

+ style=3D'mso-spacerun:yes'> The preview diagrams in the Instrument + Editor window show actual order,

 but here this information - is kept in conformity with the official= -

+ style=3D'mso-spacerun:yes'> but here this information is kept in + conformity with the official

 Yamaha YMF= -262 data specification to <= -span - class=3DSpellE>prevent further confusion.

+ style=3D'mso-spacerun:yes'> Yamaha YMF262 data specification to pr= +event + further confusion.

 

@@ -15955,9 +16478,8 @@ line-height:normal'> TRE= -MOLO (AMPLiTUDE MODULATiON)

+MOLO +(AMPLiTUDE MODULATiON)

 ViBRATO

+mso-ansi-language:EN-US'> ViB= +RATO

 this effect. An offset (rof) -is added to the individual attack, decay,

+mso-ansi-language:EN-US'> this effect. An offset (rof) is added to the +individual attack, decay,

 actual_rate =3D = -(rate * -4) + rof

+mso-ansi-language:EN-US'> actual_rate =3D (rate * 4) + rof<= +/span>

 The "rof&qu= -ot; -values for corresponding "rate" value and KSR state are shown

+mso-ansi-language:EN-US'> The "rof" values for corresponding +"rate" value and KSR state are shown

 SUSTAiN (ENVELOPE GENERATOR TYPE)

+'> SUSTAiN +(ENVELOPE GENERATOR TYPE)

- 3Dimage010 - 3Dimage011 FREQUENCY -DATA MULTiPLiER

+DATA MULTiPLiER

 frequencies. The multiplication factor and <= -span -class=3DSpellE>corresonding harmonic types are

+mso-ansi-language:EN-US'> frequencies. The multiplication factor and +corresonding harmonic types are

  HARMONiC= -     &nb= -sp;            = -         +mso-themecolor:background1;mso-themeshade:128'>│ HARMONiC    &= +nbsp;           &nbs= +p;          &#= 9474;

@@ -16727,10 +17241,10 @@ normal'>[C]  12  3 octaves and a 5th -above          │<= -/span>

+background1;mso-themeshade:128'>│ 3 octaves and a 5th above&nb= +sp;         +&#= +9474;

 [0xy] ARPEGGiO

+EN-US'> ARPEGGiO

 [1xx] FREQUENCY SLiDE UP

+EN-US'> FREQUENCY SLiDE UP

 [2xx] FREQUENCY SLiDE DOWN<= -/p> +EN-US'> FREQUENCY SLiDE DOWN

 [4xy] ViBRATO

+EN-US'> ViBRATO

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [5xy] TONE PORTAMENTO WiTH VOLUME SLiDE

+EN-US'> TONE PORTAMENTO WiTH VOLUME SLiDE

 This command executes both Tone portamento and Volume slide.

+mso-ansi-language:EN-US'> This command executes both Tone portamento a= +nd +Volume slide.

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [6xy] ViBRATO WiTH VOLUME -SLiDE

+EN-US'> ViBRATO WiTH VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [7xx] FiNE FREQUENCY SLi= -DE -UP

+EN-US'> FiNE FREQUENCY SLiDE UP

 [8xx] FiNE FREQUENCY SLi= -DE -DOWN

+EN-US'> FiNE FREQUENCY SLiDE DOWN

 [Axy] VOLUME SLiDE

+'> [Axy] VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 [Bxx] PATTERN JUMP= -

+'> [Bxx] PATTERN JUMP

 If two 'Bxx' com= -mands -are given, then the command in the

+mso-ansi-language:EN-US'> If two 'Bxx' commands are given, then the co= +mmand +in the

 [Cxx] SET iNSTRUMENT -VOLUME

+'> [Cxx] SET iNSTRUMENT VOLUME

 It is equal to Ixx -command when instrument uses FM connection,

+mso-ansi-language:EN-US'> It is equal to Ixx command when instrument u= +ses +FM connection,

 [Dxx] PATTERN BREAK

+'> [Dxx] PATTERN BREAK

 If two 'Dxx' com= -mands -are given, then the command in the

+mso-ansi-language:EN-US'> If two 'Dxx' commands are given, then the +command in the

 [Exx] SET TEMPO

+'> [Exx] SET TEMPO

 If two 'Exx' com= -mands -are given, then the command in the

+mso-ansi-language:EN-US'> If two 'Exx' commands are given, then the +command in the

 [Fxx] SET SPEED

+'> [Fxx] SET SPEED

 If two 'Fxx' com= -mands -are given, then the command in the

+mso-ansi-language:EN-US'> If two 'Fxx' commands are given, then the +command in the

 [Gxy] TONE PORTAMENTO WiTH FiNE VOLUME SLiDE

+'> [Gxy] TONE PORTAMENTO WiTH FiNE VOLUME SLiDE

 This command executes both Tone portamento and Fine volume slide. +mso-ansi-language:EN-US'> This command executes both Tone portamento a= +nd +Fine volume slide.

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Hxy] Vi= -BRATO -WiTH FiNE VOLUME SLiDE

+'> [Hxy] ViBRATO WiTH FiNE VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Ixx] SET CARRiER -VOLUME

+'> [Ixx] SET CARRiER VOLUME

 [Jxy] SET WAVEFORM= -

+'> [Jxy] SET WAVEFORM

 [Kxy] Fi= -NE -VOLUME SLiDE

+'> [Kxy] FiNE VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 [Lxx] RE= -TRiG -NOTE

+'> [Lxx] RETRiG NOTE

 This command retriggs -the note after 'xx' frames.

+mso-ansi-language:EN-US'> This command retriggs the note after 'xx' +frames.

 [Mxy] TREMOLO

+'> [Mxy] TREMOLO

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 Tremolo acts like vibrato, but changing the -volume instead of the pitch.

+mso-ansi-language:EN-US'> Tremolo acts like vibrato, but changing the = +volume +instead of the pitch.

 [Nxy] TREMOR

+'> [Nxy] TREMOR

 [Oxy] ARPEGGiO WiTH -VOLUME SLiDE

+EN-US'> ARPEGGiO WiTH VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Pxy] AR= -PEGGiO -WiTH FiNE VOLUME SLiDE

+'> [Pxy] ARPEGGiO WiTH FiNE VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Qxy] MU= -LTi -RETRiG NOTE

+'> [Qxy] MULTi RETRiG NOTE

 This command retriggs -the note after 'x' frames with specified

+mso-ansi-language:EN-US'> This command retriggs the note after 'x' fra= +mes +with specified

 [Rxy] FREQUENCY SLiDE UP WiTH VOLUME SLiDE

+'> [Rxy] FREQUENCY SLiDE UP WiTH VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Sxy] FREQUENCY SLiDE DOWN WiTH VOLUME SLiDE

+'> [Sxy] FREQUENCY SLiDE DOWN WiTH VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Txy] Fi= -NE -FREQUENCY SLiDE UP WiTH -VOLUME SLiDE

+'> [Txy] FiNE FREQUENCY SLiDE UP WiTH VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Uxy] Fi= -NE -FREQUENCY SLiDE DOWN WiTH<= -/span> -VOLUME SLiDE

+'> [Uxy] FiNE FREQUENCY SLiDE DOWN WiTH VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Vxy] FREQUENCY SLiDE UP WiTH FiNE -VOLUME SLiDE

+'> [Vxy] FREQUENCY SLiDE UP WiTH FiNE VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Wxy] FREQUENCY SLiDE DOWN WiTH FiNE VOLUME SLiDE

+'> [Wxy] FREQUENCY SLiDE DOWN WiTH FiNE VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Xxy] Fi= -NE -FREQUENCY SLiDE UP WITH Fi= -NE -VOLUME SLiDE

+'> [Xxy] FiNE FREQUENCY SLiDE UP WITH FiNE VOLUME SLiDE

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Yxy] Fi= -NE -FREQUENCY SLiDE DOWN WiTH<= -/span> FiNE VOLUME SLiDE

+'> [Yxy] FiNE FREQUENCY SLiDE DOWN WiTH FiNE VOLUME SLiDE<= +/p>

 Parameter 'xy' g= -ives -speed of volume slide:

+mso-ansi-language:EN-US'> Parameter 'xy' gives speed of volume slide:<= +o:p>

 If you specify 'xy' as -'00' then the previous value will be used.

+mso-ansi-language:EN-US'> If you specify 'xy' as '00' then the previous +value will be used.

 [Z1x] SET ViBRATO DEPTH

+EN-US'> SET ViBRATO DEPTH

 [Z4x] SET MODULATOR'S SUSTAiN LEVEL

+EN-US'> SET MODULATOR'S SUSTAiN LEVEL

 [Z6x] SET CARRiER'S ATTACK RATE

+EN-US'> SET CARRiER'S ATTACK RATE

 [Z7x] SET CARRiER'S DECAY RATE

+EN-US'> SET CARRiER'S DECAY RATE

 [Z8x] SET CARRiER'S SUST= -AiN -LEVEL

+EN-US'> SET CARRiER'S SUSTAiN LEVEL

 [Z9x] SET CARRiER'S RELEASE RATE

+EN-US'> SET CARRiER'S RELEASE RATE

 [ZAx] SET FEEDBACK STRENGTH

+'> [ZAx] SET FEEDBACK STRENGTH

 [ZBx] SET PANNiNG -POSiTiON

+'> [ZBx] SET PANNiNG POSiTiON

 [ZCx] PATTERN LOOP= -

+'> [ZCx] PATTERN LOOP

         <= -span -class=3DSpellE>ZCx - Loop x times.

+mso-ansi-language:EN-US'>         Z= +Cx - +Loop x times.

 corresponding ZC0 and Z= -Cx -commands in the same track in order to operate.

+mso-ansi-language:EN-US'> corresponding ZC0 and ZCx commands in the sa= +me +track in order to operate.

 If ZCx commands = -are -put in both effect columns, only the one in first

+mso-ansi-language:EN-US'> If ZCx commands are put in both effect colum= +ns, +only the one in first

 [ZDx] RE= -CURSiVE -PATTERN LOOP

+'> [ZDx] RECURSiVE PATTERN LOOP

         <= -span -class=3DSpellE>ZDx - Loop x times.

+mso-ansi-language:EN-US'>         Z= +Dx - +Loop x times.

 This command is recursive variant of ZCx effect command.

+mso-ansi-language:EN-US'> This command is recursive variant of ZCx eff= +ect +command.

 Please keep in mind that ZDx -command should not be used in combination

+mso-ansi-language:EN-US'> Please keep in mind that ZDx command should = +not +be used in combination

 with ZCx command= - in other -effect column, otherwise it will cause an

+mso-ansi-language:EN-US'> with ZCx command in other effect column, +otherwise it will cause an

 [ZE2/ZE3] TOGGLE RESTARTiNG -ENVOLOPE WiTH TONE PORTAMENTO= -

+style=3D'mso-bidi-font-weight:normal'>[ZE2/ZE3] TOGGLE RESTARTiNG ENVOL= +OPE +WiTH TONE PORTAMENTO

 input in = the -note column (rows where note culumn is empty ar= -e not -affected).

+note column (rows where note culumn is empty are not affected).<= +/span>

 

+mso-ansi-language:EN-US'> 

+ +

 Here is a +complete list of commands that are affected by this lock:= +

+ +

 5xy/6xy, = +Axy, +Cxx, Gxy/Hxy, Kxy, Mxy, Rxy/Sxy, Txy/Uxy,

+ +

 Vxy/Wxy, +Xxy/Yxy, ^xy, %xx

+ +

 

+ +

 Please no= +te +that when the 4OP Track Volume Lock is set for particular= +

+ +

 4OP track= +s, +setting of 'Volume Scaling' is ignored (it is mandatory ON).

+ +

 

+ +

 

+ +

[ZE7] PERFORM BPM SLiDE USiNG >xx AND <xx COMMANDS

+ +

───────&= +#9472;──────────= +;──────────^= +72;──────────&#= +9472;──────────= +

 Here is a -complete list of commands that are affected by this lock:= -

+mso-ansi-language:EN-US'> 

 5xy/6xy, = -Axy, Cxx, Gxy/Hxy, Kxy, Mxy, -Rxy/Sxy, Txy/Uxy,

+mso-ansi-language:EN-US'> As descri= +ption +invokes, this command is intended to be used together

 Vxy/Wxy, Xxy/Yxy, ^xy, %xx<= -/span>

+mso-ansi-language:EN-US'> with >= +xx and +<xx effect commands.

 

+mso-ansi-language:EN-US'> It will c= +ause +the playback speed shift up/down by certain of Hz,

 Please no= -te -that when the 4OP Track Volume Lock is set for particular= -

+mso-ansi-language:EN-US'> depending= + on +the speed of slide.

 4OP track= -s, -setting of 'Volume Scaling' is ignored (it is mandatory ON).

+mso-ansi-language:EN-US'> Parameter= + 'xx' +gives speed of slide.

 

+mso-ansi-language:EN-US'> 

 [ZF0] RELEASE SUSTAiNiNG SOUND

+EN-US'> RELEASE SUSTAiNiNG SOUND

 [ZF1] RESET iNSTRUMENT VOLUME

+EN-US'> RESET iNSTRUMENT VOLUME

 as well as -Tremolo, Tremor and Multi Retrig Note effects.<= -o:p>

+Tremolo, Tremor and Multi Retrig Note effects.

 [ZF6] TOGGLE MODULATOR VOLUME SLiDES

+EN-US'> TOGGLE MODULATOR VOLUME SLiDES

 as well as Tremolo, Tremor and Multi Retrig Note effects.

+mso-ansi-language:EN-US'> as well as Tremolo, Tremor and Multi Retrig = +Note +effects.

 [ZF7] TOGGLE CARRiER VOLUME SLiDES

+EN-US'> TOGGLE CARRiER VOLUME SLiDES

 as well as Tremolo, Tremor and Multi Retrig Note effects.

+mso-ansi-language:EN-US'> as well as Tremolo, Tremor and Multi Retrig = +Note +effects.

 [ZF8] TOGGLE DEFAULT VOLUME SLiDES= -

+EN-US'> TOGGLE DEFAULT VOLUME SLiDES

 as well as Tremolo, Tremor and Multi Retrig Note effects.

+mso-ansi-language:EN-US'> as well as Tremolo, Tremor and Multi Retrig = +Note +effects.

 [ZF9/ZFA] TOGGLE TRACK PANNiNG LOCK

+EN-US'> TOGGLE TRACK PANNiNG LOCK

 It means, that current panning position is f= -orced -for entire track,

+mso-ansi-language:EN-US'> It means, that current panning position is +forced for entire track,

 and can be updated only by ZBx -effect command.

+mso-ansi-language:EN-US'> and can be updated only by ZBx effect comman= +d.

 and can be -updated only by ZBx effect command.<= -/span>

+updated only by ZBx effect command.

 [ZFB] ViBRATO OFF

+EN-US'> ViBRATO OFF

 [ZFD] FORCE FiNE ViBRATO= - -(FORCE FiNE GLOBAL FREQ. S= -LiDE)

+EN-US'> FORCE FiNE ViBRATO (FORCE FiNE GLOBAL FREQ. SLiDE)

 This command has to be used together with 4x= y, -6xy or Hxy (>xx, <xx) +6xy or Hxy (>xx, <xx)

 [ZFE] FORCE FiNE TREMOLO (FORCE EXTRA FiNE GLOBAL FREQ. SLiDE)<= -o:p>

+EN-US'> FORCE FiNE TREMOLO (FORCE EXTRA FiNE GLOBAL FREQ. SLiDE)= +

 This command has to be used together with Mxy (>xx, <xx)

+mso-ansi-language:EN-US'> This command has to be used together with Mxy +(>xx, <xx)

 [#0x] SET CONNECTiON TYPE +EN-US'> SET CONNECTiON TYPE

 [#1x] SET MODULATOR'S MULTiPLiER

+EN-US'> SET MODULATOR'S MULTiPLiER

 This command sets the modulator's tremolo -on-or-off for current instrument.

+mso-ansi-language:EN-US'> This command sets the modulator's tremolo on= +-or-off +for current instrument.

 [#4x] SET MODULATOR'S ViBRATO

+EN-US'> SET MODULATOR'S ViBRATO

 [#6x] SET MODULATOR'S SUSTAiN

+EN-US'> SET MODULATOR'S SUSTAiN

 For more information see chapter IV, part &q= -uot;Sustain -(Envelope generator)".

+mso-ansi-language:EN-US'> For more information see chapter IV, part +"Sustain (Envelope generator)".

 [#7x] SET CARRiER'S MULT= -iPLiER

+EN-US'> SET CARRiER'S MULTiPLiER

 [#8x] SET CARRiER'S KSL

+EN-US'> SET CARRiER'S KSL

 [#9x] SET CARRiER'S TREMOLO= -

+EN-US'> SET CARRiER'S TREMOLO

 [#Ax] SET CARRiER'S ViBR= -ATO

+EN-US'> SET CARRiER'S ViBRATO

 [#Bx] SET CARRiER'S -KSR

+'> [#Bx] SET CARRiER'S KSR

 [#Cx] SET CARRiER'S -SUSTAiN

+'> [#Cx] SET CARRiER'S SUSTAiN

 [&4x] FiNE-TUNE UP

+EN-US'> FiNE-TUNE UP

 [&5x] FiNE-TUNE DOWN

+EN-US'> FiNE-TUNE DOWN

 [&6x] GLOBAL VOLUME SLiDE UP

+EN-US'> GLOBAL VOLUME SLiDE UP

 [&7x] GLOBAL VOLUME SLiDE DOWN

+EN-US'> GLOBAL VOLUME SLiDE DOWN

 [&8x] FiNE GLOBAL VOLUME SLiDE -UP

+EN-US'> FiNE GLOBAL VOLUME SLiDE UP

 [&9x] FiNE GLOBAL VOLUME SLiDE -DOWN

+EN-US'> FiNE GLOBAL VOLUME SLiDE DOWN

 [&Ax] EXTRA FiNE GLOBAL VOLUME SLiDE -UP

+EN-US'> EXTRA FiNE GLOBAL VOLUME SLiDE UP

 [&Bx] EXTRA FiNE -GLOBAL VOLUME SLiDE DOWN

+'> [&Bx] EXTRA FiNE GLOBAL VOLUME SLiDE DOWN

 [&Cx] EXTRA FiNE -VOLUME SLiDE UP

+'> [&Cx] EXTRA FiNE VOLUME SLiDE UP

 [&Dx] EXTRA FiNE -VOLUME SLiDE DOWN

+'> [&Dx] EXTRA FiNE VOLUME SLiDE DOWN

 [&Ex] EXTRA FiNE FREQUENCY SLiDE -UP

+EN-US'> EXTRA FiNE FREQUENCY SLiDE UP

 [&Fx] EXTRA FiNE -FREQUENCY SLiDE DOWN

+'> [&Fx] EXTRA FiNE FREQUENCY SLiDE DOWN

 [$xy] EXTRA FiNE -ARPEGGiO

+'> [$xy] EXTRA FiNE ARPEGGiO

 [~xy] EXTRA FiNE -ViBRATO

+'> [~xy] EXTRA FiNE ViBRATO

 [^xy] EXTRA FiNE -TREMOLO

+'> [^xy] EXTRA FiNE TREMOLO

 This command is a variant of Mxy -(Tremolo) effect command.

+mso-ansi-language:EN-US'> This command is a variant of Mxy (Tremolo) +effect command.

 [!xx] SWAP ARPEGGiO TABLE +EN-US'> SWAP ARPEGGiO TABLE

 remains intact, and "temporarily" = -means -that the change is valid until

+mso-ansi-language:EN-US'> remains intact, and "temporarily" +means that the change is valid until

 [@xx] SWAP ViBRATO TABLE

+EN-US'> SWAP ViBRATO TABLE

 [=3Dxx] FORCE iNSTRUMENT VOLUME

+EN-US'> FORCE iNSTRUMENT VOLUME

 This command is a variant of Cxx -(Set Instrument volume) effect command.

+mso-ansi-language:EN-US'> This command is a variant of Cxx (Set Instru= +ment +volume) effect command.

 [>xx] GLOBAL FREQ. SLiDE UP= -

+EN-US'> GLOBAL FREQ. SLiDE UP

 [<xx] GLOBAL FREQ. SLiDE DOWN

+EN-US'> GLOBAL FREQ. SLiDE DOWN

           PARAMETE= -R  SiZE MAX.   FACTOR -│
+gray;mso-themecolor:background1;mso-themeshade:128'>│ +PARAMETER  SiZE MAX.   + +FACTOR
           ╞═══&#= @@ -23494,9 +23899,8 @@ y:"Courier New"; mso-ansi-language:EN-US'>∙ V= I. -SONG VARiABLES     = -;    -∙

+SONG VARiABLES         ∙<= +o:p>

 iNSTRUMENTS, PATTERNS, ORDER LiS= -T -ENTRiES

+'> iNSTRUMENTS, +PATTERNS, ORDER LiST ENTRiES

   (tempo_in_Hz * -2.5) =3D tempo_in_BPM

+mso-ansi-language:EN-US'>   (tempo_in_Hz * 2.5) =3D tempo_in_BPM<= +o:p>

 Note that this adjusts the number of tracks = -if neccessary.

+if +neccessary.

 ViBRATO DEPTH

+'> ViBRATO +DEPTH

 PERCUSSiON TRACK EXTENSiON -(BD,SD,TT,TC,HH)

+'> PERCUSSiON +TRACK EXTENSiON (BD,SD,TT,TC,HH)

 but you can decrease the value as neccessary though.

+mso-ansi-language:EN-US'> but you can decrease the value as neccessary +though.

 (iMPORTANT OPTiON)

+mso-ansi-language:EN-US'> (iMPORTANT OPTiON)

 iNiTiAL LOCK SETTiNGS

+'> iNiTiAL +LOCK SETTiNGS

V= -II. GETTiNG STARTED     &nbs= -p; ∙

+II. +GETTiNG STARTED       ∙<= +/span>

 Any piece of music written with the /┤= -DLiB TR/┤CK3R ][ is built up<= -/p> +DLiB +TR/┤CK3R ][ is built up

 use the Pattern Break effect command (Bxx).

+mso-ansi-language:EN-US'> use the Pattern Break effect command (Bxx).<= +o:p>

        &n= bsp;     -│LiNE│ -1     = -;            +│LiNE1     &n= +bsp;           │ 2

 You can enter the note by switching into MBoard mode while the song

+mso-ansi-language:EN-US'> You can enter the note by switching into MBo= +ard +mode while the song

 mode OFF (Shift+Space -to toggle) then by just typing the note into

+mso-ansi-language:EN-US'> mode OFF (Shift+Space to toggle) then by just +typing the note into

 You can check the active instrumet -at the bottom of main screen or directly

+mso-ansi-language:EN-US'> You can check the active instrumet at the bo= +ttom +of main screen or directly

 If in MBoard mod= -e, -this will be entered automatically when a note

+mso-ansi-language:EN-US'> If in MBoard mode, this will be entered +automatically when a note

 is entered through the keyboard (MBoard keys).

+mso-ansi-language:EN-US'> is entered through the keyboard (MBoard keys= +).

 Typing in the instrument number (if "update_ins" option is ON) will affect= -

+mso-ansi-language:EN-US'> Typing in the instrument number (if +"update_ins" option is ON) will affect

 To enter the notes /┤DLiB -TR/┤CK3R ][ uses a piano-like keyboard layout

+mso-ansi-language:EN-US'> To enter the notes /┤DLiB TR/┤CK= +3R +][ uses a piano-like keyboard layout

 when in MBoard m= -ode -(see chapter III, part "I" for the layout).

+mso-ansi-language:EN-US'> when in MBoard mode (see chapter III, part +"I" for the layout).

 When both MBoard= - and -Tracking mode are OFF, you can type in the notes

+mso-ansi-language:EN-US'> When both MBoard and Tracking mode are OFF, = +you +can type in the notes

V= III. -USEFUL TiPS     &n= -bsp;    -∙

+USEFUL TiPS
          ͨ= +9;

 TiP 1   The tracker= - is -capable of highlighting corresponding lines.

+'> TiP +1   The tracker is capable of highlighting +corresponding lines.

         Y= ou -can toggle this mode on-and-off with Alt+M, and= - setup -by Alt+L.

+can toggle this mode on-and-off with Alt+M, and setup by Alt+L.<= +/span>

 TiP 2   You can pre= -view -an instrument before loading it.

+'> TiP +2   You can preview an instrument before +loading it.

         In -the file open dialog or bank browser, use MBoard keys -while

+the file open dialog or bank browser, use MBoard keys while

 TiP 3   When in Deb= -ug -mode, you can trace the song row by row

+'> TiP +3   When in Debug mode, you can trace the +song row by row

         -window toggled by holding Ctrl+Alt. When the so= -ng is -played

+window toggled by holding Ctrl+Alt. When the song is played

 TiP 4   When -transposing patterns, it's sometimes useful to keep some of

+'> TiP +4   When transposing patterns, it's somet= +imes +useful to keep some of

         u= sing -MBoard keys, or you can remark already put notes -to-or-from

+MBoard keys, or you can remark already put notes to-or-from

         f= ixed -state in single steps or in blocks by Shift+Enter.

+state in single steps or in blocks by Shift+Enter.

 TiP 5   You can for= -ce /┤DLiB TR/┤CK3R ][ to behave like Scream Tracker = -or

+'> TiP +5   You can force /┤DLiB TR/┤= +CK3R +][ to behave like Scream Tracker or

         <= -span -class=3DSpellE>FastTracker when typing in commands within the Pattern -Editor

+mso-ansi-language:EN-US'>         +FastTracker when typing in commands within the Pattern Editor

ADTRACK2.iNi SETTiNGS 

+normal'>ADTRACK2.iNi SETTiNGS  = +│<= +/span>

command_typing=3D” AND  -
+“command_typing=3D” AND  │<= +/span>
         TYPiNG M= -ODE TYPiNG MODE      FLAG “cycle_pattern=3D”      

+128'>│ “cycle_pattern=3D”    = +   +

│<= /span> -FastTracker        -FT  +FastTracker      │<= +/span>  FT  │<= +/span>       1/ON &nbs= p;                    P= lease -note that when you set command typing to 0 (mOrOn),
+note that when you set command typing to 0 (mOrOn),
         you cannot switch over any= of these modes.

@@ -25451,11 +25829,12 @@ line-height:normal'> TiP 6   You are -reminded of changes you have made to the song.

+'> TiP +6   You are reminded of changes you have = +made +to the song.

 TiP 7   You can get -information about track properties while Playing,

+'> TiP +7   You can get information about track +properties while Playing,

│<= /span>   -see chapter V, effect ZBx,

+see chapter V, effect ZBx,

TT  Tom Tom +mso-themecolor:background1;mso-themeshade:128'>│ Tom Tom track            │<= @@ -25675,10 +26053,11 @@ /span> HH  Hi-Hat track&= -nbsp;            -&#= -9474;

+mso-themecolor:background1;mso-themeshade:128'>│ Hi-Hat +track           &nbs= +p; │<= +/span>

 TiP 8   You are abl= -e to -gain different setups for corresponding directory

+'> TiP +8   You are able to gain different setups= + for +corresponding directory

         -Simply place your "ini" file where yo= -u want -to use corresponding

+Simply place your "ini" file where you want to use corresponding<= +o:p>

         s= -etup, -and run the tracker from within this directory (it's useful

+mso-ansi-language:EN-US'>         +setup, and run the tracker from within this directory (it's useful

 TiP 9   You can use= - shortkey [BackSpace] for = -upper-dir and [\] for root

+'> TiP +9   You can use shortkey [BackSpace] for +upper-dir and [\] for root

 TiP 10  You can play your -songs one after another by pressing Shift+Enter= -

+'> TiP +10  You can play your songs one after another by +pressing Shift+Enter

 TiP 11  While working with -4-op tracks, marking instruments

+'> TiP +11  While working with 4-op tracks, marking +instruments

 TiP 12  While working with -4-op tracks, panning works within both

+'> TiP +12  While working with 4-op tracks, panning wor= +ks +within both

         c= -hannels -if you have used some AM connection at least in one

+mso-ansi-language:EN-US'>         +channels if you have used some AM connection at least in one

 TiP 13  While working with -instrument macro-definitions, don't forget

+'> TiP +13  While working with instrument +macro-definitions, don't forget

 TiP 14  While working with -clipboard, you can use paste same copied object

+'> TiP +14  While working with clipboard, you can use p= +aste +same copied object

 TiP 15  You can load a -pattern to a multiple destination--pattern(s) when

+'> TiP +15  You can load a pattern to a multiple +destination--pattern(s) when

 TiP 16  If working with -percussion mode (respect then :) percussion

+'> TiP +16  If working with percussion mode (respect th= +en +:) percussion

 TiP 17  While -testing/previewing an instrument within Instrument Control,

+'> TiP +17  While testing/previewing an instrument with= +in +Instrument Control,

         h= old -[Ctrl] key before releasing the MBoard key, and -note(s) will

+[Ctrl] key before releasing the MBoard key, and note(s) will

       &nbs= p; In -this case, hold the Ctrl+Alt keys pressed when -releasing

+this case, hold the Ctrl+Alt keys pressed when releasing<= +/p>

         t= -he MBoard key.

+he +MBoard key.

 TiP 18  The tracker featu= -res -both simple playback mode and playback mode

+'> TiP +18  The tracker features both simple playback m= +ode +and playback mode

         w= ith -synchronization. The advantage of synchro-playb= -ack is -that

+synchronization. The advantage of synchro-playback is that

         T= he -playback is synchronized everytime when it's neccassary, so

+playback is synchronized everytime when it's neccassary, so

         a= ll -the playing effects, song tempo, song speed, song timer a.o. -are

+the playing effects, song tempo, song speed, song timer a.o. are= +

         j= -ust the -same as they are when playing whole song from start.

+ust +the same as they are when playing whole song from start.<= +/p>

         T= he -disadvantage is that it sometimes takes awhile = -(maybe -longer :)

+disadvantage is that it sometimes takes awhile (maybe longer :)<= +/span>

         <= -span -class=3DSpellE>shortkey (i.e. Alt+F5, Alt+F8 and Alt+F9) even if the= - song

+mso-ansi-language:EN-US'>         +shortkey (i.e. Alt+F5, Alt+F8 and Alt+F9) even if the song

         -option "nosync_by_default" to ON).

+option "nosync_by_default" to ON).

 TiP 19  You can play the -current pattern in single-playing mode.

+'> TiP +19  You can play the current pattern in +single-playing mode.

 TiP 20  If working with -macro-definitions, you can disable one or more

+'> TiP +20  If working with macro-definitions, you can +disable one or more

         w= ith -pattern effects. This mode works similary as -disabling tracks

+pattern effects. This mode works similary as disabling tracks

         in -Pattern Editor, but using Ctrl+Backspace for si= -ngle

+Pattern Editor, but using Ctrl+Backspace for single

 TiP 21  When making -macro-instruments, it's sometimes useful to reset

+'> TiP +21  When making macro-instruments, it's sometim= +es +useful to reset

         <= -span -class=3DSpellE>Ctrl+N toggles ON the retrigger for corresponding row= - and Ctrl+Alt+N

+mso-ansi-language:EN-US'>         +Ctrl+N toggles ON the retrigger for corresponding row and Ctrl+Alt+N

 TiP 22  You can control t= -he -playback from within the Instrument Control

+'> TiP +22  You can control the playback from within the +Instrument Control

         -panel. Following commands are functional:

+mso-ansi-language:EN-US'>         p= +anel. +Following commands are functional:

         -[Ctrl] Home,End  * Skip to previous/next p= -attern -while Tracing

+[Ctrl] Home,End  * Skip to previous/next pattern while Tracing

 TiP 23  You can control -current instrument directly in Macro Editor window

+'> TiP +23  You can control current instrument directly= + in +Macro Editor window

 TiP 24  When working with= - /┤DLiB TR/┤CK3R ][ native bank files (A2B, A2W),<= -br> +'> TiP +24  When working with /┤DLiB TR/┤CK= +3R +][ native bank files (A2B, A2W),
         you can force loading comp= lete bank instead of going to bank browser.
         This can be done easily by -pressing Shift+Enter instead of Enter key
+pressing Shift+Enter instead of Enter key
         in File Selector.

@@ -26588,13 +26956,11 @@

 TiP 25  -All options from config file can be cont= -rolled -also directly

+mso-ansi-language:EN-US'> TiP 25  +All options from config file can be controlled also directly

           -"adtrack2.exe [[/cfg:option] [...]]= -"

+"adtrack2.exe [[/cfg:option] [...]]"

           e.g. -"adtrack2.exe /cfg:sdl_screen_mode=3D2 /cfg:sdl_frame_rate=3D150"

+"adtrack2.exe /cfg:sdl_screen_mode=3D2 /cfg:sdl_frame_rate=3D150"<= +/span>

 TiP 26  +mso-ansi-language:EN-US'> TiP 26  When deleting notes in Note Recorder mode, you can fast-forward=

         or -rewind playback while deleting them. All you need to do is

+mso-ansi-language:EN-US'>         o= +r rewind +playback while deleting them. All you need to do is

         a= t once -with pressing Ctrl+Backspace.= -

+with pressing Ctrl+Backspace.

 TiP 27  +mso-ansi-language:EN-US'> TiP 27  When deleting notes in Note Recorder mode, you can fast-forward=

@@ -26711,10 +27071,9 @@

 TiP 28  +mso-ansi-language:EN-US'> TiP 28  When working with banks containing 4OP instruments, it may be

@@ -26729,8 +27088,8 @@ line-height:normal'>         -instruments only. This can be done by pressing = -Shift+Enter

+instruments only. This can be done by pressing Shift+Enter

 REMiNDER
+mso-ansi-language:EN-US'> REMiNDER<= +/span>
 ────────
 If you are encountering any proble= m with this program, please send
- a bugreport to -my email address (stated below in this document).

+ a bugreport to my email address (s= +tated +below in this document).<= +/o:p>

X= -. EPiLOGUE       = -;         +mso-ansi-language:EN-US'>∙ X. +EPiLOGUE          &nb= +sp;     ∙

 HON= EST -'THANK YOU' TO FOLLOWiNG PEOPLE<= -/span>

+'THANK YOU' TO FOLLOWiNG PEOPLE

 Florian <= -span -class=3DSpellE>Klaempfl and others [Free Pascal Compiler 2.6.4]= -

+mso-ansi-language:EN-US'> Florian +Klaempfl and others [Free Pascal Compiler 2.6.4]

 Simple DirectMedia Layer [SDL 1.2.15]

+mso-ansi-language:EN-US'> Simple +DirectMedia Layer [SDL 1.2.15]

 Daniel F.= - Moisset [SDL4Freepascal-1.2.0.0]

+mso-ansi-language:EN-US'> Daniel F. +Moisset [SDL4Freepascal-1.2.0.0]

 Alexey Khokholov [NukedOPL3 1.6]

+mso-ansi-language:EN-US'> Alexey +Khokholov [NukedOPL3 1.6]

 Haruhiko = -Okomura & Haruyasu Yoshizaki [LZH algorithm]

+mso-ansi-language:EN-US'> Haruhiko +Okomura & Haruyasu Yoshizaki [LZH algorithm]

Markus Oberhumer, Laszlo Molnar & John = -Reiser -[UPX 3.91w]

+DE'>Markus Oberhumer, Laszlo Molnar & John Reiser [UPX 3.91w]

 (further in alphabetical order  (further in alphabetical order  Daniel Illgen (insane/Altair)

+mso-ansi-language:DE'> Daniel Illgen +(insane/Altair)

 Dmitry Smagin

+mso-ansi-language:DE'> Dmitry Smagi= +n

 Janwillem Jagersma

+mso-ansi-language:DE'> Janwillem Ja= +gersma

 Maan M. Hamze<= -/span>

+mso-ansi-language:DE'> Maan M. Hamz= +e

 Mikkel Hastrup -(encore)

+lang=3DEN-US style=3D'font-size:10.0pt;font-family:"Courier New";mso-ansi-l= +anguage: +EN-US'>Mikkel Hastrup (encore)

 Nick Balega

+mso-ansi-language:EN-US'> Nick Bale= +ga

 PissMasterPlus

+mso-ansi-language:EN-US'> PissMaste= +rPlus

 Slawomir Bubel +mso-ansi-language:EN-US'> Slawomir = +Bubel (Malfunction/Altair)

 Sven Renn= -er (NeuralNET)

+er +(NeuralNET)

 Tyler Montbriand (Corona688)

+mso-ansi-language:EN-US'> Tyler +Montbriand (Corona688)

 SEN= D YOUR -QUESTiONS, SUGGESTiONS, AND -CRiTiCiSM TO

+QUESTiONS, SUGGESTiONS, AND CRiTiCiSM TO

                                                = -    -                        W= -29;

+                            +∙

 This document was last updated on July 24, 2= -016.

+mso-ansi-language:EN-US'>This document was last updated on December 24, 201= +8.

@@ -27232,27 +27566,26 @@ -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/item0033.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml - - -------=_NextPart_01D1E5D7.64999090 + +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/props034.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml - -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/themedata.thmx Content-Transfer-Encoding: base64 Content-Type: application/vnd.ms-officetheme @@ -27313,7 +27646,7 @@ oAkAAHRoZW1lL3RoZW1lL19yZWxzL3RoZW1lTWFuYWdlci54bWwucmVsc1BLBQYAAAAABQAFAF0B AACbCgAAAAA= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/colorschememapping.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml @@ -27323,6802 +27656,7577 @@ bg1=3D"lt1" tx1=3D"dk1" bg2=3D"lt2" tx2=3D"dk2" accent1=3D"accent1" accent= 2=3D"accent2" accent3=3D"accent3" accent4=3D"accent4" accent5=3D"accent5" a= ccent6=3D"accent6" hlink=3D"hlink" folHlink=3D"folHlink"/> -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image001.png Content-Transfer-Encoding: base64 Content-Type: image/png -iVBORw0KGgoAAAANSUhEUgAAA6oAAARKCAYAAABGn40SAAAAAXNSR0IArs4c6QAAAARnQU1BAACx -jwv8YQUAAAAJcEhZcwAAFxEAABcRAcom8z8AAP+lSURBVHhe7J0vdBRLF8TRaEwMOjo+Go1G49Fo -NBqPjkaj49FoNPo7X/2qb/X2TGZ3ZzYB3uNNzqmzu923/9Xcvt01Pdl91v9efrzasWPHjh3/Qbwo -3Hy6evnx/urlp29Xz1/dHdJj9xy8X8Bgk/qef7h69kx5IDZjXceQNue2D9pW/Ubez+zX4uiYgtjM -7dJ22SzVfQ7F0/Pbz+Yc7p/rGiyOv2wPbed95WHjPirdvA/pPU/pL5Tf66s018X7vArzch3YAN4r -b97PSzBpY5Y3sUnbev8U7e7YsWPHjn8mHvwtGe3YsWPHjr8fbPrBRKh+PqQLCKgXEq8v3oDP7fWt -8PquxJUERIkgyjr/tV6B3ru+6yMirIM69Oq6Kk3vn6vci9RJ21Xny7dfWh9efZINtlX2Qb3LeA4Y -V9U31m2oTedLSDLOni70tmVP/1znhrYN+ivh1YSqeF8SqhmTXltf1abbFtSPzr1szTt9St/FyWSM -9BV78Uy6x4W96mrjEYbr6fIjH3XdM/bnt+LdfRyu11qUPXW47netTvrkaznYPr9RXz226id9POtL -O3bs2LHjX4v533MvXDt27Nix4z8JhIkEwfWHr1fXn+8lBhAhlS68kKC4fv/16ubu29XNF8Gv369u -Pn+7evnhSxM3z9+7zIs3X1RH2ZUtAgOb1DdpO7gWED9AfXGa6nyhctcSMm7za9VJ/eonadefvqp+ -xIvKHKt7DsYk+5fv7q6uGcf9D9WtV+rmVZ+vOeVEmAnXEpEeL3n0w23fN67Ut7NjO4YXGh/cagzX -H7/6/TS/oPpf+trU2OkDvCrNZRiLOEj/3S8EvOpAoPL5Gvvi6SVpGdMwHl5fMh7ZvNA1ePk+vMeO -drFVXfQX8atNxfZxt1cEKONuvqJ+y8e43n3cthE/tml9uFafPObBZseOHTt2/D0oeXr4WzLasWPH -jh1/KeabfN4vCdVAwuUaIXT//er2x88SQ4iLb1e3vJfAsLikHsTHp/ur2+8/my2iJoJmrDOoviBI -XqoexNfLN5yskS7xW8KKeqiPem84+ZX4pR36RD84meuiCSy1BciLAFY9rvN//7u6/SnQZ171GbGK -ULNQUp/Ie5XxSMyRZgEn2E79XNX25PN5oer8DxKM8FxiDrGGcLtFUFc5rhkikj6SDoecdsLLzbcf -V7fffrrP5pJxw5uuITcBELDz8XDDgDoY76sf4kR10BZjt2BVeXylXdvW10nf1wAB7hsBdRME3+M0 -mJseVR8nuYhs865+0R4i+kFdO3bs2LHjr0DJ08PfktGOHTt27PibMWz2EQWnhKoE1UsJFwtTxJrE -zIt3dz6R5L2FUcSq6kX8WAAKFlKIuDpxXcbHdvKHkPpQ4geh+hLB0sRqE5UIpp/tZPCFykSEVTvY -eSynRBN5CFUEoASixRl1lLC2EEaUIdh8uvjBYipCFSHtE0cJWMTiKwlbRJRF5rm24dzjKhwTqlXH -i1uJdIlUbgYAC2LZtNNgxOuP1rau14ubD6rjSxOq6j8cPqN+cXX7vY3PbXAjQfXwvovM4qOPR68+ -2Yb3TxK/iHdd45yg0narU+3A0dDnzSgu6Y+FKm0sCFV8IyftL8byO3bs2LHjr0LJ08PfktGOHTt2 -7PiFWNrYj2mXbvzPQZt9hMFEFPG/koiSE0KVUyyEhIWqBGsTMhJxEhhOk2hCVFCfhZ3FXzuVdB2D -+JgAoaK6EJ8+MZPwoX89vwQuQvhGIpWTzhdvc1r4uZ/ybhKqlKVNxDaniWrXj5+qz/3kjn6UkEPQ -0S5iD+H4DAENH7J99VN9WiNUzbvqK7Flu0EwT4Rqxqy++UYAIlOC0SeJVY566KPFK9eTa6H3Ftoq -475jg9DlWkh8Ml7XD0/Xra18kRGnm208g1ClD/IJ10ma2kH80q9+fS1UV/C+hCrDuHwNGOOSUJXf -9b7jq1vbmaPaffD+mM2OHTt27PhtKHl6+OuZBGUWiB07duzY8RtQcdexdyH+PtVmuepBEHDChihA -TDr9mdoBsuMR09VC1f9LKNGCOCJNIhIBSZ2LQlW2kzqdJkg0YU/d/h9UhKL62MtUOYQqp6k+LVQ/ -ETSIKJ+IqlwvsxYIZI2J9xainBYjCBm/RNoLxBd2NZ4uiMmXYHOaxBv9sUiGj7H+KgssinlUWWOw -WCWd6/vsXRNpKr8oVCUCG491kuty1Akves0YEJ6cdMIRolJ9xd43HsQnY0Po2TZt83qLeNZYGY/6 -x3h8mlyin5NL6qBOn5pTh2xJ8yPX4t2PXFMXyLjXosqZgwjVpUd/xflEqI51bAX1Uv8cY/9tc8Ru -x44dO3Y8HYi3Y/wVSp4e/pzB40haLHjUyIv0jh07duz4NUAc8Mo32iIIjCEv+UITIdMgvgm1CPhx -UUQqokiiwIIgbUtkWYBwsldCbFrHkRNV5fVTPE46OVElbTxxQ6iyvhwTqhJYiE7+v/L2J4/eIkQl -lMj3IiZwWohgQqgq318M9JEvCGr/U0m/PZ55/edA+2qDshadEaIIOsR7LaKMJ0K1tde+UIg0n3Sq -/+3bdGd1w7vqQmQh7vppsTlv4P9AaXNRqEo8IhC7UM3J5dhGbnaMQpV+VjmLVL7MKvVWv9wH/IGb -E/gE/3fK2MTDy7rhwCkteRaqup5cf+x4DNn1cm3hqvdhI6ov8OD/wz0lVO1Hs/FfgnBf86uDGw34 -abep9GEu7tixY8eOJwaxltiem8NCydPDnxcKBWMWUS9YO3bs2LHjlwMB8/KV8Jr/93wYf9mc+yc7 -CN6XbtApp0WAE0CfsCGWfPqnzbhEAW24Pf5fUyLFwkuLx6S9XyVUQbXD+P3oLUI0osyCRZgLVYQL -Y0EslnD0I6PzuteAsflEdRCqt0Pb6l+EKn3zCa4E8iu9Z4z0+5n49eOzE84QYHWSqr5Shx+31Vho -7yAQJXq/tFNMOHZZ6tJrF8gIVeU/EGq8nwjVOoHlf0rB//7XxsP1HsbjvuETo1BFfHeh2k5JsSHP -dXJtdN0Rqfwf7PXdffvZoZf16HD6tAXpy+8QqpRTebjnRN5jHuDTYq67bOkDN3PmNjt27Nix44nh -9ZGbnm1PAUqeHv5YvLiDysLjLy1YqmjHjh07djwNOLnUpvzle0SqNs7ajFswKm2aPwjVrSgR4N8h -tSBRna4P0dLyvGl3e5yUlVBBLKwVqrKxOCJNQmaVUE2/et0NfvRUYtdC9f2yUPX/qKpe16n23TZl -JOYQjF3IjPWfA2NbEqq0a0QwNrHm68JNBZ+ots8WV2m3yvgbbbETvxZBiFDxzuO0Fl+5zuH9I4Ky -CaUuVFW+8bjyRFU2FpR14yDi0sKz8xl79UN97He11ScLUXHMmMwlvKufrkd9tMBDUN5rn6DPL7gO -9DljTr/WovryW4Qq6EK1fD6+LzAXeRQauyZUk6dX7Hbs2LFjx9OCGKt1h9dxr1Py9PDHIsNC6gVN -AdybJoL5jh07dux4ehBj37T/92v/blGnm0qb5n++4pHgvtFeixIAbMxdJxtziR6+udfpiBsJF5/4 -pU+IMQkCCzUeTx0FgdYITtcmQpW7n6wdiMW5UFWbTWCVqKSOEl8WYxFkrru9WqhaZB0RqkrrX6ak -/j579u7qpfjxo7EITC16FwtV1fPg0V/aNdp4mvCTYKzxdHGrPtO2H12CV/oMr5ykKh2xeahP5RBL -iGxO0eGdtZebxBaqda2Lq4NQ/Z+v4YQTxqh6u7iNUC2R6hsTgh9RzikpfbRtQXXBIyDvwZcpRahS -p9KaP7b/W/W1QqyKG9e1hfOAMoh3tX3+f1ThvTie17MWcB+fZ17kVbDPF5fMOXyr5ekVux07duzY -8bTQupRDUsf+itUlTw9/XuC0CHiB9qKjYM0i8YKgvWPHjh07fg2GTfRivnChAGDz70VAogJx5VPS -ypvbGtqk8/MmXgfmX6Yk4TARqlpUJkKGtPsmZKiLDf5EqCqNx0PpgwVzThhHMZgTVYSf+uyxR5Sp -DxOhKvHw7JlEshY6C9WfFwpV7OiX+v1AqKZt+qbxWKhysql+8I3D8NWEHXwcyph3jc+8I1J9Oltt -pV3xlvbNSZ3a9WtE23p1uxKDCFXfcU6/+P/ZtJNrazEvDkuocu0tytQPn4jmZFV1UE/jX23Vl2kh -ztgs8MiwRWmJZpfn+iJK6wYG16pf3wjI+RjXAH6Lsy5UdU0b983mIFRLEPcT5MdA/X2AId/1L9ns -2LFjx44nAXGWdUdrjGM8sb9icMnTwx8FLFSzSFCYhSILwo4dO3bs+HMYN9GnEFuJkFUiFXThc+pb -f5ug8qOhCDNEi8QK6wbvc4LXTn/beoK4AT4JRBSVILPtKLoQK4guCUCEoEWR8l0m+YxHZV0nYhHR -UkLNgknpFrdK28RZ2XKDFsH76n+INO7sIsiqbdl5PPRNIo7xWKhKgCLoXv2vhCqn4uHdJ6kSiuZd -dSyJq4g0CULsJ0K12qU+xgVn8GxRCi8a54twz51orhe2qsMciRNOAp9xWqn+ICjNEW3Ilnr8XjaI -RK4ZYp3HeS1UP6tO9csCUtcCXuAnQtW/k+tr9UihChDY3Cj4eN/aXRKqGqO/6dnimf7O6tiK9HWO -c/k7duzYseNpQJxXPPd6pHVmlVDlbjmLWw/WO3bs2LHj3wOCvzb+/EyMxY9Fat18BEtlAHklihaF -KqJQggdhZCGJ2ORbYutbdxFvOaGjPQSphYzg+iTacrJ3+2M4HUQgUwaRJLuIwS5Yqt+85/GgJsJK -cPForerx/6hyWjh5Gmjo+zmoH/6tUYk5P/bKeHJ6R9sSoB4PbQsIavIQbRaqCEDGqM/+rgc4RKQi -qs71Q4IUcfrgRHXMVz3mji9zYpy6poD/64FPPzKstuHIJ7z0U5xYqNL/4TSYvrEZ8HhUH9fQX64l -G9qwIObakgYvqVO83PI7uQhV9Qu/yhdbPUqoqo34Ku34unMqH6Hq/itfY/X3Z9Av8vGdpfp27Nix -Y8e/A9yQ5WZo3SDfheqOHTt2/AeA2EFUEtOb8CkRsWDbQb42/w+EasQCdSKOEBOIBgGRhrjwiS3C -ocQFooc6sCOf9whKp3ECqHKU8eknZUoE9zKyQUDxeKn7rn4gHFv5qpP6XGd7b5FKfefGOaJsET48 -vuy2EW/jmBgPwm7s+5vWLwvMKmd72dFPxpKyZ/uzQqi6D3VtwqdFndp1GcSj8mmbNP90jDixoFMd -vf+Mq/pm8ScbjxkOlW8hiA1+Iy7bI8zaFyjNIhlBXP3zTQv6gL1vENDXod/nULa+QcGXKFXbjLH9 -73PZMHaND37c10+yiV/HZl73jh07duz452MXqjt27Njx34OFCOIlomfNZh6bEkMsGA+EKnUixN4K -WiMihC1SlOcFx4/xNGHhfMBJHSgBl7T2/61VDlFEGdd7aKMJLdmkTtdFXtXjOiVasHNd1d+M6RzK -FtHjE8WxbY3Vj8QyduWPfc/jpxZziFz3lS/FEuB9FFrzNuc4J1Rtc7DL2BFrvDZ7eC8OK51HafMl -XD6R5dr1vrd63XfsDZXJ9cy4GZ+veY3ddbbrwfVznfCUPq8Zb1C2fUwZj69l5RfwPbeVvtJm9TH1 -7NixY8eOfxl2obpjx44d/1Fs3cRjuyRU5zaIIhaX1H2qnaW8lH2Qnteq3+3M8pN3rOylmLc9b2OS -P34OlG6xOKSl7DmoLGLtpFANztWdPPoz/5/Yedn555PpjLvGPrGr9IntI7HUp6X0Y3Y7duzYsePf -AdaPXaju2LFjx38QFhKztFPAdiJUF9YB1zmIlv5a+Q9sl3CkzCR/aGOSP6RP7PU61rUVk7qGNhbz -6/1SuTEtZc9B5TYL1d5mpU/ylT78f+eDsvPP6Xt/X+kTu8FmTKedOkV/FHob9f6kzRm7HTt27Njx -7wDxfBeqO3bs2LHjLNj4nxOqO54eWqhXC9UdO3bs2LHjb8EuVHfs2LFjxypEqL5HMEmo8r+WS3Y7 -nhYlVPkmYTD+D+mOHTt27NjxR8H3AQDtD54EqY+6d6H6zwU/GWA8fz9DS19nK3CRB9sHZWZ1OS/5 -S/WBoWzSJuXHdL2fph/yGlr6WH7Hjh3/UPCzNnxhDotFFpIdvwbERf6PlDiq9ReBapH6QryTdypu -rs1fwmjj9ut9sDZtxJZ2x7bHtDE9bc3zzyFtLWG0mY9lqc15ej7nfexiew7HbOdtjPVeinN1zdtd -sgm22gZL+Tt27Pj3oObys9tPV8/eCO8+Pw3eCnwHBnsM1r9dqP7z0EWg3r8AukgvhRd806Gug4Vd -0Msd3zRSxzyttzGpo/L8umETulDHiAhf3r9gw8Vmt8bD52P92LFjx47/LBzvF9Ju6j0x81jcTN6p -uLpU/xynygdsJvr7Br6FN+/neSNeMBbAZ2zG9vJ+3gc+B2P6KYy2tJV20/ZSfdXHjqR329kaOdqN -9SnN30CcvLLrHM3LH8O83qdA6h0x6/skPe/nn+MDY9lTWGu3Y8eOfy5qHj97JZH6QeLy893T4JMg -wboL1X8oItpY2K5ffb56/e7u6vX7u6s3hVdv766u+R8l7J5j90F2n65u37S8V28/D7hT+mfbN5E7 -1K20lj4IxULavnV9Y53VvvJeVnnqv5XjvPSd/tYG70l/VW034dv6mfHk1fXJJuPfsWPHjv8shhj8 -4pXW3LefGt7U6zseAVbcfsMJqxbxsj/UoTSlU/ZY/otb1Ut91JX6h3ZcTra8vng99GFup3qM15WW -+ngdoXzbjeMJNBZD73t/3a7S1MZzlXO/PQbaa+kv1a/0cxUorzXQfaBPaTdt045sfCM1NoA+xl6v -8JH63JfkD3YPro3E8AO+hzrbeFSOaxaOZnW2eoWx3vkY14ByxYPBuBfEKOlun7aPtav3pNP3l+/5 -aaA2hrGe0dbtlh88ehw7duz486g5fFKoIjqPYcku7xGqxIldqP6z0EWqLs5rCbj34vrj3Te/vv/0 -9erDnT5/+Xb17uNXi74I1Tcf7q4+ff12dXf/4+rz/ferTwKvX/SZ8ghG1+9Hbd9f3ehCv/+kOlUv -QtGOprqSjwh9K6f4/LXVkTqp/06vtP9KQhPhTH8+fP52dSPxSlnqulF7H9XXz8pDkL7U4kSb7z5/ -vfqoftLuW9XxnvHo8zuNjXzGcuxR5R07duz466H4CRCqCIXrz5+vbr7eXd18ufN7464gceATwSpj -IBy0YSAfkTHW2dpo9VLfzTfhvuHW0PqudAtE2ftm5KfPTif/YKvPX7RpUPsWshIotOd89ZU+vvzU -2rj9xp6h1YmAu/6o+mgbO/qo+q+Vf3PH76Q2YYpIpV3byN5iisdK1f+X2ry477Qhu+nYjoB8RBLt -U6/Km0fe028+047WVItkeM94YjvwTj2ub+hnxu6+fZEd10Y2z5+19fzlB9kq3WP62uoLRy7L+NUu -fPY6saXN3rY+wzl8LI3zFMKTYDFcIrnXlXz2FMqHj+v0Ta/2pfga14JXje861yP9VV8t5lMfSP11 -DeCCsU7aTT937Njx70HN32Wh+qUhAnQJsbFd2VKW118tVJvgkugx8vm/igMPS1yNiA2no58k8r5+ -+yFx+s2C8PVbCT0Jui/ff1x9/fHTQi+P0b6XALz/+fPqG/jf/67ugd5/1+sX1YFYdP3PmgilnjvV -80U21H/Dgl/5iM1rfUYUpw7XJ1D3N7VN397KqWj36w+JV7VBnymP0yJ+v3z7btuIWkTpHWUldF+/ -/2Lx/JZ+qPxXtUO+xfcGoTrnd8carPBH8nbs2PFrsDTngsEGIYSAuf0pYSgg6BAMCBkEIOLxxbsS -GogHxK3yERe3P2QvQWRBgGAi3zYSex8/OT/13v5P8fh/itM/vzrdokR9QAgiQl79UJ7ysbOt7Eij -bxYeEqGIFNt8V7uIPvULceU61dectpH3Sm28UrsWZwgmpWFzrTpeIMRKSE/q09gsjCifOhkbXDGu -kcM5ZGMBqP5Q3+3XNkbKU58FukQ7/YhQnrQvvi3GJcL8WYKT+hB519qcmUvxQh1uQ/XDh8fsNVoc -MSauC3Y/ZMeY3qo8dXINVC99MkdqD35JD0fuJ6KfNrg+XNPyk1WI7S1iv9VHn8zrwKHHpLybummB -6IYf+xL988ZRdohv1YON8zVuv+c6IsJrT9Hb5VV99nXQNUasWtBuHUdAmR07dvwjsChURzGa93OM -dnOhKs3z6x/9ZQBL6f9FrOAiQoKF442E3L2EJOBk8yUBXuICIccpJ6Lxg8TiNV+uoTyE56f7b1ef -BUTs15//8ykop5+ceCJU+T/Va9WNcMyJ62eJSfBB15QTzZyo+sRVaZ85pVX+F4lJRC3iFpHqE1EL -1Xu1JaGqdAtVHEpjeKX3lKMv7z5+saj+jDD+nz7jP+rHixfvrm60KHOiyng+R+zufvPrsXO8Y8c/ -GjzhYlEpcXDzXYJB4gZhwILNBh/Rg9hDVHgxr5NSn9pxUsoJl2y6WGXOUyePoUok5ATM4qmEkoVJ -CSy3LQGK6CDNIkl9MFQGW5+eKYZbICOeEVbK84mjxkAeQmtSp8TZrcZjQax+9PHQhtI4NXyBkELw -lTC2KOUUroSu20FYjuOa8ddRsY72LVLVxs3Hu3anXumcolpgUadefcKofnYBqrYZD4LTYlPjd99L -LOZaUN58IMRU1hwxbvpIP6izxhSOnr1834QpIk9psXVf1W44Yk9gLmmH8rRDPxn3mlheNpSJ6PWp -ufrU8wX3BX5LpNIfC1cJS9q+vi+xSj3Kw7ecrvE6jRsWun5drIrbsX2/4gM8Mk0/VM4n7eI2fbDd -jh07/h2oeduEqvbvd5yOSkt8UNo76Yn3inP9xLQEqMVopX1Qvu1kr9jjNOr41UKVxzz530b/D+IA -TtX+q2jjV1BWgF7iDESowjP/34kg5DTUIhInsIj84EeCOQV9KwEI1whV/z+o2kDgIjy/SCBS7o0u -LHk8lsupKSL18z2ntN/b/5sqz4IUsXrX2qEv9PO2/i8VEUw+J58fvtxbTCJkGRNlEbFdZA5C1Y8e -l9CmLeqnDoQz5Z8/fyfb95X3zQLW4zQH5yCnVR/p3+5f6xGuXmse83nyv8HFO5sV7vSzWTK0Idmx -Y8cTQPPJIq5E0ilYqOqVchaeEnf8j+Kz54NoQRxJICK2cgppgcGpJIJJCz92TkOYUHeJq/TH4gmB -KIHb0qhP/cNO9VpI1Mmf+4FA/NjSsPeJHHsDxBmCGnFGW5Tn1I06ZWthpfYt7FSHRZjaj3imj60f -JVTVXheqiDOVYaz0EzvGRd2OW2uEqoQR5dyG+PF6yxNArCW0FZFNP5VH3zwe0uCDR3jhHZEtW4ts -1Yt9RHZPw87j+ar9kkSxuKBOi0D6nuuhccORT6W5Zmlbeb6+nLLCUT0+7HbgghNd+rRFqKoP1MtY -6Yf7lPJVB3y6XTjCRmlw5LYZDxzJX8yNNpIei/pEX/I0lXnmhoDAY8EZe+9HtekxihuPm/lAXuxO -Ab9Se32Nsn/t2LHjt4F5B7iBV3PXQvWjNAAi86PiwatXV8+ub/T6Rp+V18VqiVDeI0zfvG12NwKC -ldPU3yFU+f9ETvs4ZfssAZNTu7vv/1X8sGDjxBMBv8TZCBZQFn+EH/9fymO1iE7EH8LiWosZJ6mH -bwFu9lxIBCCnpX6UVmXyKK1PaSVs6Qt48/5r+yZeOQB94jpxYooofYnj0ZeqG2HT8z9/dTtsohC/ -7UT1p/MRxJMTVfohwfz2A7/7p77JnnHQfk55GQ9fvJTXjOcUwg9ii//LhVuwzP2OjpqHXKu77/UI -tjiES2/a2ECIfwJQP5UB2rj09zt27LgcEjkIQ/8vpObcUnzr0HzkpInNfjvNlGjRwu4vGZIgcn2a -m9msW3AiDiTmEMLMZ4RPhKgf00XcUHe1zecuVOkXQknxoPch7xUXrmkT4aZ2abPnE4/VHu0m36dp -svfpG23SXrVJX7HpIrtEs0WY4NM5j6fZRPR4DNTPiSaCSbYWe9RL7Eqf50i76nNOKSPUIsK6UC3R -ZXv13e0JHguivXj3o8PqI3YW3jNx5/VOGy4LVY3DNybEVReqso9gpF+d95Gj1OkbEU2UmSNOK+GI -Ohl3lTkJ2RDn7Sd1bZye8iNHxTfj9/+kYmeOWn/8/7fclOD6+PPgV6wfasM844uI0Iwr/eRV7fqx -coQqYymB3m2OQfmMm775NLf8bceOHb8J435Qa0a+J8A/T4NQRWi+lfh88QIRKZ1xrc/vSoBKdyBU -LVr1mVPUm9tmB169Vr50hIWq8CuFKqdcn7Ux7v8rWfD/N/4Hwf94/hAQdPxvJhxZGJwIyi2vnUwj -Vv1lRl859UTsinv+x1AXDxHa7Nt7xCC2PPrL/3wi/p49e2cbTiy//u+nT0CbeNQCqfRXuqY8lvtV -feQGA6epbHJygsuJ52eJG/qPkLXAVZ0IZb6Q6ZhQdT/86C/iVuNROt8OTL841UWAv9d4qJ9+sGnI -eE6BNhC0nCh/Vb/hFo6XuN8xxTgXuTacuHehyl17goE2FwShfprBZjHvd+zYcRH8P6ACCz0no2c3 -5YgIr7k1HxFSCBwJBE4duZnEXPWjvAib2GgD0IQPYkDzGSFaZfNILnPdN6YiZJVvoRrx47YLvFdf -LU5KGFioxk6gHIIqgtKv/N+i0miD9lJnF2EZDzfF+GwBpjVJdfl/RCXK3J7Gg6DLiWv47KeS8Fh1 -L6J4vlSoWozRF7XnzZnem3fqVrv99HOot9dJmniNUEWUeQzUC0eMWWU67/BE20sccX2xR7iuFXaB -7CxU1VfKnxKq/Rpr/HymL/irhSniU32hPOPyY+DiAxvb1SknfaYe6lgUqgK+GNG8ajzkqb/d18Sj -/4d4mGM7duz4xVBMynvHCc175qeFquJbP02N+ESwvpYA9amq9AMCNaepCFiEbGwRrYhXbP6UUL2X -eELU+H8o/0O4F/iSI4QZohCO1gjVJtyauOPxWU4hOY3047d1obpQLdFK+ihUOXn1IzmyeYeolFDl -GlAXIoUTNcQiX3Jkoar3E6Gq9nlE9PMgVN3eFqGqMghLytAXTnnfSChzGko5n65GrL5cL1T5pmNO -CfnCJjie875DYL4JfCnWOBc3C9WATdaOHTsuhh9trbvQS/GtAxExClWXbadw/t8+hAGLuOrhfRc2 -CCnyOXXC9n4QYXOhKlE2EaoIBtLddqHePxCq9L9su3hAfAkWKohphKryLFRHEVbjsQAUUs6bHtXr -/xslT+3RLv1yOcRqNknK/11C1UKRflaf3c8a+1GhCq+kLQlVxg9H1MVj1PA+5yh1yiZt03/65XGd -GvMccEr7o1BVP10H/Awc9WuMGFV/7Uukwz1CVeOxb4mzLlSVbzuVMW+M7VcKVfGca7ljx45fj5uf -mv/sAUFiMHGCWKj5+Yxf/VAM8Gnq9UvF1hKfz59LgEqM+rFeiU//D6sEK4L0VoI2J6/ghcq9ftNO -VbH9pUJVeTxiyIYY8QP4n0mfCKpsfhblvwLGzP9ncrLo/81c4GwJiLbxhPFWmxv/n6fEKl9AxGmr -xZ0CuIWlXudC1aIzQlXtR7ggEvm5G9Koi8d6EbH0l0d/abfhhFCVHfakk39KqCJqLXwrnzr4f1Ty -EPDY8igwixBtZsxLaPnt/3jpPz+NA0bOd0yRmxy+USEwNzlZfyBUxat/boBNUgUjByZtCrijziZn -x44dl6M/6rgQ2yZgU17z0eKPjX8ExmBDPLWwKTHD3HVbiFTEBHMZEWaBXIKActS/JFTJd4wt8F5t -Ul8XMQjV5FEP4kFtup8IFwSKhAjCiLy0Rxn3FQHI6aDq5LPL8lmv/n9bBFWNBxt/2Q5lS0h5PBqX -N0kZT/o7R42FshE31LlWqLodlXW/6/TX/WRcqnuLUCXf10jtWNipTq6JeWcMMzHvaw5HCMG6lrz6 -OmZ8a6B+XiJUe/8QlYxnQaj6m5q5ztqfUL/rSN+fUqgCyjEO9QsuKc94duzY8QvBXMtTHewHHwhV -7SERqu/0enujfX4Jz1Gscqqqup59uW8i9I0E7fNBpAbXErV8ERM2WvscO3+FUEVARKgGCBG+6OdG -wgmBg4D6r8D/V8r/t+jVQXuBsyAiDVt4RHhaSHChBHNrIVo/5yK7CEDKnhaq9+2be3n0F9GsvBst -EIiVzwhRCRhEtYWq6zsjVFUWEUQ58mnbbWnxmz/6iyhFpPPqx5Grz3zBE6KZ03YEMzbh4By8YKkP -7f92p5zvKDDXBPyGx7ojUjcJVTZW2uRm02mI9x07dlwAzZ+J2DwGxdEHQlUiwJt5BEYgW4uDiCUE -J2KLthAmJZgswi4RqtUGwqqLGPpBXtXFmNjQ9HYkQhzHhR4veGUPoT55w6Mx+Yt0lNYf9aVuhBlC -hBM8xqPPbFJ8AnyjfkicWFRmk1R96P2do8ZCn2nzUCcxb51QjTB8+f6j2x3bJm8iVGVHTO3/o6px -NKGK2FcfiiN4oDw8dY7EhdtR3W4nYk/5fHGJOSKNU1jqZGw1vpOgDdZLeC1x6HR4G+owR+pfuzay -Ed++WQ7v8SONx74w/o+q+uJxcH3VRr8hwPVVu5N+8qp2J/+jumUsAnX2+bRjx45fB+ZmYofE6kSo -Ku5lLXhGDHgl8flyOE0dkVNVviSJb/q9Hf43dcQLido39QVMv0uo5n/jECMWMgpQLA7t0dL/Hpb4 -GhGRxv9tcgrG/6fCp4WdxOELLXakwSkc88VVEX2URehNhOr46K+uGz8Pw2PZ1O/roDrzBUz3CFWX -YVNAfdR7WqhiTzny+f9Y0qmXL4Iaherr93cWSoDHjenrs2dvveDQT3yFx4/dr5WLlevI2A0+75jA -1/h9e0y7bipcJFS1KSFo+Y6/6t2xY8eFWBnfsM18fCBUR8iWdIQNcxURQ1k/bsviLvHkOYwIe0qh -GjvqoZ0IVYSd6nX7yvMGBzEioWUhg0BJX/lfzxdqU+0ieG5/SgRpM0T9E6HqNUljUnv+zdVLharq -63UqjdjIRsxCtTZeFqq0MxeqjBOxqDTat5CTHfZw2+vVGs0XL3k8um7wwnWgvS5Uq84JRyrbRXKJ -eV9z2lEa18btqJ/9WjHuGt9J0E/1wTcu1J8HQrfq8PiI/ek3QlVrq/3kqzjCD7XRpH/2Kz4junVN -3RfEuK6101XPy7fqp675pJ1qizG7riUxew7Y0d6OHTt+LRSjvDckBtRakb2h5zhrgebksxd8gdKN -bBfEJ+BUlf9dfSdbTlcRpEt2gFNV/n/19wtViSOJFxalLDj/NZwLwrEjaCPmviIOv9fvqGrB4AuU -ODnkZ2smJ5ARaqoD7vm2XfIRkT5x1WJM/fkyJU5ULRbtgHVKW9fLX6Y0ClX1h0dy89goYsfCBqEq -O4ufevSX/02mjzyO3L4p+PDzND611XtOdF2HnQ+x+6lO+i78HdXibMcRMNeEa1+rr5cJVTaF3DVn -E0Xgku2OHTsuxFIcW4LmGnOTUyk2/nwBTz8Jm9U3FarEYc1V5rPK30hwvEIczYWqXi0a7+78e6z9 -J0+GentbPg1UXOB/EiOeYid0sUc9JcJId/1qd9zQWKCkrxI17Cv4X1mLMISqNkMez4JQpbwFcbWz -WajCI3XORVhtwMwRAhB7cY0tXwqFgPM46TtClb5HQGqs9IVvZYYDi0G4UpoFZcrOOSKddoojTiFH -jnwqqf72dhC/KneRUA3UB9qgf7ThdZjygThh3G6HbzaWLf3s4+EmApzLHxg3/bCgLvHqa8v15iYC -N0zo49i/ek9ZBKpFKj6X/C0Y+71jx45fA2KM4Pm+dKLKzUbF8GfPJD6fHzlNBf5f1dsmQPnfVD4v -2QF/AdMbCVViiPBbhepbfpqlbYqXyu04AAH6UTwj9D6LO04yEXz8fy9ClNNKvkHYolJ8gmuV4f9O -OcWEc75oiMetOYWlzrfkjUKVcnOhKvHrOkvcUiePAyNGyaddTlgRo+T7W4lVBhGKMKaPPFbMaTDC -kzRO0nnkF4HKqSn/k+rxvL9r42GMSqMcwjoc7Hg8um9ow/BooVqbz6V2duzY8URgjtU888kaj1iy -OUAIWfgQIweBgZ0WdIs/2Vmoks98Viy3UI04Yg6XuEHoWICo3CvmOeIij2GO/UCUvFU9CMeKB+7H -IDAsUCpmIOQQZD6BrTIWt4geRCFCEwHIeBAq6ivpFmv8z6PqRpy1z7JRH4lR7jeCOUKV/iKa0tfq -yzGkbQsr1W2xpnacpr6TxmfG3zdljPeEULXgQtByglgccxLs+uGoYib99zWCI+zgSO2afzhSmkUp -HNF2jfGBUIUTpVsQXyJUBcYHbx4/7c2ut/MRq2rL4+BnYMSZr4vK9WuhMj5ZVXq+GMrQ+/4FUUO9 -rltlw9lEpJLP644dO/5ZyNzlhtWiUFV8Ur5PVG8kVvmJmWPgi5b4/1PE6lJ+wIkrjwkT34lPu1D9 -5wF+WLwReAg6RB/fxovo+yjwapHKgmEHEVSOx4ARfh+/tJ+yoRxChEd0qReByeklgpFr5HJyAP5/ -lnY+qAxiMSeqOAZClG8L/qi8T1+b8OwCOTaqlzrJa/jmtukLp+h59Id2EMvtkeZmy+vYz4jqHU8D -X2O4F7e7UN2x418A5hhQLLSgkCixANAc5D3fAkzc7XYqY8GA4JIN4iNC1qJDGwmXVf4obhAJiFo2 -H65fgpjPL3KzMPVzyqY6H/TDgln59JN8CRbyebUtPytD3YolsTeqn/7/RH22UFU/LdoQQ5y0YefP -bTyjUOVzxuNHTtNXXk+iCWKPmb6qffdRfXP/xKuFlGDeMx54Q1DBmdrr9rJxP0uQM1aPnVflI+7d -r7phwHUwH9gOHJmLjKc48rUceKP/XJcIW4tk1blZqGLLOOQHjNdj1rrc86hPcL7EpPspocor48la -3n0ITpU+2vF4s/2s2pq0Cw+MUf3nf26dt3UMO3bs+H3I3D0mVFlvlP+MJ1T03j87o/jl1zlID5by -A+ffSajWWrcL1X8uEG0IDATriGstlvwGakRI7BGPfDkR/ytqYKtFyAsa9emVz/7ioUo7tKN0lekn -mlUvj+ZShrqo0+VZ2FTGzokdDqS0B/1UWW8whvpIox6+wTh2fM54bLvjyRAf2YXqjh3/MhA7FacR -R/4mXKD3fpxyboud0i06+DeRMe9W85qylCNuj2UkwJjXqdvlR5uAuslPX+b9mORrn6BX0O2xpV/0 -Je2xPgx9bf2XHbb0wf2r8Uz6PYxnPtY1cDutf72ftAPfiW/uZ0uf8KZXc5a2O4fYHur1OChDfYD3 -3U6AA71P270d11ltj/Wk7eo7/evpW1FjdHtuU1jIpx/8r+2BnyFvtKVf6qe5ZBy5JnNbo7XXx3rU -bseOHf8IMD+Fo0KVU0/ZPdOctrjk52f8EzRHwJcpLaWPoDx2u1D958M88Y178/TKCx7kJ6+ntYXo -VBkc0elLecLY5iS90ubpY15P4/2Cbezm6Tsej/C6C9UdO/5lYIHOe+bdubkXmyW7xfRZ/ZO8E4jt -MaE0r2tsO2XGtCW7vM/nsa153hYcKztPP9bPud2IMf2YTfLmn5fsl9KX7H4Vxrbyft72PH3JZoLB -53bs2PHPR83p80KV09RdqO7YsWMjdqH6hNjj1mnAz87ReewcncfuS+ex83Mauw+tw87RefyXOap5 -dFao8lTkh88HoToK0k/1eS0+1+suVHfs+PuxC9UnBGP/L4//HHZ+zmPnaB12ns5j5+g0dn7OY+do -Hf7LPNXYzwtV7SM/SFz2E1UEZwlVowSohateT2EXqjv+Zhwedz4OP8ZcWMq/FGvq/FVtH8MuVJ8I -/F8V/8t17HHHHebH/3vGwrKQv2PgCF9ayN8h8D+a9qOFvB3mBX72eHQah7m2nL9j5+gsmF/Eo//y -XGPPJ6z6H1VOVD/yCHDhQ2H4/Fyv/rI4idlr4bmE60vh5svXq1uBtBeAdL4ZHO53obrjb8IaAfir -xOKaOn9V28ewC9VHgvGKH3/xCd8+CgdJn9v+V2GOPnjB8reR8sUr8qOdowHFRfvG0+GbVndMQCxi -Q2Q/ylzbcYD8iI2b59oej5bxIB7tHC1Cc83fMD3+TNAl+Bt5ZUyChTzxiLkGR5W+WOZvRbg4K1SF -t+Ct8K79vMwbvb6Zfn6h968/f756/+3+6t39/dWr+69Xb75+vfrw7dvVx+/frt4p/ZU+v5ZoffNR -9SOA+blMzenfI1TfIFRVMepYr/wWp8HiVHAd3Rn0mXReSV+yLXts8tMmrc5mm/xuazvSW94D28Hu -YNvSeB/47kr6Oan/NNrvjy60nXbm9V3QxjIO4zh/Z6jGOZQ5jHuoh3yn57NQdq28Po/9jt2xMZ3t -10NEiFn4Vbtj+rwNpw2fH4VqI6KztT1rP7ZgsP1dSD+eVKiOcDvND/x+kr6E4uikzVpUu2n7JLbY -Fmos3hhqofLPRxCUSecnFZbKLMDzYGz71NjneRfMiSloM21X+0cx2p2zHcDPWaicf86ifk7Dc+HU -OEd0u9Zui5HLaHF+QPpJHWvbWwL9BWvGvcU2qL6xcc7Psqy+tuO4xnaXxjyk+RrEfm53EYb6FvOD -tXYD0u+bgaPMtUf1/VQfWh83+epJqJ7O+VL+gNitsQ3oI3NN8YjfqPXvoF4QjxpqD4YPnurDnP9H -xyOQcZ8b+2h3znbAyJH8yL87TD1rr/E5uyF/EouMaf5FoDz9BSfHXfm2Xco/A9Y1iVRz9Lr8aMlu -CdgGS/kL8Dw7O6a1yLjX1DfaLuUvoMbGaTPxKD8dtWrMg83EN9SPB3viwGk1lqfwIWPDuLvdgm2N -56hQ1X6Rcs9e8tuobx7+JuqI29dXzyVWX8nnEKWff3y/+vzz29Unvf8oocorabx+0mf2rPxSyB8T -qt78qTHq63ivTfNbTRp1zORwcV9DBPm85n3DCzoLuZCoMi9V9jr572VLfbSptlxfHR+/VFqzqzpV -jjKkXbvMZ9fHXe+Xb2NX9YKql59UyUWcc7AI2lafJ20PdU/6mjIsQIyPn3BhvOSzyOTbgMuWfI+D -3zjt9c/6ir3Kw7HtbFt94D3cq57njL1fG+UVP9hcv//a6lS+OeKkyfkBZQoZD+1qwrtd2by4VRv0 -Ozcs6Dvpyu/2K2Bx5QX6o33urZwY8Hux/KxOy4erZksZBNtr5WP3Rj7Cz+SwuNi2bNaCtinDzwVR -1zvq1Lj5KZ+0nXoBPwn0Kv2U/SsFPv8ebeUvtfFYpO4nFapwzhyWLXOFOdPmTV2/8tkO9YO51OaX -Ao/mlOc4fRxsVmG0pw4WD8BCqzF2m/GVGMEJVmyxy2IQmyVUvmMVixUiTILV6fa7E0i9lIWjDxq3 -OcocHmzGMhWjWtwT53BK/0e7c6Ce3r76CzeMGw7mbXe7gSNzKczrOgZxgQ91oarNM5/Plhvath+V -f3htoT/EuJq/jZOKSeKxQ58dF+WLZ9sbgW3s8Yf4ximOsAuXYAtHlQ9H/m1Qyq/ZXFIODsKRxgxH -julwHH6GPnjdKp5sD0esH1v9CFSdk7Hzeowj0ke7zDXyTiH9j1CFoy1CdbBrfsLc0Ziz7nUb5lez -6f42iUfKj/1WcOIS3+D9Go4AHI35x0A+c03lLcK23jjDro8fH8EPh3i0ZE+9zD35m/cHzLU113OE -2xXwv9GPtsajte2GIwn5zUIVG8fgqR9NYjA2qr/ltxjU4xEc0e+17QXYgnAU30hdsRlfRy7BWj8K -NAZ+A/hac22TUMUOjvQ++87mF2p/7OPE1x7Gowmna+A6BfwgvnGOI/woHPHK5zH/GKothGq74THM -tXNlhaYdNGfwifgHr+Oe2LFb+yj1HT4O+yPx2fvZeF6N9O8BR6pvPva8nuOo6jwrVF9IqN5ElL6S -KBUmIrWlPX/ztgvVLz+/X30V7n4gTO8tUO8kVI3v368+/AmhSjoD5lSJi3j9+Zs2wN9d380n4U6f -ZXf9UQuxOsci4/Yo+/3H1e29bLFxufbqflRQoZ7bbz+ubgC2Koc9ToIjM9gW5EWy8m2Lncox+LTj -MjiZnOpa77G7vVe6+6p26YOAU/mi5oKeAjYaDxP1VvX0tqmLtu80btrVeF1nygBxcS1+yLeYwwlx -YPLk7Dg6G2GP6cfP6m9rg89wletlnlSP7eBU18kofqnHAVj9pH+uAx4Zt3D7VZ9ly7UzR4J5r7py -XRiPedR4XlzrWopL+KM+xgIXz569m/Td48MB1/ApWNwJ/Bbrx7v7qy/f5OT3364+f723aKRui0Ut -XACHf/fxy9Xn+/urr5oE2H74pLaZnNS3ZrEvRADyWML7z1/c9hfV5zo9uaZt0xcE8qcv6idt85iD -+vza1xPb5XYei/TzyYQq/ay+4lP2JfwEH8HP8F9EQ+xUr0Wq5jQ2zKNb2V1/vG+bQ+Wvvd5G7DVH -nqmf/uf9gIXEc2OAxkbwffZe+Xx1uuyeE1jXPMZT+V2osjFEqCZvbj+Ccmr75VviEhzVPPKcKPE5 -1lFt8d5zRfPHcwWe2Gyfa29E6oIjtW9uGPt7vWcxesCRoHTnF0dwu3rjo+s84Uh1Mfaz5dw2awrj -JbY0/zBHmhe++8wCJTs4uf6kuEIMVn6LmXr9Kl9SuuPGvP5ToG3AGONH5kjXxhwN/gHEEf5luwCO -iNWxWWonqHyLMC3S/ObkA5s5KJO5RpzVvOkcMdeIHaOdXttca2uZ+aky8NXX7HN9HYEtsWzkSBu3 -ZY70GT/qdsVR/OgUeh0aq+ZYP3VO+lKZEVUWP8ia7TFnPTHY9Gm9FPesQeYSO+IR657jEf6GXdV7 -DukbHOna9nETb8xR2QThaB6P4Cg28zaCyvcpDzeFLhGqeu1x2+u44gsb2iG/vwfMTwl5r93yu+sP -xCP6egFHtDOZa4Mflf8aiVtwhO3cj1LfMTgeaZz1v3AWYWtuZKV9vUecmqPyI3PU8+GEfU+bX21v -VPFIPDlmI8TOtTei6n6mdiZzjXhE/xf8qMWjsjVHsks8WmpjDmK2RdgFQpV5Ckesbez7NJ885n4d -h7kGN4lHcKr3zL8es9e06zrrvdZur1UZN35kjmrsQebawKX3CGtiduV3oVrr2tlygmOwxtf2922s -0Tre46NH6EMJVfM4xCPvj2TT59lFc604OhePaAM/GuMRX2A0clQ4LlQbL890vZ+9ettE6WswnK7y -vj6/0OsbCf/PEqcBp6efS6ROT1QlTJkTv12oYsPdA5zWQaA2JeqMxREOrYD4koUDoSpbgsGrn/9r -gVXp2Huhobzq8SQTsZS//S5hVuLMzsLGRnV6A43j1gTDKV6pX24LMaW0a0RLCT33CWFJOz/UttJ5 -b/FqYdU2nr7j5gmCQz3kwiDdC0m7C+fJqvHcqK9s4GnrJZsLb0BUpz77wnNxtHi+ZBzfEJYaV7Xp -enF0xJ7GzsVz338WeC8wRgKJ61Q/PXZNIKczrm57GCNtOlCrLfqJPRy9fK3grE0htuYNjmRrEYJd -lfd4xNFhPNxNUll9bv356XyuBdy5vMqSz4b0KI8B+UDlOaH8ePfVwg8RiPD8rM0rYvSN/IETS2yv -9RqR+klC9qP4wv5OnynP6SYbYwTbYpsFC7oKxjfyu/efvqjte9WrSaW2XScTTOk3LG4qwxg5cUVA -0/4HCVTE7J36if1rBQb7UNU/tvdYuL/CY4UqAaoL1VqoPYflI16k8VHec70HIcbpOfPK81D2npMa -/81X+QY3pDS/jz4OswTq1Vj6Is0Gpi/YAsGYa64+4h989kLGgo+tgqrtSPPGByy0A+gTY3BsaZue -1UL1WvbiyIuzeGF+euzwoNjU5uNQ18ArNo53ukYscD4VXctPAEfe8DD2Nm5evWjBSX15Bq/+zAI1 -sRPgC0E/r3sO9X2TUE26xt/GWxyJn76pgSNigeyIMfaxiveO14qjXotk53VAZduGmzgsjO0tIX4U -jhg342XBjh/Jf2zbOSpbNjtVzn54zo9AjXmzUB05YuODD7Hp0fvr7keN67ZBqrhbPDnuijtvJvU6 -3XCfgep9xoan5kz8IzyYo/lcq7yJvyE64Ch8LiF9ks0lQhV/M08ad9Y95pE3w6yTAnFmsnGGR95T -RrZdrFLnGn4MjUtl7EcfBThyPCo/8gZadtQnrhK3nhMj8Tf7kWx5vzYeyW6zUKVcOJLfvGLNJ77g -JwiMsumviUfyIe8XiEcCc3SzUGVM8jtvnD12EP/Q+2Eu+JtD6+aa/Q2+ys7fAGqO5vXP4Hh0oVCd -cRQ/cv/xI9nxtIt9poQ+ccrxyPtXbthzg7HtX3u9YztzVL6/jIaxyo/CkcdNWuLRC40DjubxqHOk -9MzJeTtzyG6TUCVfsB8RVzpH7Lc11yxUib+yU185yfa+lHhU+3zf9OAQA47wJeZb1bvYZuB8jdsC -TGMMRzWfzFGfa3AkPisvcavHI3g1R6l3AdWnTUIVe3FzLW6uiSeKMS95+oU9jnzGNxDhgv20uMDW -MbvHo+wRFuLRamhcXaQKjNs8JB4NvrHEUeJRxGrmDWM7JVRVn09UbyVULUoRqCVO85nXWwnVN2+u -Xn/+1B7z/dmEKY/9Hh791SvQ+z93oqp8TgVvOIFDqGlyQ4JP1xCGBAACoi4Wn1lcmPQOrLrAXFgC -Bhea8ixK3hhDJKIWR2AScJFla/FKmi58t5ODMIZXEmlsmmnnmQJvdxq1nTuxTCy3o/7SJnf4cZ4E -70nglv2ci5Ymh1Sbvug4spzXwkz99IaFRVTt0XdEIA7dbNV/Cz42JXJkwWNhcaEv1E9/1D7jhbtr -jcd3ZdRfTht84uDrJXu1zaKUMbo+eOU6UFZpPiVmAlGn7NxPrgX91wIAL747JHtvAsxRXQuBdrg+ -3lxyzTWel9o8ObDpM5x1sYqQpD5xSFmC/BahilD8+EXiC0fX6y3XW/3ksVqfrmrsnGJyR+at2nKa -8Fr5CFhOYj+lvMQqJ6vHhOKYbjGnOhGjXzWhOEWN2HyN70uQcmL7XsIYsUpeO8X9ZrFM2whnRDXl -sX9FYJi18xSwABWeRKiySZAd18z+w0LN3WPmqfLwVYsG5o94wAfwSwsM+VoeofJNIXyDeUp52Z29 -5gF9YKGpTWE2wARgB+KP8h8WFNK5nknLIkZwptwnpbHQYbfUDqBPwkSEVVA+2t9KZx7Ag8co/3bQ -px6Nt3HUFiuXIQgTI/Q5c3LcGG4WquaojZGx+jNjNx+1YMGR+nPY8Awcyb4tdCs4AhcJVdlnvOLj -pWL8nKNrbWg4kW6bZWLjdL3g1WsDN9uU3/gkDsPnrM055hzJLzpHvrOsNPigT0OaF/oJRwI+cY6j -4mKTUFU7ox/BEfPM66Q4yrziBqLXLuV7/fNcExe0KfT5SsyuNTj9OYmMUde0Cyn1iQ2hx81mET7C -Uc21tmFUmsvrM2kr55rHLD43C1XWNcVT1hHWF+aPx5vNM2sSHLERNG9t/bLfsn6xURR3xCs/ZrqG -H8CYuh8JcAFHzCv7TOaV0p0m2zEtHCUeUX6pHVBcXCxUzRECa4gvCAg4KptWl7hS38yLeGJ+2Z75 -N+535m0cAze7Kp70Uz/mkH1G42au6dr0G0LhjTS4NEet/FmOwKVCFZSPhCMLVfmRhSoxRzY5EOEm -P7GJ+O08cYYg875S/uT9EXWea5d8xogfZa6YI6V1PpTGOEjvfiTYj1R+FCddZAxtLEE2FwnV2kMe -5hr7aOaa6mCuYaP6vM/UXEN0tb1i5b1hP0E8ki/he1XvYpsj5gKMudfnmtKU95Aj/Khx6fL67HjG -vMHuWLvVp81CVbGZeeODNr16byXf4HDDWgI+xBuCqx2OiSPiTvhj3tV653Q/tan0pfaWACfDXJvE -I2KU55rSHnDU2u7xiLkWPwoXZ4WqROrtIEzHk9R8tlDl0V/t3bUfvuOxX8GiFLFaQtX4RwhVFosS -K5AwEaqINS6cPkeoWjBJsDlgyOEdRC12tYAjQKmXBVkXF0fgToa/uEaDZFFC6Pquuy4GcDAi8DJZ -WOhlZ2iBx6EcjGsz1ZyLjZD6ShBUHyK6euCuCTry0D6rDk1GbyYYD46IUFUf6asJ13h8gll9b3dS -VAY7jdmLKhs29c9c4MRsUNQ3B2DBiy59Z2MD19SjvsGN83BE+inwmbKuC0GrNriunli1aPlaFEdu -X+nmR/W4vIINNth6YsGR0IVqceR+qB37DZtS1efgJnhzQDBTuk+Xe0Cb8TiD65fTvtEEQ2TeC4hT -+9HzdxaHn7/cX32TEEMMIkw5Rb3/34+rj3ptj+XK31TXO03Ie56T18R4U340F4sRexaYqhs/biek -366+/WxtIPCoE6GMQKXtz+INofxBIpg2EMmcAGOHv9Ae4pU8TmMd1M6MfSvS90cL1Ts2dcpn7sg/ -X+l6jdebu2lcuxuJ9vgwdxb7tZUvuT8s6OKRpwTanK6bE7N+P0B40ULk4MrGjoWDNDZpCA0C9GfN -0VqwvPAnOOP7BDvGmaBNMHYdzKGqfwRtChMRVkG592cO+6Y4QmyZI+bpF19z+xzzk5tcxDg4YZNB -PFM6MQ8+yHd8hDfNj9Ubw+pT39zAEQsyebq2cOC70YydzRAcwRmfld44qnpSBwjPR8c840j2cHDU -Xun8O0CLLzyd0YTVnCPWB8RHO6loseSl/JU6smkktvgmpvLh0G3qGkzaewD1zX6kNhk746Mc/mGO -ipPiyEIkfoWf4W/UA0fYyR+7L54YM6+rhKo3dPIj9aX7ERxpfvmutcbHenLY9GiuwZHijdcplfGm -g34CvYcbx3jWAfKW2g3c1+KI8QnmgTzPteJI4/BdeOy0PtnWHFXb2HeOhjqWOCINaD5sEqqV5zXJ -m5h2A82xRfPpJePFH+As65Ty8ZtnbOCIxax1Kmdf9BpUfjRva4TzxQNjz1xLfxl7Noz4DRs+9eMw -14oj5prqmsSjcLQE6hYuEqoCazgbaO+x4KFzpP5gQx3Ur/ctdsuONdpzr3jTnO37naHuRdAvvR7G -p/Frs+t0xu5NcXHiuTb4VeJRxsXJj9LN9Sk/AiqzWaiSp3nB/CDeOBYPHJGemNP9SHm+cSYunKfy -fc+kvUFrt83lB+3NMXJEuZEjBGnnSHkjR5nn1AFH2EV4kHZqzOJ3s1AVj+xZPdfwo2Gu4V8WFLKb -zDXElsu3PA5g2Gt6b8o8mbczh8vIbjJPqhxj1zjCkeeaxjKZa6yxcMl8735UXM7bCmhT2CRUA/oK -4nP44y0xpkSpYg37fd/wEEf4WfSKdRC2zD3i0d0g8uftjKg+TWPJoX3f7IEj/IaYMXKEX9HfxCME -Lun4UfyCMSwJ1W9cx2bTv0zJp6fBslDlW38tVLV3z6O+fOYkNSeqCNU/+ujvA6GqtH6BcP65UFVw -bZs+XVC9t8jSBuaGx5kkNL0Y6UKyGEfs+cJDsJyYug6npyVULdIUaNQvL26MSfU6OHLRcAy9ksam -yUFJQYjPPuV0AFd/EK+2HzjgvT8T+OTk6os3CV2oZhKrTm0qmLDUbU7UV7dBu7VAcHHsCOIIscri -YY68iZ1OHtpzOfJVN5ymL56w6R+8qF7qbxvFclbXxSaJIJ9NUlugANeOehA0abMFJfraOMKGa0Y/ -4J6xvMBv4Iz2GLvsLXbEqwPdd655JmX18wiaUG2P8iLyEKoIR19XjcsnrRJl3yXEPkkkvtWE49Fc -/mn7Q05eVQ/Cky82sthVHqeurn9o3wKOSaq6ORlFXHL6SZ0IT4Tqe4Q4fGpx5O4PdSJUOWlFTOfU -l1NVyuLTCNo3ChR33yWoJaARs7Qxtv0UcP+FJxWqEQfyixYw5Asav4WqN8v4gTZEqtvXHMGhMm1u -NR/ldAg7fGGzUNWi4UWJIIpPq0+uexQUBOxxsSKf8oyVOiZit/LmoE1hIsLWClXmDn7tGFHjU7pj -lDgiHjF/2VAQS5n3cMUGwE8f8BkePcfVv3MLFag+ebEaN8+e96qDxSqLEJzAQzhiXN40FBepA5ij -Q/0PwPwYOZI9HBy1N6ey1dg41ek33SijfAtVYljGL34O8UTxUvPUN+70Ck/EQ/yrx7pj7XaoHftR -jQ8frrbxk4nf4GPxKxZ0rgW2cJo6NObmR0o7MWZetwnVcIQfVWys9pl3zD9zpDgffojnrE8eo65L -+GBe+5oES+0G7qts+vh0bVhjUzYcsemBE+zgKJy5j6oDW9fR8sxlr3+G6iflLhGqtOn1Vby0TZ44 -w6/oN6JK8Lorfvqaan8Xz7LJWrfpqR5x1DeG4/gYN3WPfgMPfa7ps9vGtuZr6ogfLaG4uFSotmsn -jire+OY9wtxCtXFkn+silSesKh7pvXljfef6wulSGyOKQ4sDxheh6ny9ahwTv0GoZjOdeGSfkz0c -Kb3N1+Ko6n8AxyP50SVC1RzpVT7Q9qJw1ISqxyw777HYM3q/1vaEjkfyKZ8UEo+Yg/AEr8asvTky -Po2/zzXS4Sh+A0f4B5/DkedaQde18Tz44qkxq+z2/1FlnjV43cpcE1fw0oXqONe093Pdjmu8CuGa -a7vYzoCyH29WtFPSSldfwpHnGlyGMz5zc5F6sCOPdGJ2OFpC9fMioUo+vhIu7C+IVPkF36fAfFO/ -G0fiTn7Ee5+cakzkH3zvkUKVPDhiLjHX4jcae78BIt9tvopt5qvy4kcej/p1Vqi+lxjl0d9BpCJO -c7JqofpK9bz1/6i2x3wlUgWLVH9u+IhY/dcKVS6qLpyhRZpXf0MWF5GFiHpJlx1C1W1Rp+xY7LPp -AxGqFrZA/Ulw8QmR75CxaFKnyuM0GgMT023gdLJ30FY7E5TT4HD0AzvugDtYxkHVXhyRfjHRfQEY -D5PD4o+J3k4RvAmjrOrxhsQbvHJ6Twr1l82NLqDz4VCLCs6f4JG+tYteHKgPvltPkHOe6lF95q0W -7/AEvAkiQNAmUJ1dqBZHDtZcX71vAl2c+9ogWsQz49SY6L9PVoGv+VqhKm7VfwThFzk5IvCtRCu/ -00saJ6g8MoCARbAiYjlR5ZvFEI3tG3c/X73WxOUkky83og7sXH+1H5GHqMQeYYxQvVGQpCzCE4FL -WwhQyr/WtX0nXu8VoDlxJQ0R+oVJKPu3mvy36icnre8UJD5/a3UgIhlT2n4qZAxPJlTJr/lof8Bf -Ba6z5x8Ll/LwrXbK0+aqNzjYagPgOYFv4SPle0t9nyC8EGDZ8HBHlIWDBYtgyuJOIBanfWPIZ2xZ -4AnaZetgXkHadTAv5+0B2hS8KG8VqnUSZqEqHjzut+IRTohx3vTpmstvsihx0wwuCMLNpsUHz4lj -fRxRfbIQ/1CLFRtZxg0/5kRQuhdwFgBzxGflm8+yZ7EiHZijQ/0P4I3hwJHsvQk5Zl+c5lFNx0Bi -JE+y2D/EETGMWMHNNvUpvuX44lgpYaZXxyW9+u48cWmpvQdQ3+CCscET/qfPzT9q04PflB9N/Kp4 -tC1+FF8kjbpPjJnXdUK1waKBeVJxFQ4cT8WT+WDs4sg3b2uu4Vd+jHrOf9U5aecYYgcX44Yl0Ngn -G0Oldb9irhWPtg1Hqsefx/pHpH9eczcI1YA9QNY1rS+sJ/Dh08Jaq9o6VWu9OHqBzzDf4I4yxKPM -t3NtVv6Dm14eu14BftM3hjO/QrDF34a51uLRrK2guLhUqLZ9jbhg7mm8E6GaPZT46PsdzTc+O435 -SMySQFv12DoIR/YBxqexj/GIdDgiz3Nr9Cvlh0fs4Ujpnq+UHep/gEuEaiCbxpHK2o8aDxaqcKR8 -x3fNOTgxZOd4RHxijwNvmp+L9R8DXHh84mEct9ptflMckQ5nnSN8qOx1nVwH8egcR4CYvVmoCtiJ -I2K45w0cKQbjR9lreq4pPnmueS8+45/3W9ojnoUjxjeOG7/Jeo7oUtrcrwzSPdfIGzhaQvXvIqEK -aj5GpLbYwtxRH5Xnp2LEkffJ7I8UjxzbWf8Ul7wn0HwLrxcJVfpb4zYmfiM7OAtHsYXLcIQvkkbd -zKkloZpHf2WzRajmRBWh6v9R5f0gVPM/qv9KoRqR0xZkJocWIl94DYILn8VbeWyG3dYxoTpsALzh -EShDnhe8CFUWMfqqOgnS7p/67VNGceExj05khxFPItekqu9to6l0+qnXPh5vVsWl6vEmBDu16RM3 -BJHabQuu2uWEis0//2PidPWHE2ImWxZptX0QqspHHHAnhXbLkZvTtSAzFarikTzXo3z6zwSDI/Pe -xm5eCRrFOXXCUbu7f7CDL66FH8fDRq+0Yxvxwh0exug0/v+Fx6gfIVQtIiUK+b9Ri0MtHA+Eqhz/ -4xeJRZVDcHIq+kETARHpR39l5/rVfsC1RIS+q3o5sX2hdL58iS9iilC18FQfqPuUUE09ttN7/+/q -v1mo4ru6/qQ5NshXfY3xVeaO5p4DMTFDn7HBFt/0vLLvHY8lHeGFAJuFhuDqxUfvJ4G4ffZiRTBm -8xxbvToQZ2EjXWN60B6gTYG5colQ9fg0F82N5jcLNu+JNV7AmfvELOJTxSKfjhGbmOPMC7hTGd+o -qv4stgsqrwnV4oiNYcadsbM4wUk48mJVecUTi1l4dtpQ/wN4YzhwJHs4OGqv9BaD8SNxJD7sE+LH -PMGR/UZ8IOblH6QRK1qclh+VoHVsx07+5lhX9T9ocwLZMXbGDU9wlHHDERtD0suPHvhV/A3b+CKf -qfvEmHm9WKiyyam5k3nktU5+slqo1ubpLFIOEaXrmfEh6Jt/KL0Lisqzvwx+BTfYhiPVc5Ij0sDF -QlXIusb8OiFUHY+Ye8Wjn5DSutU2kxcKVY29+ZC48auA3xwVqmUDP/KnfmOJ9HlbQXFxqVC1TThS -PB6FqkWs6nE8yk1n5cHTGI88J1kzVEf6s9gWqDz7wjjXGDcg3sAReXDCJtkciYeKRRMu5W+/Q6ha -hPElgPhEzT32Q3BEPns7f0srfkQ8wocUj+DF6585Ujxaw1HAWJlP4sjvQfE0+T/vgYvuV2M8giPm -6zmOgPp3sVDFj+SHXsvgSK+bheqWeEQ8G8dnjtRejb3Ho3yOXyUeAcrgV56vA0dLoE3hIqFaNsSe -7Ne9bvU9dstnf+Q8r/ttb2BfIpbL3vsHeHU8anvto6g+Heaa2mJfVeOGr6nfKJ35CBfMSfKVduBO -wBdXCVXZ6fq2L1OSIH0j5FFfP/Y7fBaev+V3VD9fffiuPbn2vuDzd+3V/fM09yVWW9o/639UlXZS -qOoiemOsOizoEHEKCJxW8HXpEU44ghdv2bL4+H9Ua+Hyo7/qN8HDgZpAQxobABYslfVmMAs8QUnv -7TRsplyn7NhI4Xxqm8UNJ6KPdiQ7i+rHQSEUe4+59c9gPOrbuNGnj+au2p0KVQSpNnK18e+LBumM -h8lG29St8otCtfet2kCIwgG8yo7AaqFKvutRvurtQlWCi9OOzlGCjgNN4yhBKVwS5L0h8IkIC2qE -qvolmzwOY34pu0moqn+6NpyiIvJ8oqpgzv+mIiBvBRycx2/5dl8ev+XR3y+aAIhGhOeNxsGXKSEW -Eal+9FfXq9XfQBtdpCqPk1QvVsJrTW6+nMmP/iqfx435MiZ8nJ/G8aO/JVTHR3/5TD9pm/c+leXR -33+LUJUtftMf/cUnNB4Ch32PhZprXP6KL97cly9iI97tH8r3zQx8Jr53CuGFQMrmORtf+bE3Lvg5 -AZZH7Vic5APZ9HixwgZb2TmYs+EhaBOg8ed5e4A2BebKZqGq8Xp8LEKa48QyL1yaRyw+3iRWHH35 -TlxpnnATiPngeccct1BVeaW1eaz6j7ULKq8tVm2x8fgYd/HkhZrFPgs4HPFZ4/JiLjvHo6EOL3RD -/Q/AXBk5kj0cHLVXukVY4ot8hidj4Mf1sMZok4xvOMbps9cF+5U+q6zrli+R3zbctaBTv+PSKahv -9iONO+ODX8YNR6Pf4GPxKxZk/Kd8aeKL5ziq9HVCtWLwTKjmtN3zTXPGMbc4YU1x3Eeo6n2P0a5v -wLytJdhuypHfi2/7BxzACfMHTsirz+as7PxFZ+TBMbYZ81I/0r/HCFVh3EM8EKqaR74xgl+JoxaP -BOIRPHu+Xvjor/zF41OM9LjB6DfwYL8S2EzjQ8wRuNR1ncajWVtBH6PmyCVCtZcf503jKOuEfUvc -TOKRv4CpxSPvwYhH+EHVt9gWqLwec9gYc5IMN54/Bz9qc02fsYEHyjAXzaXGKM7CswXcUP8DOB7J -jy4VqpQnVs+FKptl2Xgv47mmucdcq1iHjU/P8CP5IHW4vjXt4kfc1EAc4Cv4ReYaQjUc4R/4UW6A -kI8vwdPcF6n3VNuq/yKhCjJe7dnMUY23C1XmGmsYc409LnWn/rzP53PADo7xCfV1Eo88dr3Cif2m -cZTP+Jc5Ih6xfyMPHyJmh6MlVP8uEqryNfsPewDFaPzHexyVQ+eEIwtVfIV9lN6zF2BuEn8sVMnj -RhLrGmvCYluF6lOPRwhVxse48Q0Ep+NR+Q38ea4JxBB4jL8x14hT8SPqZk6cFarv1dZMmI5ClVeE -6hu+TOnz1ccfCFX+T/Xb1SfpxfyOakQqGvKfJVTrAnphrkWGVz53ocpkUB2e/EqjnC+kLrJPZFQH -nbfoUTpBNkIVR8nGkA1UNpI+ZXUQUnkCPc5Am1q8IMXj1cbSQZoNgMSj+087CVTqm4M2iyF5qou+ -WaSGTC50QNsKbt1B48CULxv3Tzz3duGINtK2nPcQHHAkla3yvW/KZ4xPIlThiM2hORLgCM4IHuUT -CUoEcfdT18SPwfga1x1/xL0+O7jLpt+c6AvCFqH6wUIVgQkQfaQDRBnisAtV5fH/otiRzm+ftkWn -id3UgVAdBRuiNyKVxw/ctoMMQvVzF6ofZIO/pF/5MqVRqFI//9PKHKAO2uE3VDlp9f+o/luFKtcL -n2Hsupbtf5navOhClWuujY+Dmee56shjn/hMfO8UwgsBluDKopQgCpivDsS6FrWg98WKz8Mcbacg -LFaqg8VH/Zq0FVTdFk8XCFXPLcVBYqX9RnmOUeLE30pKPBJnbp+5VHB8HOa4/89uqa05qk99MWah -YXykM3c1DgvScAIPfUGXnTmqesIRMEeVPrYXsIiNHMkeDo7aK91fpkR8yeY3foR/EDviN7JpsaPF -W24WUh4/oi78iJuQF32ZEuMG+BHplIOj0W/Ii1+xIONntAF6HUo/x1GlbxOqBz/yl7MMsR6+JkJV -MbZxpPmkuecxci3renoDRHmu8VKbI9zXKUfmgTzq07U9CFWlY1efzRnXHjvsl+pY4og0IH4vP1HF -D+VXtYeICPNTQnAmP8qa2tYg4hE8ly+a50f+j2r6C8/40QOhKls203DEyYrqOmyeVUf8aAlV96OE -ajjSeLtQZb9TdduOvg3wHoibzLKnnGNWbE+hbLpQZfMrMeo8+stcG/0mQjWbaTh0HXoNz/B0yo+A -xyg/uvhEFY5nQlVjTszxfgc/gg/2Zqzn5Imr7kfZV6bOsY0lML4SYRZfpIUj/CYc4R/2q+JoHNfA -0cm5Fqjui4UqHIuTiVAVZ21/RJwb5hocUTfxlTHpfYtHGg/XeKn+EZTFF7tQ1fhy0gfgyKJL6UtC -lZhNu3o9zFelh6MlVN2XCFXG1UWqfMhrG2XUB+9RHd8HoRodpLJ9TzzEsIuEajgqvn3jY/QbjT2c -9XiELXX0+Vp+VH1f/eivBepBmLbPJVj1fv47qojTw0/TSKAKPApM2p9/9FcXCCdG/FmJP3vbFmaC -A5sXTXT/f6UI8P8zMhkQVDg3i44FZNWBOJKdRRoBlc0vF14Da5seRJSCSgkkD5iAglDVRGv/x1lB -SOUsPr0p0GctWhZh7qvq5ILJkQjc/kIUbZTaRdaCpzJ+fE3tmEgmCBd5hNqY3ElhUSwHjo03ugmG -jAWBrHQvqg4OFUAJDu4nAaCV57M3LARRxAGTOHUzdl7pK20gmGVnodonU6vLkyVBl3ZYlCsYTzni -Wsh54Yi+5lroOqUfbJwo04TquEnQpOzf/qk82eemg/t6BBFzr8TLF/nZN4lATjW5qcFJqP0PYSr+ -+KIjPiMEeRSYE0xOP+GEL0DihPXb/+obeTUOn6S+yEnqnYVmF6lq16eteqUOfgMVocpP3CAEqZNX -vsDpXuP5dKfNgNrmkWBOVDm55RFhBCF18OVNLT2nuarjzNi3wn0WnkSoqo7EAK61RSZzgj4LnpOk -49dKx7f9c0n4uuZ1m2flW/iw0n2jKL630P+O5HNXdFyUKu/ZrdDTdb24HgRtFn7uLqpN+7bsWyBW -et2Z9WKfdkbUuDYJVae3OeJvHxX4cpI2B+Vzeg9vTmceOHaoDGAczD3mcOa45iDtp+9r0H5rjvEN -HFE/nJgjIRyFC6Xz2XbYMxdYrLDP5vIYVGarUHUc0fhZpL1Q249a7IEjRFj7HTr5keagN8ryFz5j -x3rBq2+aIFSVv16oCsPpjRdqfIBy8pN2ulUcsdibI7gQ4CjjGjiyHWmnxqzXdUK1YI7Kj5hrml/J -gy/f7B3nGvEav+JfQphrui5tvqke8eTHqLPAV39OYphrbTOjNPvRgSPPtRlHba7JjriyxNES+pgZ -W3G0cmNo4DvezLCHqHVrEBhea8RR1iQe3fTaqHQ/yilb0inb19SldoL0ibWEGKMxtrnW/MOngZp/ -Lb1xZFFGmvzZHCFUNV5zRPpKji4VqsQWb/g0buJKnkTw9SQv86baafXKfzRG3zgjXsMb1zW2p0B5 -vbYbg/Ej9Td+BEf4EWPnWpsjpY3xiDoGP/JNyFMcAdX9GKHaOFLZ0Y/sKy3mMN+8N8NfiFv2L+Wp -/OHGUu2pXOcKrjpHwgOOlMZaVRxlrvn0Vdy4z/hRRP85PwqI2bK9/H9UmafDXrTmGv0mHvV9qDjx -HqP8z+u/4xFzLRwN9c+RPGJ2/AguKq/NtRlH9iPZ4S/yBXPJNTRHwjmOaFPYJFR1na1h2HMSm7Xf -hYc2bvFCfcqnTq+X6CA4Yl71G/nyL/lNTzdHK68NPsNabS7kH+mv8vocHDia3LRWf8zR6EeZazXm -k0KVNvLor0XpTKDm8/CtvwhTP/ar/e9UrOq1BOyfPVHVKwusF1UWT10IB5bRudWGgzlOTcBQHZxO -ZFPrYCE7wHtPOjY4CSD0EZGhVy/mueC0r1fa8W9k1R1UJo83l7K1vdIIApwGeiOUdmhbF93fWitB -baGqsp58bKq0+eqnk2OAKofBjkUyv0vmx7SYxNjiKEIXqoxP40m7meQETp/IEECZ6C7LhTxw4LGN -j9LOYCEpbvxlRrTBBlDlXY/yub7hyKcVqofAhB2bSPIsSuFdzoPo90aSzRTj9DVOoJKzYXPfxsNE -zqRsd3cV7CSg4H3N3eyIr5cqy2O2iEy+lAhByCnlB23U7nR9OE3lEduXEsP4HsKRU1Ccny9cwh5B -y/+a8v+q/P9p/+IkCV+nVZBIm/4Kcb1yjfg/V34DlfYRyohQXmkDEUtfaJtHfWmT01P+R/aNOEQA -Y5Oy2DyDE9W9NOZLkX4/iVAlaOGf+LquIT6A4MQ/ge+u6pqT57mGPxKM5ae2la/zmU2zb1pQVp9P -ipog+fSVoEsQZbFhUWcBI+jqM0HWGxy41KuFmO0E+hSBAihLgJbtg/YAbQoTEVZB+Wh/XYZHf7DX -OMWHvxlS15u5CV+ktbinPvHj5NVO6oQTn5Yxh8XbKiE/4Fk4Ytweexu3NziMW2NBzJpLOCruRjvK -2VaLmh9BW2inA58YOVKZk9fU6XCkDYAWaOZ98yNxJJ4s0okvir2Oy5qPfANpX7gdi9SeXrugp3x4 -WrOJVvlw5LHrvf2DDTGbZzjCjxiHOap0/GvkSH7oTRN21HtyzLq2W4SqOWpzjXnl72SouWY/qjnl -dVLt97mGf7EOiV9OOTzftHFqN4Wq3WP9HIF/dI5q48PYRy7CkTc+ZUc6/oXvYEd52g1HS8iYtRm5 -SKiqbm8CvW6xnmjusI7Ln0i3DesXcUqiy+uXYh+cOZbBr/jB1/ylXOfERfqEH9W8ej5wZC4QYANH -3lDjL9glHl3A0aVCFXBjmL0N88tru8bNvIsPddtqi/feU3zT2u09h+KR41bZnULZ+LHL4shjN0dC -+YtFKNfIHBV35gg7pZsjpRHzz3EEHI/U70uEKnXjR8wlcdT+/UJ+pM8IHuKa90bizXGn9pWOR+LV -gp49j+an10DqPOdLAI4YJ2ICX+IR6c6RABfFUebaZP3r/Arwe44jQJ9lv1WowkEbr/YGHAKZo/Ij -+kjcylwTh47vxHJ4EhzPtD+zuO0cPWyngzwARxlnfIGxe04tcFTpjSMhfqS547nmvg7tjKg2NwlV -rTven7MmeW6V35gv8YFPoUfQJnrvtc0ctVjum7JKZ40zb3Ua2+LRrK0lYMeYiqM8WdY5wrcGjg43 -tIujMWaTztyDy3BxVqiu/R3V9j+qnJr+Q39HVRcNGzXMBsXP+Wtx6I8u6QJZBLEg50JK+HDRLOxy -DK466Ad3LewMusAsUJ4ACFVtaLyw4wB65X8saNN3AUV8E1uqEyHIJKL9D805HHy4E6J2aQsRZaHq -O9qt/5DkvrP4q03atoMxGUPg3LEIVrQtuyY0VScBTfX3Miw2BFnZWagyPtrVe/LpfyaC74Z6oqss -4yKPjR8cIlRZVBiHynqhpj/Uj8O5H+FV/UA8VsCln9j71FfctNOKkSPazjWCd40bQdg5GoRqONKm -yfUR1OQX3lzJlyK8/T8w5l3Xd4VQBRZWGk9+YgbB5y8sknBEKH7kJE+8RoDxigAlnXxwJ3HLFx7x -/60JBq9UxiJVmwwmCGm086B9rpPGwLf/fpLQbV+WRJ304ZtFqscoO4AQ5RuGyTdUBjvSfBor3v2o -+hPD4xceK1RvvrCYyY8IGPgaPqLryDxqc62uNX7Exgx/z3wjZnA9BtvMcfcTftX+vO+LiJ0WmhZk -a5M3bgqxKbuDEMOuAjDwYj7Ut4Sqx4vyWqEKUg7fJibpOnvc8o8Wj+Co+VavZyyjeeY4pjmFvQWb -FrqJ/Slgo7F54zNyBOCIBSl2wBxVfrhcyxFgLowcqRw+dbIceS4HR4jVxo99RP5BmsccX0NM4Dfj -ekE50gREB3Z9TEttjigbc5Rxx0fg4hhHo7/ptW2cB7uxjRGVt0qoBlWnOSKua355g1zAT5ofzeZa -/E1lKHfgSHE3HC21N6La9gbfHBU3NXaL0fgw85cyzL2RI6DYao6op9e5gOSJy01CtfJY+xi7xyue -PHfgS2ukn3KKuApH8iPzAk969Tqc/cmp9uaoOjtHfex61Ryax6PDBhq7gjjaFI9U5yVC1fuDd9ln -1f4HjtgQc2OD8TMW7NNW31PIFk7hatxTgFk7D4CN7Fs8GsYN7EdDXUBr5TOJnmZT/gSX41xbaidw -XJEfbRGq5Gns7IHsR+LEc604agcfEhLaH7QYTVxX/mT/Wj6lfar3pHCZMS21OYfsJ4KzOGpzreoa -5tokto8ckb+mXV2TTULV+SojDtpcI85orsWPNNc8bvkM+wnPZe/7Wkx3vC7fc4xX3uZ4xPU/Fo9S -V3HUb3qYo8ZP40h21DNvY0S1d5FQle/cfpdeKb9hnPiLBSzziHTGXvXAUYtFh/UPX2q/FCKbY+0t -Adtj8WjkKOjxKIAnYbyBUFgUqmd/R3XA7ETVQpWnHOtENaepOVH9Y7+j+qoWApyYC8oGzKdtTHRd -SL/qM3emmOTe/CAAWXy84ODYEKg8dZTNC+V4b7GIQ8jWwEHop2w8KIhkMVf5vqDZttXhtimjNAdt -6nQb1TaClMWOtuk7hFG3+oed+0w7p4JTxsOmy/2rehfKsZHx+JJPefKoA8emn+Gjyrm/jIWx0Wds -6N9sAvuuqgSl7WrMFtnlnN4YaqE45Ld+hCNffxYrtWehSpucGtFXc6Q6VJedSmXgBn9pPLfr6/HQ -H3NS1w3e2fyQtwIRYfzPKV+YxEnqx7uvFn/t9F6TUG0EfCadfE4zuVvDqShi175R+a81cXm01+0U -t4ttq0781D+Ho3YRwT6t7XVW2zzipTKIVf4nllNV+olAvq6g3tp/2M5jEY6eTKjCI32VfRZsAnP3 -Za6/8ppNs2vXmGDf/PKlfIKf2LDPV11LfV8EtoCyXCOCL/Adwbpm9DF1siCxyHOCEVvKqU+9vtQ9 -R7XlOLRFqIKU9TwIR5oL+HjnaLAveKPkuNjmGq+eaxvmRe8bY5xwJISj6l/vA+mXcARUx4QjLZJn -hSqo6wQf8NJii3gyR9XPmhe2Ib4nDmHPK/GFO/lc47Huc8j4R47YxMABdWUjg82Eo7IFbFwyTjDW -P0flbxKqYODIYxc/naP+v4XDXFN/sr6ZH14v8SHguoVwFP+AA/O9MHYEPnPEdgLl1nCUfPG+SagW -mn/gE0KN2WAOiSsLDOqBT3zVHInHrO1eY9XP4nupjUWkf3BEf/Gh+Eb34cEOv4IjuInt2rlWdTxK -qDJvipvcyPAcYh3wulz9SBnx4rkZLrHlBmP6uwYZU/yIMZsjAZ+Ekxqbx4G/jPFIc6aJi2oz9R2D -6rjoRFX1Y+u9XbjJuPXqE/jyE5+QHYtH7LkY17n25sA+HGXs5oixV36APRxlrgHKrZlrgdrafKLK -/MQnxr22ofGLp+ydn/GkELFbbVioYVP8+L3jkWyoc027IOOCI+ZXxp14lPzAc220K45S17z+EVXH -JqFKOpyyn804ebVvlH9kX851xb448hcGisOuNRKPTrW3hNiGozEexScfxCOlz+PR6EeF1f+j2sWp -RCviNCerFqrHf0cVYcoXKyFUP4I/LlSzOdVkZ4JY8ATqFJtlE0PgELktXe2VSG2BovLkSH5PXVq4 -XR6kPtLpV5HtYBSbjrKlL9RJmdRPHtD7FigFXp0/tE3fxmAy8NGR8Yztuu6qc7Al0Lp+96fy0n/a -ozxtFlfmBHvXWXUzJspT99Cvxmvlpy98ph3yAGljvvkZyjDusS9CX3TShjkqW+omP/2Zj8d2gq9v -q2MNIsSoM9+m65PQIW/+/qXysbuBI5VLHu8RdAhf+Iz9MfQ6e9vaYMGDPid/tAM8ruxvB1b7FrND -3lj3UyF1P5lQpZ8juGblF21+Dnnph95zYt39iOsDR3O7tUgZ6rBf1WvmwlKd2GIHfH3Agt2Iqgvf -3SxUQWwGjjz25C3U4bnS53Cgzx7fQ/uzOMdRf6/0cISdOSqbc/DGcOBIi6Ln+ML4Jkjbguf+3I9G -G73vsSZcFp+9rbLbhhor40ZALPHc6678kcvkz8vMUTabhWpvW5hzNOYNtvDRedJa7PeXchR7ymfs -vB/zRhD/R45O2Y5I31T+IqGqtvqaxyt+wbgZv/1E+dhWfY2j5J2ek2eRMoyVcY/+caxO8vG3kc9z -qLoYy0VCNf4TnzBHxYFjDDxM+7IYj+BrbZ/nGDlyHbN6OldKh6NuO9icw2OEqtqacATYF8aP6FNd -B/rX8isPwOmp634KsYeXjH2J5173YMdrbNe2qzKXCNXuE+akOALDXOt8A9sPPMV/kr+m3SC2GffI -0WI9yostr2O7D2wHlA1j2fRlSqrf88xzaxgzCE/k0RfsUx/lYg8/yRvr3grGGo5ob/w3o4lt5R+L -R1XmKYXq/HdUfaLK04glVP/o76i+4k6c6uib8geEFdamcxe5fuak5/E62s0/j1hKP2Y/TxvvYh8r -cwpr7NPGg/QZlvLnacdwrI7gWP0jfA2Ki4ndrP+TMgOOpW/AKPQizsb8Eef871z5OWw71Lmq7d+E -jOVJherYxviZ9/P8JayxWYO0t7bNre1WGRaVi4RqcIlttf0gfytSz5r6ttiOuFSoHsNSuWN9Opa+ -FaljTV1pc0u7ZbtZqAbz9k61vaVfW5A+nKt/rd2I2F8oVJtNbbBSZk05sNbuHLa0G5stbVfdlwrV -B6j6Jp/H/BFz28cgdZ2rb7Q7ZzviUqG6hFNllvK29vUYUs+putbYnAIxe6tQXcK5PszzLm1njrR7 -rr61diPKfrNQBbFZsltbfil9K9LWmvpis2RbdRwXqmhB9pD1ZUorf0fVj/7+/H71hS9UslA9/I4q -jwTf/anfUV0Uqscw1nk0vQTS3GYJk3IrsGQ7qaNE2LH8Yxjtz5WbC72ePsPavDlO2c7zTmLgYlLH -rP9jmYndgDF9AyLIRizZgXM258rPMdZ3ruwam6dE2vqlQnW8/vP8Eck/ZbMFW+rbYhuU/ZMIVWOY -C8fQbWdYsl2DLfVssR3xVEL1lA8l/Rjm9luxpa4ttkHZPlqojnNtyQ502wFLdluxtr61diNi/xih -egrnyizlb8WW+rbYBmX/KKGaNo9hrf3cbgvW1jPanbMd8VihOm93jjV2Y32XYE1da2xO4VKhOra7 -hDX2c5tLsLa+tXYjyv7RQvUYzpVbyt+KLfWdsq30R5+o1ucXb99evbn77FPTfOsvQpXXL/yuKl+u -xMkqQvXu3k8p/lmhumPHjl+KXy5U/2YwVuHRQvVvx1MJ1b8ZxcXFQvVvR821i4XqfwHFxZOdqP6t -eKxQ/a/gUqH6X8Aw1zYL1b8N4WKtULUwPSFU37wZHv39YUHaTlPr0V9+8pHXb+1bf2/++Inqjh07 -fil2ofoIMFZhF6pnsAvV8ygudqF6BDXXdqF6AsXFLlTPYBeq67AL1eMY5touVBtOClXZrfp5Gqe9 -9dx8c38vsfpdWvH+6oP2l6/vPl+9/vr16r3S+E3Vj1++XL19Jx/VXPb3GmlP8XuFKkGVx5dofAlz -R+DzObvRZl42bc3r3fHXwEJL17ihfV6yAwc74S/3CfMi/NJHf8Vjm18r5li3XcjbCuoYsWQTrLUb -UfaPFqpjrFvqw6lYCMgf7bcg7S21O8cW2xFPIVSxPTXe9GnkpdsPdpci9Z+ra7Q7ZzuibC8Wqm7v -xJjn+SO29PMU3EZhKT9Yazci9k8hVLHPuE+Vjd1TcZT2giWbYK3diLJ/EqHqMkfG/VfEoycQqth7 -vLP0ef4cW9tZAnUES/lgtDlldwxPIVQp4zEL8/QlPyLtknbmcP0DlmyCtXYjyv5ioYrNfOwZ/5I9 -GMss5W9F+hos2QSn7Cp9Uajm52lkt0WoPnsv3xOnt58lTj99urr9+PHqxQe1obhG7H8FPqi91++1 -J6VucfLbhGp9/TmbYm9kBvibsjRx/Dl1DaRhT36zq40QwSe2oOpisZun9/bG9B1/FbxZxkd0nS1A -B/8x6jP5sfPvp442fxl+mVDNq+zbvGRuVX7PWwBzMFjK3wqun+ubpS/Bba60BTUW+9UlQrXbwE/F -t3AUm97/hh7fRiQ/ZbYibSzlzeG2FtJP4TFCNTayb35Um8pFO+UPdubT41qw3wKPN3XN8ubo12uW -fg41zouEKmUzfo99GHP4CzeF8LlqTGuQ8a4dd9pda58xyv7RQrW4ODt2t1d2W6/nMVDP2vpsO0s7 -heLiSYSq+niUo6RjM8ahQsublVmLjHlt+UvaeiqhSplwcSTf861zU5wu2m6Ax3uq3RncR15n6eeg -/j7+RDX9nPe1uHHfGno8uqidBWwZM+36dZZ+DPRReNSJao159I+HPM1AGfq69VoegzlaWV/s5rbh -4pRQVf7y76guCNU3EqrvZP9eeKsy4N37+qxXQD6vt+8OfVD/fotQvc3vZ95+cj385hK/febf6fLv -DPFeG2d3QqQ9b2qar8L2bzGRX3b+HTnV56+ct037XauX75Wm951cJuPbO/9O6OQ3RUGV3fHvhQWp -HPjV289X7z5+uXovvOH3SfmKb+X59D52L+ToSn8rH+PZ93d6xVfxtQi6ef3/dmRcTypUAZsBlfPc -qjn54tj8qvfeYPEbs5qnnuMExrntGpT9M9XnH0hns0bfvBAc8jsYnxZi27LY8DXssTvVduU7hmwV -qqlbZf1bw/4NueLI4253VzsnxMIZwiux0l9ZnzqX2luExj1w9AyO9Nl5qSev6if53dZ2ZXsOlwrV -Gg9l4aWN9158abxKcz7zNzbwyEIFJ8VPWy/CadW7BtW238sf8IvGkXimrrktr/TpAUdD/imUzWah -Wv1kfAeONO74Ef+7Qz7rn/zEv9ln/ymemGush67rgjv17rfamXCkz3CxZK9022meHOaaUOM/ihon -m6SLhOpQPr/tfXS9Lx6YU96HsG+4WMwIVWYaj4RwlDrzGo5im7lG/qn2K/9ioZr6O0cad+doxol9 -aAr7Hu+JRwjW1DdvZ45up3bwoxr3xI9ST17hKDEbbJlrjkePEKqy83wTR54/4mj+02uHeJQ4VPzU -2vak8SgcjbZ6tb+VXfvd3g0cAdV7sVDFX8qPvIfXq/2oTgOZT4lHzX8qHuE7rGWpY6xzDdxHtTOZ -a/o858ho6Z3LLRyRL2wWqpWHD2TMHndemW9zX87cSwwnHiVmXwpdm2fDXPNvpWZd6+3Wa/yImG1b -7ATyBzzJlykFEaa8RqhGnI6i9eat+iJ+6IP6/5uEapXh4uui3dz/uLr99kOD/y5H4Mfeha/tB3Hb -RrY5vPO+8EPnbbPHZsY/jCt4gji43qm+7yr/vQWWIvelRC4/kH6rtm5UdnHh2vGvwzNQzotI/fj1 -/uru27erO/nPZ71/j59occljwBZrmqwI2c/3366+8I/bekWwviq/dH1DG38D+tg1555EqPrxFdmp -DD9s7XnJvGMOC17YCYhw2RciLeqaoyxat9gK/OC3Ayc22M76fRYEYQLrx89Xz7SQ+MeqCbLz+c1n -grDybZsftV6zYFU9xIzNQpXNEhyx8ChOOf4oNl1/Uew0R9hIqCrOweHtd+VXHGybH73yXuhio/qz -2N4ccKsyzzTWcGSe5hwBPrOglY1hjmRLPUv1j/DGcOBIfJ/dqJEXjjS+a/yINUI8Oa6zoaEO/A0b -89ji/k044lXlbC9fXNXXIH3DD+Ao/gFHbEjgJHYDR/iZ+cF29KNzqPY2CdUHHLV5Bk/4DGPOb4N6 -finmwR9rarMpfOIH5NVexjJv5xzwg3BU/vGAI175zFwrm8NcWzlWwJp/oVA1T1r7PZ/gQPsFbnLD -odHtNe/Up/BFPKJc35yN9a7FmngE2KRmrmErmCNdP9dzqv2q41FCVRyxP+oxxxypjhIYCA/7jPdl -8jHmWO25JvFoq1DllXYyz8AxjjzXWn7nyRwpfazvGJgzlwjV5NuPWkzy/lQcue/VP8e6iuvwaF4U -j7x/5bNe7U8XxyP5R3iCoyNzzf5Wc+05GDlaA8ahcpuFKhzM59qnbzXXyo+0rnmfzvxyPCK+F1/s -w/H3i9d+lSUejWNf4shzrezgEjvWbzg+1y75jFN1rBaqlQ6X9gX2OtIejPdlaRbrFvlKG/+0zLXi -dPYJ6JpJ3VvA3oIbqvEhxj36UdoF5miI2eZIGOdaYbVQfbNRqOb9e3y60j7wXq8+US0/kc/9PqHq -AbEYaaBy4Fc/f3rC82PBdnw5s4MDi6vSvJgQULl4EqNcYJ+wKjjcfv/pC085BwrslEbd/P8hk8ZC -VYGXdhyUxws16/eOfw8QVmz2LVK1+ec3lz7effWpKkL1Tv7Hiel1Ba+X8ht+PBgx+wkhq/cfZH8n -sfpRG2D7purDN+dt/Zvx1ELV88qbSaVp7t1qXjJXmafeHHueSjTAey1afoJC85Mg/ErtMp9955mF -/JLFKpvCD3d61XVTAG5BWcE9Gx/s9Oo7hQRg8gnIDsoqR+A+JzLol3CRUGUhh6MSFgeOWLDZGDdh -xYLkOCheWizTxqpinO/MAxbmjGktVMZjZuws6ow7HHnBKjte4Ujpzud9FndzVOM9BW8MB45UR7u2 -C7YBeeGo/AZ+4Ckbmmz2LCrYEMlfWfhfcoIKR+KupWsNUfpmMY8fmSONPb4RzszRwY+8mBdH5s8b -JfxP6fgRm4N5/SOqT5uF6sgRN3jgiDWRz/ItzzXali3vLVR/aI7B54e2OSLN6+mt+p56520dA2Or -sbLpe644+ey9xs3YzVHZ6XWca+bIfqRyzNFzHNEnj5dxXCBUKWeR9U1r/f8M3vuGd+0Fuq38hBOO -zDviUeNRfVzb3gj8qPtDG7v9qM811Yud/ehg53k2loOjed0jqm8XC1VdI88Z+QTjTswh1uT7Gthj -wcst+zL2S7rei/EIrpbaOAY4ytzK2PGjcBTfSDyK3/DeHLVyZzkCjxGqukZ+coG4gh/BEX6kMfub -R+FInDAfW9xphypw1PevilNOV5rrPNcuYPydI/GyGI/KVtffeUM8MkdwuZYjoLFeJFSJSdykFgee -a+bou33E84x6Ere+/TRPEajZMzD/fHNmLT9AHPmpn+LI8Sjz6QFHsjVHSsee9yNHEWLHUP3aJFRr -HbyWXzNej1kcZP44bjO3FI8db9IGedYztQ+Qjdc+6jzV3hLwo3AEGPPgR9O5Jg7gDz/CLn4Elyoz -F6vHharq1fxa+zuq/dFfn6DWaSrCNOKUNAtX4VXFbfxKPvVbhKr/R1UDJigyuVl4EZB2WspykREN -dmw5szaztEXQdEDVBfdGWXUgTG3H3VDuNiNUdYEtVHWRCSoAJ6CuW23QHTy4OEX8vN87/h1gQcUX -8bNPEqlfh99b4n9P32ix9emqROhbBbEb+dq7T198ikr6a+UjYG/lGy7/84fFrsVqr//vgAWo8Fih -en3HYqw6ZecbSJrPDqjMXeaUYDHqQMwGWosffagF65Y5qPnqQMzGsAvVVudS3yeIDYu0NvvPPtWC -Q9uks9CyYGXjgx2BlyCsxcr5BGgtZA7GKk/wbsG46pij+rZJqEp4My4/4cFmRnywQLmfDrSNI/Kw -cTxFwEtcNDv177kCteo3Um8Wl1OoPvmRMDaBcKR+e4xewFiwGkdtUR84YuH3hk529BUBZo4Ec3So -/wG2ClWnF0fylQlHGv/1R/mL0h3zxU/jqPkPPuZFURyZTwkw1hBvfmp9mYiSRaidbAoZo/1I6eGI -hRpfKj/yRhC/esBRlZdoaH6k9JNj1iZ3jVDFFmh8LwaOPHZzpHGLL/uR8piP+AoC7OYOng4CpJ2Q -aXMIx9oM+bGz1L/UNkiebBmbx86m0I8/qjwcjT6DnTYxLa3mGuXVT8810uOHY/0j0iddg01CtfLg -k5PjbPYQGYzbPPtmWPOJ5qc1N8d4JB+D77PtBWXT5lpxNMQjP7aaeEQf4MJ+JD7UfrsBJFuXD0cV -j461X327SKiK13Zqo3VOvmCOGHuEqvjBh7pQZa4pRlPO8Yj60y/mybz+JZR940hteK41Lsw1HDFu -wLWGI4uL4sIcqQ78rjh2PcVx788clwhV52m+aa9wrXUSP4KfdsOjnahaqMrW6xn54ogbrpR1PFK/ -2GN6vyrfg9dD3WdwNh4JPNGEf8CR7HxKFlGqvfHzivln51qg/m4SqsURIoEbgzc1f8yR4o5vYMRP -WAfZ49c8895b+Y5H3IgkHimerdqPk4e/wBE8MPbEI8pnXo3xyH7UePOjwXApjvr6hx+GoyVUnzaf -qOq6MW40CGP1OohvKJ9HpNEnfW4p7cW1fFTv/XSp4rbjkbTTZqFaNn7cFz/SGPtNe+Zw5hocKVZP -55rSMtfgzjFbCEfUzZx6kkd/6z2CdDxB9WfeC7z+yRPVLlQtILWwxIk/yom5oCLPE/0bwpSNXGsL -G+6k49TPnqnj2ohwcX2iqoW6C1Vd7H43QjYE1y5Uc4eQC8egwULfd/zz4esqX+R/Te9/fL/6JvB/ -qX7MF9/Q5EKAfkOASsCS9/n+/ur+53cL0pdMRvxIPsAJ7P3/flisvmXCUv9f5BsRPE8iVFk8VScb -ZhYp5hrB2DeFxDv/K3j7VZsg5hqbYuYaMQNhy6MvbJir3MVClYCrReOZFlcLUtJZHGmLAH2ndBZ6 -7Ai4LNwsVuQjYBin61A6Cx51qI8P2gPVt7a5rY0hQTl5c3sADwRUNoScbDHWN18aRwKngXBEHiKr -ibDDZwsJ6uGa6NXfdlf9ftDWHGXjDXHGx2JFuq6t6wwnbHJy5zWfuR7YYT/U4U3kUP8DMB9HjmTf -r+2SPenhSJsc32FGbGmczEveW6hqHXj5SWKDhRzfUbzvglb10CZ1vMKntgrVwQf6+CinOrPQhyOL -dvyKz7SNv4Uj+SH+6A0SdZwas143CVU4NUfa3Igj5lfjSD4GR/It8ixgy54NZDaG8Wv8yptnRC3t -pv55u0Hy8A+PT2N3f2vs+FE2jH2uFZ/xI+xUx2GuneEofdKm6hKhyn7CGz6NO5tBRNiiUNUmFz+a -xKNLhSo+4PEVR6Rr7Igp+xFxipjR55oAZ/gRc+1YHWNbQfXtYqFqjjRuOCLmWGAsCFXEPj6EkEg8 -Sox0LAJD3cdQNuP4PNdIZ+zhiHT8Bo7Kr7qg9VzTK5vq1HHKj4DKXCRUdf3hwvGGG9/awzY/mgnV -t1oTmXvEbDjCb6hDQijzb7NQhaOaax4fZRg74gpOIhzI43P8CgHma68+EENdh9qN8DjVNnNBdW0V -qhZi8iMfKjHX2IfniRZfL1B7/DFu00f113t8fE8x22VsT91HQB4cDxxZoOMX5OFHiUcIWOy6HzHX -ZONrJzs4In3kaAnVp01CFXA9AO+xQ6RqzsCvxb3mnXVICS18B73COtb0kHhB01wqVBk740OoDn70 -jH+D48YZHHEjQ3mdI4t+cVnrpp926HUUx8yps0L1XROqFqYrhCpi1GJV7w3VAT4ApfvLlP7A/6hO -T1RLqPqO1Xcfkbtuvffn+gdtJoA3IgRUJq0DJQu+bJkgc6HKpkf14AD+pi0NiEddvHhrYnnzzKDB -Qt93/PNhoarrG6EKEJkvcWRd31v5FgL1m4TYR/lqhOrXH/w2kzZtTGDVg3jjpPWrBCzAzvX/Rb7x -1ELV+ZprfYOnOcpdQeYaIsw3hZhrCryTR59k400QGyQWrmp39VyMDQGWRZuAy8LBfAZsqBQw2wKu -2ISdXm3LJsgbLoGxuo4K2tRB+rw9UH27SKhKmHsBlyht/0tIPGqLkk+94GAmVFvca19w4himVy/k -1LuBIy9WjI+FhkUInhmjOJgICnjIRpFxDRx501N1eHM51P8AzMeRI9n3a7tkr3Tsm5iHo7YwkwZP -eawMoeqbmOpLP0FEfBRHFiZeQ2THxtCLF+tDW3CPQ31j7LUYe3xq+8DR4Dey88lpNoZwhC3oPJcd -dZ8YM69bhCpzasIRjzyzpolbOGHta3NJm2WV86Yqa53WzXbdNffhruowR1X/g3aD5A0ceXyMmTr1 -Gr+ZCFW4gLNwyWvqYGOYMS+1nT5pk7dJqE4gXiQO2uPgiDA4Y7wLPqG+4UcWHJyo6r3HtrY924gH -fMDjK46oAxD74Ch+Aw98hiM4y1yDy+5HsmXMS+2B6ttFQrWjONK66H2VYo6FquJWF6rixP8qJV/q -8YgYxhxlXNTj8Z9B2XSOmFOMz37ZOPJcI89zbfQr2cFR+IxQhadTfgQcjzSWS79MCY68b6y5Z9Gp -9rP3FF9wMx6omCNuAmhOhruLhCocxY/oM+0iKOI35I1+xRwLzDO2sluzbjAHEGGqa5VQDSzEmh95 -vWedy3iLIwCHLb+emGE8GlcT8xGqKlP2i20B8uBj8CML1fiG/KT7TYTqqbk252gJGYPKbhKqATbM -SeqwL+EvWr8QqaNfVF1eD9lXwSX7KvnU3OYkyuYw18RF/EgYn2ro8WgUquEI22GuuQ7qZk6dEqq0 -vfbnad7ILo/2RqQiWEfRmtPVVxL61+VT6tvvF6pyEm8yagElGLCpY/J74WVBvm0BYbVQpT7uWOg9 -G2gHEAVe38FRHRaqXBAGDRb6vuOfj1GofpVIBfw/6mtNOE5IEZx8SdI9/7eqVz7zf6k8+suJKo8D -v5JfkI54+yIBi4jdhap41RxfJVQ99zTXtIExuLFEbPAmUXNtFKrczdQrc/LJhCoLDQsHn3ll0alN -z0SoEowVoB1wWfTLPkHadRCg5+2B6ttEhFVQPtpnYhsBlRNkFibFpMQjNn3t1KctWuYIEaZXFnRi -HO9Z1IlZLG6xgff0Z7FdUHkeI+ODoyxKGTeLEAt4cWKOvKBXPjwC7KoO8zvU/wDeGA4cyb5f2yV7 -pTehih+JI/mMFyA2xeapYjkcscEhZqtP5hRO4AaOVN5izbH9AqHKuBkjY2WMjJt0FnTSy48mfoUP -YUu6rovrgGc+U/eJMfN6sVAVR8wvuGG+sTH2DQ7PpWWh6mug9MuFquJCNnXwgn/QbziYb3rwn/gV -+eGJMviQ6jnJUfp0qVBlU8jcw6+yET4mVB2P3lt8PU6o1lxjfBo7/hCePNbuN3xmI9a49Cu8FEc+ -wchjwpSdtxVU3y4WquydOI0nPsmvRqEagWFxgc/xeKZ8yV9clnjEPNVnhFq+xMuYtxNUnsc4zjU4 -Kp4O8Qe/CUfiYbDzvIQjcWme+DzU/wCOR/KjS4QqPMIRc2mYe+PJH/w5TikfH+MVjtgX25+Yf8Sj -UZDM25kDLvAVceT3jJ02eWXMAI4Yy+hXcIbPkF51eL6e4wgQs9XeJV+mlLl287n28Blv+RGYCFXF -as+vcX7G98p+sS1AHmXH8cFLYRKPEF2kx6+IR7bTZ8rjV56vSg9HS8gY8AM4qnXtbF+DcT4xj1jn -9Nqe8CC/7Pz+nebTex/WPYlQ9Vwjlhx4sn+MfkM68xEuav3L2t+4E/BFn1yrbubUklCd/DwNp6kl -Spcwnq4iRjk57cKUuS+Mn3l99adPVEWINyM1qWm8CdUmNAkGbHS5uBcJVTbPCh7OI4C4nV2o/g04 -JlTfaIJxQnpMqN5FqGoiv1YagvX9nYSq0nehKrtLhWrNv6NClTmrvrC5/iVCtRaniaAgnc8EYxar -2BKQAenYks4mZt4eqL49iVDV2C0yEGGjUFUcBL6xxl35d/XqeKby3LgzlxpD9WexXVB5XsBrEeqL -EqKKcXuhHhZwOOKz7NomsqX/VqFK/Nd4fbOjeJoIVYkXHk2Eg8ZRTjAO/ubNpBcv1ofmb8ehvjF2 -L+gaXy3UHjccsekhvfzogV/F3+CUOuCZz9R9Ysy8Plqomqd2A/b3C1W9Z91nM5ONYfwL/4lfka92 -bAuf+JDqOclR+nSpUC07Yk7j4IRQ7fFIsU0+9uRC9UE8giM2YtgJvMILtrwiwhAirqM4WkIfIwKj -5pp81+nZ+J4CHBCfLBZmQtUctXkJZ55fnmv1qniNv7Hnwp96bAdLbYHK8xjHuZZxgx5/1IcloVr+ -9tuEKjZwpDaaoKrYQpvkFZhb8IYPJR6xpo172kcJVeYa/eY9YwYVfyZ+BWc9HrU6PF/PcQS41mpv -s1AFcKA2mGPmKONlblEP+fKjXypU4ShzLvGouOh+RTwabNv6R57Sw9ESMoZLhGrZoJEci1jHiMc9 -FpVN7L1nUJxWDHpSoRou4Ii+j35DOvMxc5JySutcwhG+uEmo8ujvFqEq340wzf+m9veV9yd+nubB -o78SmfyvG1/Ikkmfb3z0XWMeJdQFvkiosqhrcuxC9e/DsUd/EWM38qtbTbpTj/6+Uj4LMl++tD/6 -e6FQZU4SUDWnERCev3rvhYm59kCoKnZoMX8yoUrAJfgynwMFzKOP/hJwaU/X3RsggnnqYBMzbw9U -3yYijKCcvLk98KIjjvqjv4pPikV+PNpCS3ErHMk3zb3K4NO9Tl4RKVnQeXy4YufRdkHl9cXKGz6N -Xf332NnUwBGLfRbwWuBJN0fYAhb1qoP6xvofwBvDgSPmV67tkr3SvVmOCDNH7bFCeGrrABuc8iP6 -U3E/5Q0LOc1n1dG+TIk1CTv8rWwXofoYO+PO+PCfztHgN7LzAm+/Krug81x21H1izLxeLFQ9PnGk -eWaeNFafJnsu/SqheuDI42PM5R/xm8NcKy74XDa2J490+cVJjtKn3yFUee92FNseJVTFAz4AR3DB -+DJuYh8cxW/ggc/hKPFItpP5ypiX2gN9jAiMmmubhGqDOVLsiVDlhLTn67X/9Ft9Nl9q07zWv2ot -8jpH6gtHzCnGB0eea0pnbpHnuTb6lezgMb7EZtp1CKf8CDge6fpeLFRVXpwsCtVjZYTweigz5fUk -whHCgf5m7HBEWvyGvNGvur8JrgNb2UWEnWpbZX+bUOWGI9dA1/2wrj1CqI5rlcbe/Yb1DrtxrnUu -ZWc/mnG0hIxBZTcJ1cpjfvQb0sTijHNcxwLvGZ5QqNY8GeNR/5IlOEo8wq+whbPEI9k3joY63G9x -8SRfplRAhPp/VFXO4hTwXiAt/6P6R4Uqj1bg5Eq74csgyom9MPN/AbpQbsNtVbDgYkPikS9TygmE -F26Erspb8KqcF3RNDG8MuXAMGiz0fcc/HxOhKoGJUEVkks6mH1HGyen4ZUp33/JlSl+dP/8ypfv9 -y5S2CVU2LJqnbJib0GJOK4hpDvItgKNQ9RcJ6RVbviTHc5SNoerKjaelvk8QGwIsCw0L9bhwMK8V -MPuX3mBXgdl3BjX+fFGQhSrpBG3qUD8etAeq7okIIygnb24P4IGAaoGRLwpSW/K3yZcpwRHxCO7h -x/nySb1/Xr7ZBEbjebNQHcdHOmOnLTgSd3DTOeIz44JDzSHbD3V4cznU/wDMx5Ej2VskHbMnXfbm -SDyYIy084YG1xX5CzOb3+dyv8pOBKzbUFqpaQ/xN01mTjrXbofoGH+jjY+xqy5tnNjnFkTeG/pKu -4oj6Qeeo7KjjWNuVfpFQLY7Y+PW5pvcWWfiR5qPLwekgVOPXPrnm/+aqjtQ/aXNE8uAI39AY2/i4 -DkrHj2pudaGqcZkLPnPtsVMdh7l2hqP06bFCFQ40rw6bZ7WPz+A/ZZv3hxNV7UHYGFJH/Cz1HoNt -xEP3geKo+vHwy5TgqHFmjvAj5qTqWqxj3h6oui8Vqv7CJManttlfNaEqjhSn82VKtuV9xaEel5Qe -X8xNk81CFeSajnMNH/Nc02c2z3yexCO9ZvMMTvkRUJnHCFVzJE66HxVHrS9T2zEe4btw5P9R/Yrv -aUyxS5ljgCPGTdyJD9AeexU4iegij8+JR5R1/Rpf6mCu/Uqh6r4pBokT5lifa/gRfgN/5LPHF3cT -oary5rX8aLNQ9fg0doTV+GVKiUcRqnCEr3iuyQYuma/djwaOllB92iRUNRfYH7EOwYefQIhIhZNw -MyvX45FvnIlL1nvFJrdD3rH2RpRNn2uITMZX/fX/qHIqL/6eK1Y7HoWj3Mw2R7LdLFRVHm5X/TwN -+Uv/o8pn1TF+zv+oMj54kA/8fqGqhnBUf2uhJredmI44OOgCa6L71DTBwhNBA+FbtFQHHV4UqkwA -7BHBQM7ihd6bnl2o/g2wUJW/8Buq/jZfCVVEGD9Nw8nC67d3Sv/mR3oRoq/kjzwKzCPCpN+qnP8n -QP7Eb6/yjb+f5W/Yuf6/yDcsQJkvGuujhSo/T6M6ORl1ECagIji1QHuDqfc+MXV6e3zf811BBlHx -QrzffkeAcApEOebztkBM8E2A9aJEG07X65iOnV69wCudTZA3Veqn0wnEpHuxqjrmoE3hEqE65QJR -3vKT3rhrj9CxkLFR8GaIvsCJ2vTPJBDLLMJa7DzaLqg8/+8bi5K5UJ11HXxHGY7ghHTiKYtSOPLi -Lzvss1hRjzk61P8AzMeRIy2WZ4VqcQQPDzmS72n9wMcOfiROevn23qeKbITkx6wbPvVwftkdheoz -R+UH+AubGe7chiPGbT86cOHH8vhMHROO4kdKPzVmvW4SqpwuzzmqueYbtUprmxr1gTLyn+uc1tfG -sZ1q1GaRNPpZ9S+2DZIXLuxHcMTYB+7YyLB54/Pcjygv+4dzbah/RPpEHHmMUJVP+2Z3xAIbMuIP -c6ps/d7tiEPxAl/d/8hb255e21wbOMIvnH6Ya+bIc43PAqJMn73RhiONt3NEDDjW/jDGi4Uqc0fl -LVS7oFL7zmMe86V4qldoeyXZJx7BK/HIJ6oaV/VnqS2j8sZ45DlVHHVhit9MONLncIQd9gNHzxwn -D/U/gOORru+lQpUxq88HP0JQFc9LdWCPP7Eu5gSReHSunyPwU3wjHPX04gj+2J/Qj+LCN2TDEdcK -0T/6Eemn2laZi4WqxtyFKBxp3PYjz5+GtscnPnPjrNa6ikfErbYfP8FrQB4+MHDU59qYPsYjuEg8 -MkfNh50OR+auOFpC9WmTUNXYD0/BcINZ80Q+gb19Sn1l/Kxb/boJPR4R09E08h/fOCPfXA5tHEPZ -+MYgvhIu4Cfp8JF0jX3KRXGk1wfp1P1kQlUYhSqnphGm46PAyf8Tv6NKertobVKz0BIs7bBcRDlS -7tDwO43+/wjuBlu4ctFb8MThD4/W8b87kKV+abJwmsPEueaxH/5PTJsd/2YYdX7UZGFCQ3xd2B3/ -TtiHdC39WC//f/pNolTB8I0m+ycFwi/yv/fyHR7vxbd4JJjT1S/83+qXr+3/U5XPb60iUl9rslHf -Ulv/ZliACo8VqjdfeOxQdrVpaTeGJCbYtKgOgiybZESVH0VkEag55rmt69CegmgbQ76kw5sh5SWY -roICentErAIyGzWCMMGVIIyQzHXUqzc+LPQKuv6tRwVpl2MBUznXN28joP/CRIRRf/Lm9gPaAheO -+B/MxpEXaaWZIy1qiFT/Pys2ik8OwJxcK1Z6E0Dc0isLXPqz1N4E5ohxZtx6P3IEZwNHFlwsYuRj -hz3l1nAELhGqguM4HMlnGKt9CI64G905av20D5mvBoR7nr45LFw1pjUYOfImkHHLP+CANDZCI0ds -DosjcwJnlMMPuQlyjqPiYpVQHTByxPyCI+Ybv0scjtw/1e81lfWOTZLyEPkveSJJaeYoa/ax6zKH -OVLd9gU2OG3szTc09okfKX7AUfmcOaq51p5wOMNR+QQ2lwjV+IdPMzR27wPgTHuD1kfaP4CNefYQ -bW/QbnTYbxfqP4riaMIJHB2ba5XufPjpflScreDoMqFa46asfJv51p5EaDc6SGfs/kZbxWbPR+af -/M9zu3g1V77hof6uvDaNo4xTYNx8hrM5R+oHIsTpNdfGGG6O5vXPcalQpZ9wRFlEJ+Pl4IObQf1f -E1JP87cej97W+ud4VHF8TZuB2mzzhbGKI8bNZ/uVMOPIc81+pPTO0cq5FnBdVfdWodq+5R8/4oZ1 -cYQfZa6Zx+JQfFi02Z8QGRWP2POLN9e5liPVaU6KI8ejfEaoLnFEHq/M0ZEjbqad4og+CZuFqnzE -Gkb7q9xE5LFecy2Nwlru2IwQ7bFGeeKfeed/Y3E80tzDt8LnvK1joE78gXHjO/DDZ96LozbXypa5 -5nT8SK/mKGVlF47CxdpHfy1QS6RGnI6ClVfEqGKYxWjEqaHPEa1/6udpIlQhqC0S7U6xNyQOEEpX -3dxRwKG9kSVAsnFREMC5CZ5euPtmRhttAojrlKjVJGDSuE7/P5icpu5w+ev9E2jAQt93/DsQAdbF -6n0Tq4hOxOcH/IjgW7Zc71ttbkm/i63seESYE1j8wnazdv7tCE9PIlQJZAhV2frOoTbC/G8g89Dz -k/nom0EJ6O0uGLHCc1Zz2qJEc5556f8h1xy1/ULfT+Hww9YEVcELkuILwddtl50+9+AbW1C+EbtF -VD1elDcKVZdTXLKgFzf+XVD4kX+aI/rpDZVsEGfKg0vHOAQbN1mKVy9qLFjVn8X25uCaZoFi7MGc -IwBHLFSjXTgiXi7VP+JCoQrsR8R08RIO7EvEb/GXEy7zhDjFVvz5Xzqw1atF/JZNISjbzhHAPxg7 -/jLyDUaOYssrfjivewnV3iahWm0fOGrcdF+Bo+qnbWr98+Nj+FvNSX4H0nfxhzoftHUKI0fAHAn4 -EflV32SuhSdhlbhIv7Qx2iRUK+8lYsHzhpvT4kdrfvzDewSEFT4pvuACrohBeTKE/QRpLR7Bqfxu -3tYJjJvBzlHmGjYZh3y6+xG2gM9rNu1Vh8XmVqGqsSNGzBFrIz7E/ovxe18FR+yjKmbBHbEHThTn -zat9SWlaL73Zrf4stjcCG8cjjTPcBOEodYFwVP5zmGsrOAKXCFXyzJE41X6ijxc/0l7W+0dxF0FH -fIOHeTzCrtkMY1pqbwny/eV4JCzNNTga/U2v/amFNSBmq9xqoep8lTFHh7lmjthzw5HWKp8aCnBD -eg6UGsSRyrWYXQJkA0fPRo7iQwAxOnKEHRzlJkcAR4x1Vu8DVL82C1XiMLyEE72HE+sWBCw3xgT4 -s7/hR6xrFY/ylIz3R8w9bpBkLVpqcwmyn9zk4BUQK1JX6pO/9Hg0cOSbr+THVjgvVN9pjm4Rqurn -RKjyXpgL1d/98zQIBdsoEHmCawG94WLIng2yL5ouDBeXr2r2BZI9AtP2pAcSugSUtplRnTxqwOkE -QZU7ydTpzxqY2uDH0jdvZnb844HAiljlG37Buw93EqnNPyM+2yu/sdp+wuaTBConqq8GkRrbvwkZ -11MJ1QStiKxr8U488GaHhZz5GBv6gMBgTmu+tnmr+Ql4TxxJmVm/T4K6WYgQCQRZQGAeF6rR3oG7 -ArAWLi/mKj/p5xIqfyLCNghVg1jnmKlF2uOtmMUi3UVYxdUhtiXO+S61rs/WjbPBdc1CVBz1xzLT -/7yyqMNhbM1R2Z7DVqEakM/4xQe82I8QDKMfObaXDRtDfEd25kdrgh9BTF3z+k+h2rYfwBEbmvgH -fpT82PJK+gOOhvxTKJtNQhVUPxpHzUfgqXMUH6q1zvn2neLJHGlM7ucFPkT7XMtwFD/KXJsDPxq5 -jB/V+I+ixkk/LxKqGuNkj+A4w/va8JkD9QM/xY8mdkM59h32vQ1cVb/HeOTTnnCU/ud15AhbOMre -JDZLqPzLhKrKhaPMn3Hcet/Eg2wds5sQaXkHG/8Lgq/nRn4A5RAZcMTYRz8if3zVNXDMLj7PPu47 -wvFIPnGhUO1+xLjNUX1WejhqMbvWtR6P5DvcEEl9Y/3ngH31YRKP7Ec19tFWr02IFZ/Y248O+WfB -GBBhFwjVw1zTKxzFR4hLyjePet/TezwaObo8Hj1Y1+JHEygNP5rEI6WtidnkC5uEKunqW1+nGLd9 -qKDP3gcMc817qMlcKz7zGaHqeDRr6xx0bS3oi6PntKc056WuvBK35vEIPyR/wEmhqnr+rt9RVR3P -BMg3dKE6gUCdcTpE8ZkOYi87p8vJc+fPZMYGpycYY0ed5FGX6u6fXdeOvwkRl/jLtXziRsgjvHPh -yWf+h/Wl/IFHgrHHP/CLue3fAgtQ4UmFKnXXK2Uzlyfzsdpvc7DlGzU//X4+j9dirJ/rZwxpS6AN -7HhloSJtrGcJ5AsTEbZWqIKyaRwpbk3GWsE39aiNzlHZdnvbXbCoB+GIcWfsSyAvtkv5x3CpUAXz -8RPf0/7IDxzO/MZxXf46sduCXjeocef6HKsPP8MufQRr2i6bzUIVUBbAQfmF+XVe+cXITwBP5kj5 -qSfv1yJlJhxV2jF0u8H2XNt9jBuFamGMQwZcmI+aS7leqt/pgw81u0rTe/d7Vv9JjP2Lb6S9YwhH -AI7WjLNsLhKqQG31cWbsvBYm457YDvGI+Ub+ub7OEXvGOo57bjdi5IjPNf4HdnNcIlSDjDs4xlH8 -iPTO59DPsc61GDnK2MdrsoQ5n2s5Aipz2aO/x+ZaQ/o9T2+2NZ6n4CjjvmTtP4ficZNQDTL2Pm7m -z2EOkdeva2yfMh4FE984UgdjwY78bjvkxwYuloTqyZ+nGd8L4+kqYnT8n9R3CFXVEZHaT1Try5Tc -z98tVAmq3AkO6ETK8/5Y2hyjTTDPS1tzux1/FSy0dJ0b2uclO5BvBzZO2P0NMC/CkwvVgM/ML8D7 -ef7c5gFmtluQ9o61O2Kt3Yiyn4iwLUI1wDbjrTpP2ozY0s4S0l6wZBNssR2hazjhaItQDbA9N+bR -5pztVlBPsJQfjHbnbEeU7UVCFaS9Y+N2Hhi4OWZ7KdKHc/WttRsRe22SLhGqtlkae7DWdk1bx5C+ -Bks2wVq7EWV/sVAFS2MOxr64zjM2l4I6gqX8YLQ7ZzvC8ehCoQqWxh2MdfB+kl9pY12XgnqCpXww -2pyyOwZi9gVC1XaTcY8YbBbtKn1e5yVIG+fqW2s3ouwvEqrkPxj3DGvtz7V1CunrmjpO2Vb6eaGq -/fRWoTqeqvrRX72OQtU/T1N9+G1C9W0J1SUyduzY8eT45UL1bwZjFR4tVP92eGP4SKH6t6O4uFio -/u2ouXaxUP0voLh4lFD9L8Dx6BFC9b+CS4XqfwHDXNssVP82hIu1X6Y0CtVRnI44KlSFB0K1BPsu -VHfs+DuxC9VHgLEKu1A9g12onkdxsQvVI6i5tgvVEygudqF6BrtQXYddqB7HMNd2odpwXKiiBdlD -XvDzNBGpH/isOniPQHWe8Ed+R3UuVHPRlzDWuZQfrLEJntI2+Zdiqc5gjc0xjG2Mdcw/L2Esd85+ -yfYPIoIsONXHid1C/hqMdZzCqXLzvDF//v5SpI5f+ujviDHvmE2wZLsFW+raYhuU/aOFatpdU2aL -7RqM9Z2rc4vtiKcSqlva3lr3OWxte61tULaPEqpju/O253nHMJbZirX1rLUbEfunEKopc6zsPH/E -3HYLttSzxTYo+ycTqufaT/45u61YW+dod852xFMJ1S1tb637HNa2vcbmGJ5CqKb9edkx/RTGMlux -to5L2iv7i4Vq7JbwGNst2FLPKdtKXy1UR2F6UqiWMAVz4boL1VnaMZyzTf6lWKozWGNzDGMbYx3z -z0sYy52zX7L9g4ggG7FkB9bYnMNYxymcKjfPG/Pn7y9F6vhtQnWef8wGzO22YktdW2yDst+F6hn8 -bqG6xmYr1rYNttgGZXuxUB3bDM7lL2EssxVr61lrNyL2u1A9jrLfheoZ/FeE6hqbU9iF6nGU/S5U -K01Y/eivBWqJ1IjTLlgLiFHFsMkpakQqp6v9y5T+xKO/9fM07bcYm0L2N1v5m3wLt4egwqa5fVOW -0vs3YQ122QxhS12xmdge0tyeJqc/T+xAq7PbjbbY2fZhu/Oxr0Hv6wIIHm73ZD8FbOkHKNulflEP -+R7TYp1wVPVRJuUBZUYbt/348T8luphTn/gW33yTL79t2POG94D8azn6tfh8qUDkH2Qe6lwLriPf -MOxvG1Zdc5Dev4FYi6fbpp+Vx/v0qdVX14v3w2e/XtjH1P+kQnWEyuZb7SZ+sWRzzI8W+n0SYxnq -gLPwkzaTP7crfh1j5jZzVF1cg4uEamw8fuabOEr7Y/lqx2NgXoYjz8kV/TyHztHQdtof38/t1uJS -oZq2gewnfpT8xTLkb+zjKZh31Xds7OnjaDvaLfVzjrLZLFTTNjjBkfm2jwnz2F5pi2M7h6rfoLzH -vpDXbQrh6JTtCPKB7B8lVF2HeGK89GGel30HPIaf4ij7jkmZNRj7uMTRUp3YKSZPbM+h6rpYqKYv -oDjK+rJsVzbdh+irMNpuBW1Rz7G6aDfvw6VE58TmHB4rVGNXHB3t6wSVv7aNJfR2AeM+0i52wcjn -mDcvswSVuVioVjvEHfuF+3rIdzo+U7HHr8NcM69nOV1A+jjxo1neHCOX2FbfF22DstksVHs+bQ5j -NoYxxy6/VjLyI7SfJJJtr+8CzDnqbQpzu5GjMQ9UmZNCVXb9RDVfqDQRqPUZjCeq/RSV13r/Huj9 -W+FP/I5qF6oMWqTw49r+zSB+k2lA+228NgFeqEz7HaLKG+34jSHIVZ04AX3rv0M02PWyGhwO0X6o -WbaVz49dT+xUjx1Gk9c/bJw6x3wmmi+gSBzGvgb9t7qqvv5e8O9K0k+3Xb/HVDa9n/y+Ep/FM2PK -D0/Dpx2NfqkdfgSdcVLn8xpP+22ras91Vv3vh99RK6cw9+QNfXAZTsYz/mFcvxsRYYjBV/IFfhf1 -/ccvV2815hsvTsovgehvmtZnBORb2SDaeMVXWdTGetcAoflago723osffpt1Dn7bFbu0jygm7YPa -/vDpi8prkovHjAMB+wqRqFeE6q3G8OqtfIUgRjCZ9WENet1PJVThEch+/nt7/TdRyeNmlNr3fPO8 -VP7gQ/Yj4kHN302gfvgQT8/5bTQ2aywiaRuM9rcCvq1x2ZZyCchz2xFVl2PVVqGasmwqT3FUdm2+ -qX644bcf9eoFi8Vqqf41WMNR3pPOnMHOvzen1/hcbI9B/jDhaK1QrfETSyccEV/FW+8btsP7l+KF -WOeF33nbY7BBffTTHAn4B35C23M7QDoczjmqfp1E+r5VqNZc8zwidk84Uh3u2/uWz+864j/z2J7P -rA/E7aV2joH640es+d2PhusDYk+6+Sm7tRylHtleJFTLBr/L7xjCUdvwHew6T+wpwo+hdVLxyH47 -2J/F2D/7UXHE9Z37MIAL+5HsHI8GP4rNMVRdjxaq4Yhxs4caOUpdzMlx/SduuZ8b+RkRPzJHwjjX -aDev5kh28TeQ378c6zsGxyP50SVCFRvBMSl+pDW793Nuqz6xb3I8os8u/5h4JMCR48wCRyNoL/xg -m+tI3ljvMRCzLxGqab/PNc2dzLXyw6X9+CQewevWeNTbFbxWlX8ci0fxo/hb4lHqGuueo+q5SKiK -F/bOHm+NPePucdvruuz0vvNUdpQD1LHab4PYhqPseXgP12P/3Vdh9DfmXPxorFM4L1QlQJ/kd1Ql -eJ0n/InfUb018bLRBEEY3XxR2hdtylh8dWH4sffb+++q/5vrz0W8oeyPn8r7oU7KXu1TjvL+gW5d -AIs/1XP77cfV7fefyuOHvlWv7JOGM7D5Y8G//ap0pdGe25et23HZ755E9MHlv1P+R8sXeL1+p7qu -ufCQuCIw1cUOh+4TYwL0o97faIx2Zsaj/vbxqL/50eRbcUs6PxzM+PmBd39WvywimQByPqdTZ6VT -Z2zbOBv38M24eJ/FyAFI1wMbrrcnEbbihjT6+Nzjz7h+LyysWJA0VgTjp/v7q6/fv13diae7+28W -gwi+CDBsEX4f775efcFONgjbJlRLqK0YR3saoPn4py/3V/fyiy/f1J7qfS/xCSxEEcIfNInlwxap -8lGE8Wf1k/bBp6/3Fq4ORgKPxn+4k4DV60u19Qbx/Vm+UhuoNf2bI+N6MqH6TOOHA3wE35PfeM4a -mp8EWOVhwysbHGzIx3c9z/FhXSfmu31d/K9e3MMB15YfqP54d/VMC4lfCcqu62D3jGurQP1M16Lb -AgI3QTr1LaHq2SxUeznFL8ZPnGN+whHzLByFS5V5qUXC8w2uiGvYWKjO6l6D9G3OEZhzBOBI6d0G -e5Xz4naOI+CN4cCRFj2P71Q58uIj+ET5iH2JuMTGeFxYa95x8w3/sT8Rz1lU18bgEdU3/3A8HGXc -+ImuBX7T7UA4CpfhSOXH+o6i8jcJ1WqbdcYcaX51jsTXS24uij/HJPXP6wX5rCXwo3jtmF1pzDf7 -VNW72GbQbcSD+mpejDZ2b2pyfWpzar+Cu3AEiG/maPC5eVsgefK3S4WqfUkxE268vjFeNjTwg6/J -V+JrWe/Y+Izx6Jp1knGsaRO4z7K3H2ncfex6XYhHbKjbXIutQLkt8Uh1bBaqVbbF7ZEj7eUSZ6gD -G71n/Xfckp156fFI4xnrXQPqZP7gR4y1+5JgPxo4AqyZ6mOzKX9irjHXU9+8jRGORxrDVqGa9kc/ -8rypfdFYvuKNb/4nHsEReyLHqo3xCOj6N46YN+UjQptr1f9hri3Go7UcAdWxWahiIzDXpn5UsYU1 -jXzxxZ7ae03iUc037z8fE4+YI3AU/6mxmyPmITbhCPHaOSpbc6T0ef1zVHubhCrp8MINHo0Nn8jc -sb4gLWt7PRnT4pE4Kn5sq/24/Umv/Wb+sTbnqD50juIfgHgUjoIej0DxxOdwNNguCtUn+x1VlY9w -5bU/+vsHfkc1QvUFQVCLrh1cYoHFxMGXTR3pP/7nzngTIkfmor9SHbffftqGkwc7fIkti1o2hRqE -J8VPlXdwIUipvGxIT50WbEpznWqP/mBrp6FOTa7cISPt9id1tvJ2OIs1NgrZZC/z0EE+kwcokHG3 -hL67r9Vfv5LmMYoTxqN+kUY/6a95op/qhze+GqP7jNCkDiEi8iVBospia6GqOtkAdo7qOlq4swmS -HfXhBEwa8yvuXVaBB965FrcSOHDqTUDGtjTuXwiE1Us5LmLuswTf5xKL7zSWzxKQd/I/hGg7nfzo -V8QjohKB+FYL5q24bI/malKoPrDU1ohnCsQIT046v6iNHxJ+tM/nW7UBXokn4LbZZMqPOGG9U9vY -vuPkV4L2s8QynxkDJ8CIVoT0G/GNUKWPfEbAekO6on9zZFxPIlS5q80iLHt8Bh9goWKD/FIg4PI5 -86JvDAnW8qd284lArvrtR/Iv7O1HbYFbGsMD0I8EV161gLSFXfWwcfM1lZ1em0gd7Pj8EVvZIbw1 -lgf1B/RHuESojiLVi5PmIxxdsyEWuEsP7/ie45nmclucZMf1Jk6d6tspsJgvcaS+L3HEZ3OiMTa7 -Vu45MEdD3UvQfNgsVIXOkfzmGj+AH8QWfkV8xTdl02InQkM8EZfY/HDjUDEL/2oxeIP/YFccxW/s -F8Bj12INRyzW2HWOatEfOdLrqtOe6tsmoSrgB40jxKnieHHkz7UGxYctLuCG9UK23JFvG0Zxylxj -rZOv+dHpc1x1jtRPOGLsinGZa8/F0WQDbY5aujnFDs6ww556qO9Yu6RXm5uEauV7bcuNM40/a6Zj -S4Qqa6f8x/FI87HvO8QTtuZIeX1dW2pvBOOBe65l95sDR20DrTy4wRZ/8hyUHfa6FrYvzs76UcZK -ny8Qqp5vcIRQGDkSL7ap2I7fmA/mF3E76//F8ahx5LnTORLgCP+IHzF2XsOlOVI5f5aNXlfNNcej -jUK1uPVJMvNtxpGF6sw2/jSJR3XzaFM8AoxbsbZxJDB3+lwT4kfY4kf2G2HkSDF8NUdA9W0Sqhk3 -67o5arHG8UaxCD66UFX7+JoPecKhrrnjEfvXxCPKVL2LbQbkMybWo85RjR1+gN5POIIL7DpHZcsa -vmGubRGqXgeZY4ojvgmk917L8RX2/drfW1+IvxevOY1OPIoOkq32gxHziFX73pobH4zHHMnecwuO -NGbgeLTMUfMj2ZijfJZtOAoXZ4Wq9sYnf55mSI9QHU9V8/jvRKj+gd9RJZ38F3KwTG42I89ExrNn -PIusDTUXjkmvi0fQYAMdAcoixIXkDjLB05NAm23u7JhIdd4BJvVCHumyZXBMLl8kBkyAqYXJd6Gw -U543AQTnmkAsYr2vaqcHe6Ux0eyI54Kg2utBnvL0R2140dQEtyDU2GjbCwM80R/1y/k4rPJcnnSN -E6f3RdOYPBZErnhGUNOvG00Ii1eChOrudZojxiNBrL57Ashh4SHC1At+2lU7tPvs2TtxKd6ZbPDG -ZNM4PG4WuIz1N4BryivikJPR+58SpRJhL3mEV3mvSZf/fRXe4TsaM6L1yw8JQ9lTDrHZRKdAfVXn -STBpmQO6lpzi0vY3tY0IJd/1pU5A0JYtJ6tf1fbXH98lPuWXruujykk4fv+ueiRetalBqCJgEbn0 -J48J85nAn3FvQebAY4Xq9V3b1NmHdN2ZZ8xT5lnjpRYm5oV4dyBmcyPuvUAx78zJO/ubFytuAKme -+Oaqa6B6+iaQYMq8ou+q0wE2m0M+E3hJYzPkYK/y8EhQ1sJDXj/tWWqr+jQRYQTl5M3te3rFSWKR -+HDcqXxvgsQPeY4dzGVuNMGb5h4LWvedan9S/wo80wKzxBELT+NIqI2POeIzixgLE3bYM0c+tQ00 -9S2107FVqFYbbUPYYhXvW/5782U+iiP4bLFZPiN7bpD4xohilGMbMYoYPm/nGGg//sIYNdYDR/iM -0sIRPm+OSBO6Hyld3DrNfnSGo+JilVDFttqIv8DHgaP4UXGUOAxHxHHitnzK11e+5M2h4h/rhDeG -+Hv15yRGjkY/Yg2GI8YtbjpHTlNfaj3F3hsfymOL3VI7AHuXIY5sF6psXPpNDN+0ZS1jHVcd4sA+ -eouwo171hb0F8+z5O6+zjbfacKuuk20GxD37S/MDz7WKJT6pL5/p8YgNI34Vjp7LNhxhq7l20o9q -rBcJVcFrP3GXfZNvvmu83ggzXjiSrxCPvBeQb8k2+y2Q9pfqPgVzET/qc01wevMZ4lDniNg8cjFw -5Jsep/wIXCpU9brMEfOGOgY7hAd7VW6y3WkOEo8UixyPEKtc39S9Bp0jgWuKb9FW0pk/cAQn9iNx -QZrmOHbP4IhYXTH7LEeAmK06NglVvTJ/Gke1x2Q/iB/Zp8sOX1LfcwOI+NPjET6mueqbRbXPPts2 -QMgzfxgjXNiPMteWOXIaXFA/7Zsj4RxH1aeLhKrG5ziDzyjNex69sq92fJJvsXeHH7i06OL6eZ4p -Hpk39t/yvdoTrBaqjF39tX/Q38rzST0cCU6HC/ZBRznCj2SXdMa29suUnlyoVuwRR79PqBYZFoRs -Or7qQrDQUlYXwxdPbYz10zlPBu4Sq4PP6AcLNcJKcHnVi7j0BlrOwMRg8jW0YNWCB6JRAawmGo5g -p3rdHAu7RgJOrHbeqm2CluBn6rlgyqedV5qknmwajxdDxlZ9buORrch1/YLb12fscT7/DyibVMbG -CTD9xCmpj7J6z0lDJnr65rJaaKmvifBWRxOqBI4C7wm0BM/ivvWd8ajObHw8MVicWsDxNdZ1cbsf -7m3TJxubRfrLxgnePc4Vk+gJ4WCnSYnIQqQiFjl99ETXBh9Rxqnqdwmxj7rOr5X3SeO5/98Pn6b6 -keAIAeoDen9MCCb9WkEAH0bovtHiymnot58/LCa5pqnHZaovpHMq+l1t87gvopN0RCH/o3onoXr/ -v++2QdBaqKp+j0/Xh1Pi3OCxuD7Sx2PIuJ5EqGrxx5abF6/wN3yAvuH7jJX5J18jj4UoQc59Lhv8 -33NXdsABO8Fwof8dyefasdAokDrgum6BIE2A/SyfZhHSXOmbZD4zp+qaO2iTnjo816v+EdQtePFZ -K1Ttm8S3Nk94TB+O7G+aQ7xn3J5bsiGm9PnIHK8NdOJP+nC0vRFl08SnxpfFinQ4wkc1js4JXHaO -ZAdH2WiEI+oxR5U+theozIQj2Z8Tqo7BCAvik8ZvPyqOfMKs+GpO4Egx6/qj/BCBX3fm7Uc++Wnx -3v/TMyyqi+12yG7wgT4+eDdHg9/IzoI0foWfhSN4dh1KP8dRpa8Tqvhpix3miHgrPojdmUfwxfzz -XGPsKme/U/zOjV6fqGqN8JpR64Yf1523N4f7OuWoj89z7cCRTyewG+ca1x477DtHss2YlzgiDYjf -TUK14HGyFta+Ips8r+XFp/sFGJv6l/jMKZifKGKd5MYI82ChjQncJ/Ewji/9LY4exCM+M5/ElfMV -b6nrwXydtxUUF5cJVbU34Yj9C/uOdhLm9Ur1kO/NNAcAis3080nika6n47Y2u72/41yDE4SIOSu/ -4jrM/QieTvkRUJmLHv2V73U/0rxzDGLuWSyoffuR6pWN94/yGUS955V48v8+s66pnOck/afNc+2C -kaOMb4kj/CMcEbMZVziyyG8cnZxrgeq+5H9UJ3PNvhKO1D98hXq4Bt5XikPZcBOpxSP5rOyw3RSP -mC+MzxxpfAiyasc+ijgjvTiacJaYrdd2I6Tx3DlaAnULm4QqoC36yiv+UnsO4hAcNW3CXn9om/rU -P+8RdE3wnUM80piob2xjCdWnHo/wAThi3MrrN/Izt/Dn4qzHI2ypY+5H1b/jQlXl5YcX/Y7qW5WJ -aAW8Jy35r8UJ48Ov1MffKlQJfHaAugt1LWdumzYcuS4KHePuAhdOdhZRwktdZO5YESB80dWXtkFp -izf1kU6wYFPjfNVrEeGLhlCsQEOd2LPZEXzHOsGliCGtC1UWMeV5AqqchSpOR/uZoPSdV+worz7R -f+qxHZNFffXm3QFPgrDu/hIAetuqhw1ZHls2T4yZgMB4cGycRxes3Q1uwsGBQYIeUU+ZbHJyvbwJ -TLrK0S82yA6ufFbdoAtVXRd4yGJ12DiJD9m18bb+/i7Yf8Qj//P5VSL13ieVCpy6djeISU2ujxJl -37TYftJ1tqjk5FVikVe+bIn/A0UYAvySa+VrMm9LaV7AdW044aSshaoCYYQqJ6v+8iTX175EKV/m -xKPFn76oL+on/5+KOKWf/jImBLTKMoYPsvGjv3r9KF+gPv4Hls/un/sj39UrY5/38xjS/ycVqvJn -C1V8oDbDBsFY/urNs3hnLjVR/s4+7acR5E/eAODPmk/U576q/XnfJ0i+eO1CFY4pz7wC6nffGGax -YmPDZ4I2vMmubwypg8VHY37QHqBNYSLC1gpV5onii0+MmSdKywLP5rAJU8Uy3xRqi73nn+YjNmye -fWeegMwYz/EDyuaw8W08uDwQB30RghO4hCMvVrIzRwXXUfyZo0P9D0AcGjmSva/rMXul95uFxD75 -DGO2D+kamaPa4Bw4anGSz/TPMUBtEsO84SZ+wxVtEueX2u1Q3+xHjSOPr2KzORr9Bh+LX7Egq81m -O9TBpuccR5V+sVBFoGt8rD2sI37SR/PPc03zEd5sL04RqhH1fPa6BmfH+jaH7Wbjo7+MnXEzh+BE -ed704CvxIzgLP7z2Omq+9vpnIA1w/VXnZqHKtYMD1mavhwjV+ETj07bUxYYQn5W/3SgeeVOI/2RT -uKK9ZiMeGPs4Pp7qgScwxiN4iF9xqgg3cAyX1DGJR0M7I4oLX/8LhCpPrh04uu/z5oFQxX8qhsPJ -JB4hLqjP4z+Dsukxh40xQhWOAXOt/KjNNX2O4GBcxKNwOWyef6lQhSO991omjvrcY2Mf0QFHik3m -SHMP+76nZf3TGtvK4Huq71y7AB9AhBGP7Efqb+baOLfwDz5HcGCHL/EaXxRHJ+daoDIXCVW45DVz -jX20Xj1e8ZAxt/1Ai+P+X2e99xcFcuCjsW2KR3CBD5gjxlfjrrGHo0Whik24DEeJaUvtgYxBZTYJ -VUC+tAtxhv1aW8/Ek+IM8Rzemh1xSBjikeO941FbE93vc+2Bsunjiw9QHn4y1+I3ymtzTWCuhSNs -h7nmOjwe9W+tUB2F6UmhSp8Ud0CE6fiZ09U/8juqWlzJb6dzTGxdGC2idvYsFiyqnCrUBteLL3cY -2PQRNNjgyp4yPoGgH7UQ2SEQYdh6sc4CVI8BV6DpDsEE4xFZJpLA4xtcqOY86qOCFv1JfV7IKK8J -GbHnTQIX2X3Q+APKakEi3/+3MBIrWws/+qFxtDubmuj63Nt+KX40tiZC/9fGAkdql354YWEiyOlt -w1hwbo2VQMCrH9PN2HB6te3gQd99kqHgoWBsPnVNueOFM3Qbj++fKFQ1DvUJUfhFIpVHai0UxYtf -Jfg45UTAIlhJ9xcfSRB++a40vUe0IQLvviEGm4DMT8n0dnQdvXjr+iIqeVTXX3SkthHDnH5yUotg -pS5OPzmx/aw02ueRYwTiB21WePQXofpOZegPfec99vSJ/tAHhPQ3xKQE8Lc6AWY+3age6uNENvWO -fT2GjOHJhCr5NR/tA/gZPqc0Xh2Q8RsCMnwy5/Bn+Tb+mJtIzHuLGfxKbS/1fYLYEGAtoDSfWDj4 -zMaPgEogzmJFOp8JxlngSSOQE4izISJdY3rQHqBNgXFsFqoIcsaqeWRu5DfEAd473ikPjhyzWMw9 -pzQfNQ9J58kGP1bW5+VCW3NUn3xizPjgiEUo487Y2ezBSTjis8blfKU1LrFrdZA+1v8A3hgOHMn+ -rFCVPfGbuOpYLl68GYYnOBIn5k5zbuJX8PFaGyvFYN90Uzrxk40Q4s1tOlbN2pxAfWPsjBue4Igx -Mm44YJNDevnRA7+Kv2EbX+QzdZ8YM6/rhGqDb6gm3ia240uaO+ZE8495SJpvYMGp+GnrVVvXvF4o -/xDDZ20tIWNAOLCRqfE9U9yxL+TkazLX4GLwK7jBNhypnpMc1Zi9bqqurUI1e4DOAUKVtV99Tl6z -E7IplG91jsSn55htq85TKBvPNftAxRK48auA3/SNYXGGLZzFBn7kT378NXXM2wqKi8uEKutA7TuK -I25ywBFzyfsJ1eOnFPCrehILO8cj9giJR3C6UP8DhCPGOM41xg2IRXBEHpwMN4mcR7nwhB2bZ3jq -G/2hrRGORxrLVqEKZGOO8A/7UZt7Tai2TTO+4n2V41Hb2zkeEcu19rcbACoTnta0y1iZT+LI70Hx -1L+kC44GLrpfjfEIjpiv5zgCxGy1t1Wo2k4c4YesV94LyD+WhCqHUIlFnmtf5EPs8ROP1rSJDevJ -OD5zhD+1sfd4lM/xq8QjQBn8yvNV6eFoCRmDxniRUCWOEMuYT6xd2uN5T0SbD+IRXKqN3DQjHsmX -DnUJY/1LKJvDXFN58eOx028w8RulMx/hgjlJvtIO3An4IjeL3EdxsfbRXwvUEqkRp6Ng5RUxqhh2 -+B3VOlmdP/p78yd/R5UJD3Sx2NDg4N6cyMbBEWGnSXTY9GlBIWDI0f2Il+ppwUEEDYuLN31caE8I -LexyDAtJ2fsEchSqNcF4VMPBWOCfmN1uNtc42lahygVVOYtMSGVDzgQeN8PlqF1kMjYmuoWq8mkf -oaox9k0s45EjR5x7YUGoqow3vvRFopMA6zYIQvS9AkQc35s7FiLbt7s2nUv3TTa028f37xCqnK4i -9BaFqj4jVDm5RAj6xFPjvVVQQ2C29PaFSK5fHOTEGvGKPaIyYpZ8rjE/JzOKxxsBQYvw5X9P/f+l -qhMBy2O/FqrVz1anhKmEKLYRqpyw3iMmJSIRqwhVfnqHehDG1E19/B8uffDjSDN+Rngswq8TquJE -foCfOzbIT33KIz+x3+CjzCPNkyZSVYY5wclDzYOlfj+A+udXAilBlI2vg6vmlfh3kO2BuH3uAdeL -lezwcdIJ5gRpbKljnJsjaFMgJlwiVM2RNnfELHMkfhznmK+KJ3BkzphrxCLNX89HzWFvemqRd2wi -hlR/FtsFldeEKgu6xscixGIDP4xb/e+bHvHRF3TGBRdwhH3sgDk61P8AzMeRI9nDwVF7pVtQWKgS -+xSDxQv8MH7f0NCGz2uBbOAoN+ycRhyUP7l8xbLDxnCNTzU+PDZ4iv/YP+Szo6AgHY4Gv7K/wRF8 -Yic4nbpPjJnXi4Wqxm0eap4x7xzH8Q/xZaHKpqp8q3EnfxJXL2SfubjY3hwZA1zoesKTxwe/+EI4 -msy14jNzjZMzc1UcMV9PcVRjvlyoAs09/Cq+Mj9RrbpOi9RtHHWhmliSuQbwm6NCFbtma6Fac811 -zNsKev8RGDXXmN+ks29YKjOi7PJE2aJQ1fzznqFiOHOKp4n8Lwriyv7GHoS5Uv1ZbAtUXt88M3b6 -y7gpTzockQcn4u7gVy3fPMIpAkPpv0OoujzCof6lyrFFbbJv5cm8F/l3Dcejby0WAfaU5o4v8yzh -ljrHNpYAFx4fvlFjL19qflMcxa/CkedapevVdRCPznEEiNmIsEcJ1eIo42WfSL7Q96/MM3GIPxG7 -vY9m70I8WtMmNqwn4Yjx1dxpY5ffZD1nDwdno1/Z34pL6sAPR46WkDEQU+Go1rWkL5YBFUMOJ6nS -NBp/bmh0G782WAcQ581T4pH6y5w+1daIsvP4zFHFksQj3k/8RnZwFo5iK3Qxjy+SRt3MiVNClbYv -OlHV6yhQEad8zonqLTqs/IR91W8VqtWoYRLUETohgeqNx72CoRZk0iZCVXm+2FzATAja5FWwY8jG -joHA0sSxiNSE7HZ6nQhVJpjKEZiw8wYLW/eJRVMXRHZdqDqIaSFlPJNHfxHeylN5uPHmlA35UsCI -M+OgXlRroqte29F2hCqLQy0abTyyURuIKLentIlQ1RgSAJrIFp/iA248dvWtBVnZl0hpjx4UqHNs -Fx7dHn3StWBC0V/VkTr7xPtN6EJVog+RiVBF+CEib8QP/odI9KO/4sRCFUHoR2wVLGXjRedFE7v8 -jyjgMeBWvyaK2qA+xCMCsdWvckqP+INnw/btPRslfleV/4/lkWDqHMUwApMTWYSjHx/+1k56LT7V -Bq9+9Ff9Qlx/0Hu+9ZdHid+pLurgp3joj4Wqr8syTyB9fTKhSt81Pm9y8AHigNK8ecJvEKo/5Tea -X/7/E+U5ZnBaKPs2r9U3+g3U7lK/HyB2BFg2z9n4InjVhuc4gZiNIZtlArY3PQKfyae8Xh9sLtnE -jG0FtClcJFQzTxCqzBM4oh6upTjy3CKOwg1z7RvzsU6+8sVyrkObIR4fJnZWfxbbBZV32BjW+OCb -MeL36r/z4AQezBGLleyILdhhP9Txy4QqMZjxsThr80eaYzAcIVS9wIsj+Uz7FwjFW72+5ORV85L4 -1eOU0ttGAJ86F4/Ujv2oxkc52iZPrxO/wcfiVyzo2DE2OOp+pPRzHFX6ZqEKR5zQaJzMr6xnnmua -T5NHf5UXoep1jXFhz3Wlz9Sd11PIGNgAwgN+xDqWsYejcAIP+BV8wtk4J0eOMuYljmrM8Hq5UIWD -rKkLQlV2zhdfjkdwyqaQ8lkD17QFyq5tDBmfuGB89g0Bvrrf6D1cwhlcwBkbQOqBS8VQ+2GPR0M7 -I/oYdf0vFaou3/zqpFD1fkfciDcfLGhMPj3zSWv5VtW32BaoPG98PdfUDuObxCOlxW8iOMJZ/Dbx -SOltvhZHx9p2PNJYHiNUxckaoep4JJ4cj+xXlc78Ux29zrGNJWR8iAP6y7wNR/hNOII/PoejYU5O -hOo5joDKXCRUgWzhxP/GAkcZb+YQ+cSoCFWtZYlfjmG6pnDZfOEMqI8x4kf2AbXD/BniTBeqzC24 -9FyTLZ/5HXW49FxTnufrwNESMgb1dZtQVRlimNZr78vRMvIj6wDK9TgjyP5oPMLm4ngER+ICge75 -IzCXJvFIdnAGR4h78uGI6xKhaj9SGnUzJ84KVQnQx/6OKkI1/6OaR3//2O+oihQ2H2w4LLzqAvqi -sSEhGBIc9Jk7E1xITwZdzB4gx8DMZ8GbQAUK/pfVAkv9bUFWebowdhYNtm8AehDSRUFwyHmwYWzY -eLwPArcuGBNU4+lClSBBO+oL9mw4PbZjgQonlRN6vDjz+Oivx4YTK5+JDm/qp4Wq+m4RqjroH/Wz -wWubE+pofYnNSaEKR4gU+oN9cXiwqXb/kUIVMdD+RxWRx8kpwi28clqKQEUs+n9UEaoSdxGqFpxc -7+dNqJKOUMXOgk11WKTqMz8l00SqOCCvOPKrbdt7L/Sqkw06QhWRjFClLEKV+v2NwwQSbmrI/o0C -x913TlDblynxP66I4ohZf7mSxCXzifEyrrcKLIy7/Q9s69OcnxHp868QqvYr9a1tcvBX5kVb3H3X -Xf7reMFdxQrC9jfZr9pYjcg4CbBeaHS9zYHSqEv8tECsOVoL+mSBV7/dLuN0HUqnDhYfjXnSVkCb -wkSEVVDu/ZlDbYxCNf+jao7IY255TrfYYX74HKHKNUWoqq5+4kgdW4XqOD7SdW09j9X/zgk8hKNs -euASe/JYxIA5qvSxvUBlJhzJ/qxQ7f+jysZXcV3jaxzVlykpzXGctUZxzBzps/89w36va6k2+4ab -Orx4cY2FpXY71LfBB7zxpa/4BxyNfkNe/Eqv9rORI9eh9HMcVfo6odrGAKc93np8ak/p8MRCzU1d -3xSCE/rFpoq1gPnHeuI4x+ZadWVdY43h2iy1G7ivU4766YPnWnE0bnrgyJxRf9lhP9aRMS9xRBpQ -2ScTqtwAyeaZuacxeC/BHkF89vXL+UNda+A+iQf1cTK+9JfNuDkqv4GHPtf0OXNNdT2MRy39AfoY -ERg11zYJ1fIr/ER+1YRq20S3GN72L32/w01FpUWotvmKL6pM9mFgqS1Qed74lsB4Nmx84eDgN3Ck -z2ye41eJIQCeqQPbU34EVPfjhGr5yoJQxYaYbY6U5y+cUhnHI/tezdfaV/Y6xzaWkPGZoxpfOIrf -wBH+Yb8aOMq1jy9apJzhCKju3yJUuXEmfzvskxW/ZGsfIm2p/hHUxzi7H2l8w0lfj0fMH+YWPIQz -PhOPWP9Ul+er/Ujp4WgJGQNzZa1Qdbo4tUhlTa9xE3PJo68g89DxSP5CPJK/8K+CrZ7icF7/KZT9 -A6Hq9pQeP4rfaOwR9xaqgx8dbiyVH1XfF4Xq6t9R1fsIVd4jTvPlSYbKR7jy2r/1t80590F9/E1C -tZXxHXMmOhtdTil1YdiceYNHcJC9F2Zd4BfK6xvjUaiCtFlE2kG46BZhWryVlo2PB8emXBeMdrjD -0/+XoISLiVBbCL52J7bV2QO3RGFEttsRugC0rdpR+cnjvvO+ur9qC1GjdidCVZ9ta+GII2dTq/Fo -08oEtxhS32iHU1va8gLChtZ16AInoCpvIlRVt4OH+u064YP+DMHFY5Hj+icRvAlC8OMMqlP5feOU -a5fx8Pq7UP3kkVsenUWochKJGENo8T+g/F7qV/kLPwfD/5XyO6v8z+dBqGpSlVDNiSonnF6sxaFF -qjYZftxX9UbwAdq2jeol398izEKvOhGU73RdcqKax3z5DVUe/0V8+vRDvoYo/VqPBJOOHWmMC059 -mitxyaO//E8sj/8CHjmmD+nLKaTPjxaqClC+Eyq7Ns9YgNo8syhhg4m/yS+yCQRtocJ/2w2P54gw -NgLMI+UzN72ALfR9EfSB64SAYpNTZf1lAXWH0I8DYadX25FWnNHPbI4saHOysQT4FejfaqFa6cQK -/m/Si7TmqsdOuvhuHDF/eNS1xZI2H5njXIcIVeaaYuFaoRowpizUjBGOKIcfZ3Fi0eUzCxscKX1y -yrOWI8AiNnKkOu0TJzmqtQSOiCWMnQ2F8nnfFm+Nm/knPzGXcCQfo7zjkezhyGsDPufFq8XOB23O -ET+Ci2xmSMeP4jNzP4K7OUekr+GouFglVAc0/yiOGLv66TnK00f4UfcNjfk2G8f/eR31vCYmaQw8 -esY64fWTsR67NiNU32Qzgx8pffLTK+VH3vg47QRH2I31j6A/QH27SKiyySKuqM1RqLKGOtZr3cSX -/MQWfsR6qrY811TupX+6RvNR/DgerV3T7EcaX/kRPxNCusUn41Zc92aPOvEj7EhzPFJaOMpcW8HR -xUKVdR5/kIjLvPH+h+sMR+yh4Ejrgvc7mp/wkLl2OFFlH4bPqc411wZ/0JibH6m/xVETpqqn+5F4 -k581jg5c9E32Go6A45HGcolQhceaM/2xVnPEXJKvqI6JUIUj+ZY5Uj/h6HADQH2lznPtkk/cqTG2 -eFRjhyPPq+IofmTf0quuncsPHLn8OY6Ayjz60d/MNcUdCzJ48FzUNWCv+SXzre0jG7fM7/qOmfR/ -Tdtw1OORyoUjxyOlZ64xdnHV5x+fR44QquHoWLvVJ8dOOFojVGtfc1i32+9W2zc4nFB/iS/Ea+zs -R8Qj9giTtb/t8afxaKG9JTDXuh+IA2K90ns8wo8QsIwdQTpyRPlwhJ05Oox59f+oHhOnYzqCFDE6 -nqr6MWBhIlQbH+6D+Pu9QlWvCB0LxSyeTBo2tt64tG/39akhF16TgdNLgoNF4PzC1SC8wdGm2E5C -eTmwRaqChicLJ46qzwKQOtUvL/RsmJXG3XqXV9+d5jqbCHR5iTbaIAh5E6XNAv2mbf94r/IOIrX6 -lT6OqEUQh/XPz6gf7ctAqhxC1Qt2BURObhGhOK3Aez8Wp/HkwjmgYoOjMTGoH+Gg9LboaDxwTBpf -IMWCAx8smPQzULl2p0ycMYHY8NTE8hidDpd102AYz29D9ZVTR04leawXIYgQQ3jyyOyXb+3xWR7z -BTxCi6DlVNPiUhMTf+yP5coeEdge9/3cvvRIGxDzqaAbUZhXxCK/zUp9Hzj5wqcVJDgNdVvijlNd -Tj6pk28l9mO76iuntPyvKl+6RF8ZA/XRr/b/p2pXbSC4GdNrBZL2/6ntJ2wQxmxW/ejMGTypUCUI -sgAxL+RHngfyW9+c8bwYTk7xNQQGc2fwNdLbjaP2uEv8eqnvi1DbXrAIwixabKBZlAiu/ix/Vht9 -E0gwJhCLfy/0/iw72TuoU99SO4BrLbR5UxvDc0K14JtQcCQ+HCvG2NE5arGIudTmVM01c6TrnHQ2 -PXBU/VlqbwIWJzgqTnKXmTupcGHuzJGu+YQj2diuuIQjFrVa7I4Cnxg5Uh3nhWrjyH4ER3BSN9/s -Rxo7C7XXBXHhBd03zhAY6jObbuUR+1hHfHJtP2JRWxGP4kfhCL9h7P4sjhb9qDixHxVHcHnOj0CN -eZNQVZkJR+LFa4zWJHOEXykvbcNX40nzjTx8ifWC+MT6II6Ye6l70tYS4JmNsvrscU/m2jGOlB6O -7Ef4m17N0UIbAf0BXve2C1X8zZu/7CHgQFyYn+oj7/tarvjjtU958HTzSfNS9mygvX6vXtNUt+rp -nNRc8xfg8Jkx0D5jgKOag88V2xEczY+KMziivsV2hOLiYqEqbl1WHBFTvKeCI8Uib1ThMPyxv2AP -Jf9JLHc63ImrxpHqBEttjcA/2SjDyeBHxBtzwRjKhx2P8JdslOHSfiTw2RwttDHC8Uh+dIlQhQPG -yx5Bcwg/cmzhejmvBIb3Zdqn4l+ql3iE/3lfyb6WmH1uHxiQr2vjm61wxCOXx+Yavz8LR55rcKJ0 -xocfIdbgdE08AoxTdWwVql6fmGvipN1A1FyrG6/kmSeh8dTW/75/Zb2zkBNHKrvVjyy4zMmcI6HP -teKIuTb4UeMIH1Q6/k6dx9qtPm0WqooF3jtbW7R9N30hnZvU0Q58b0D0Sn8CVJz6migeeY9PPCod -c7TNObjujtmMVcBvzBF+JTCG+MYxjvA3PpsjIVz8CqEakYo4tWgFQ56/9Zc1vY3t9wlVBoQTs/iy -2CqdhnFeLrC/WS4B0pOhLdIWXHJ6t51OFoH0ywuy8rwQATkE9fiElqCCM3AHR3ZumzpxEi9O2Kms -AlKbQO1LhnxCitNVnZQx2AS8qw2TFjQmG07niXouOFU6Y2PTdXNf/VU/riVePNFlg3N6QjPRq21/ -tXeNx0JV42EiNLs2Dsr7dFhtmDvSK9B6PGVrPlVnAnDnErBZYDFDaPEtbeIgXHJ9HITZRMbh/xAQ -Vwgr/ofT4vT7N59iAoSdT065PhqPvzhJIhBB6y8kEncIPx69/XTfHu9FLHKamS9kYlGK0Ju3zUKI -DeKz16nND/1AvPJqwckCpABmsaoAQB7f8ksZ24h/xkCdnJz6BFV9pVwEc/53tZVpYpwvbmr+97Bv -I9L/xwrVmy8sZuonGyKgMviOA6/8K3PjJTdvGI9457eJSWv+jf/KhxSQPdc4sfcCN2ye1yDj1Xja -BkZBFRCECZi6LhNfZj4RqGNTQdiPoI31LaHq8MZFi9sWodrKVfwihmh+M9/gy5sb5g5iS6/MJS9M -yp/PNYtU+ZHHsdTOEuib/MdCLBx57LVwPeBI1z4bn4EjbwqpB5uldoKtQjVw2+LIawEcySeIx3BE -fJEf+ZRHvm7/4oab/NWbuuLO8YwbavDkdYFFrcW/k6i+WYhNOBLXfVN4sDNHtajbNhyd2/AElb9V -qHosnmviCA4AHOm1r0G1SWY9sZ85trf1wH6UdQ2Osuk5198Rcz/yRro4Ij/18Rk/ss3AUfxoXu+I -1CG7TUK18vARr6eZa6yZ8MR8Ym1m3ZdPwZvXSq3xiUc+OXOZJvD75nne1hKqz+bIm8MaN1ica8VR -uNSrN4lwlPrmbQRVx0VCVX1EjIQjYkv2HXzmJpHnD3uumo9whf/AU8oQn3yayvxeamcJ9A+O8PnJ -XNP7+BH9r/E9iO1g9CNsltoJHI/kE1uEqvtY+0hulo0c4S9wpLUNEdHnIvGIWK7ynoOKZYlH2J/d -C45w+8Qj+UbEafyDebDgR45HcBiOFFtWzbVAdWwSqu6jyrCPHOea95iC5o6/CJW5Jvinn2qv2Xyp -xaO29isNjvhumIxpqc0gNvjdyJGhOsxRXeP4ErHZHMkGLhFrrN9rYna1d+mJqn9NhP9P1Rjhymms -cfINOMFvuh+ha+4O+yPHI3gjhtmPaHfFmgbom+faynjkuXYmHhWOC1X8XPVc+juqnJwiTCNO//Tv -qPrLlDTgNqlxeIkD3yE+gAvoC0Nd19rwlI2FIDZqfxIAgPrlyaG8XpfqYdIYuviU7YEYEUrQSZ3Y -ppycinzaABO7Au0QlCDPQqjqdL+ZSL1fC6j0VoZ2W99cL3Uw2ZJf4+ltMxZe6SMCQ+OhTTiy48Pv -EMhb/5VenPJ/AdRJ2dRpTqrNSf+U5pOvtBnABxvnjDPl/gAsrliU1BfEKieVnHK2U0dNUvIzwcUL -j8+ShxDltNWvwitNNN+RFzgB9eO14n88SZ2D00weCUas8rM1Da0+hGROZ+HIm2q9IhaxRzD2/0WV -De1Qp4UybduP2v+k+qRWfULQpt+8v2ZhUX+P9S9oHDyhUKU9+sscVjnfKCEQ45PMC/HmoMK8QITY -xw++0/xJadgrMOObfeFYA9oHvIcn8ZVT1ckCNNrBsfzcj5VhO254YrOEyr9UqPJK2Wv5ZluE4Eg8 -0k/8Ep6wlU3i3AjPcforns+2NyK2jBE/lg9545fFPDYBn82RbOGI2M51XMMRYA6OHKkdfONsucr3 -xjt+BE9wRD/FEX7pWEgcgw/iVcqqDUQ8/mQxT5/H+k+B8q5DkD/ENyZfrBQbwGeum8Z28KPBF2Jz -DJW/SaiC8hPzy3rguaZ5c2QN8tzyXOP1MPd8Wg1H5nWhnWPAdsZR3xQmP+Az6fgbtvYjfab8WOcS -UodsLxKquhaH9bKtb4d1s/mN10PiUbjp8agAR8SjjG0N0jfGyDUNR7w/wZHnZGy3xiPmy2ahqrkC -Rx57G3fjqDgrjryXUv+aLw3czGxO9nOO6rfj2GSuneBIYwxHPnlOPIpt6l6C49GFQnXgiNfRj7x3 -ih/xXtxN+Oj7VeUphvnLBJfaWkL6NuPI37R9gqNDPFJb8aPUeQ5c5wuEKmPuHDFW86TPjjHiBH4E -r3vlX5N4hB3wfrXGdg7j2Cmj/h44Ut/P+ZHXP/xoZpf656j8TUKVdPPT9IXHGuSztcgQj/CjMQ51 -uwb4bGOrvcI5pH9b4tEw1zpH41wrnBSqL1TH2RPVEqy8IkgtTLXvnH/TL68Rrbft6VDvlcStfei3 -CFXle+OuVzbFFgia0A0QNJBJAGDyk1bo76utgLKuawR11vuUb3Uc6ly0dbuH/P6+2uiByX1U27Gb -9ekkXGbeDznTJL/q5ZW2R1T6BPTrQRsH27G95OX9pNwAl3ebKpt2Z+P/k4gQo0/0D+GXPrb0wU6v -5GHDaSuY2tZYnZZ6l+H6UsZ1tvoO7T8s01BtCPM8ymVO9M8zuP66FvPyS0g/n1Sojqj+tv5Un5we -HPre+j34UdBtN2Ksg8B6apGe2y7ZzFFjpL+bheoAX1NfN7Udjh4gHIG6vrGvfiyXOweVH8e+aFOY -2J2xHXGpUJ3gwBF8TfJUV3xoMob67PSMcyy3FvadKn+qjvjYGts5iovNQhV0HosftysMfhF+Jgif -et/6GqS+Ddgy7rV2IzIWtbNJqBbamId548/hoDZp7k+lO28aj8CmPs+R8sZC/ogttkFxcZFQ5bqr -rQfx1/wc0kf7lj7kYU89K6/JIi72ozO2Iy4RqoHaesCR02Y8kJb89G2e7rxZ/Wuxpo7RxrzO8s9B -Y7nof1SPcNE4Uz35PIfLtfcut+WazpE6el0LNsFauxHl45uEaqB2pmOGK6HSJv2ptGZbdsVRt11q -Yw1S3ljIHzHazvPCxdpHfy1M1wjVTxKlJUgjVuf/o/pHfkeVO7qqo23wT2Ap/1yZpwLtrOnf7+rP -r8Jj+/8PGn/EWN6Prx1lg1iN/RwT+xVYqiNYsgcTmxN2x3Cu/jli/6RCNfXzfo6h7W4zTwtO5f0T -UGNi4XiMUJ3gknL/dJ6eQqiOtkvlSDtW36m8fwqqfxcJ1SDjHHHMbk3aPwkZjzZUlwjV1ThV11O2 -8ytQXFwmVJ8I/3SOwGOE6lPg38ARIGZfIlS3YqnefzpH9E+4SKiewpayj2nnKVFjPilUZXfZz9OA -CNQSp3xOHieqv/13VNcK1R07LsQp37JIBbKZY8l+LbbUt8bmFLaWjf0vEaoBaUvp/3bUuJ5UqP6N -eAqheg51LY7mLaX/k1B9fJRQBad4+Dcj4/rVQvXfjOLijwrVfwN2oboOv0uo/hsBF8KTC9V/I8LF -klDNz9PI7sl+R3UUqv4d1erDLlR37Pg78VuE6t8KxirsQvUMfodQ/bejuHi0UP1bUXNtF6onUFzs -QvUM/rRQ/bdgF6rHMcy1Xag2nBSqyv9rfkd1F6o7dvxe7EL1EWCswi5Uz2AXqudRXOxC9Qhqru1C -9QSKi12onsEuVNdhF6rHMcy1Xag2rP4f1WPidEzvQlXlIlZzijoRqv+Q/1HN45jHLn422v3bWx+k -Hz6brPq8ZDv/fNJ2ljc+Mjqm9/zKm+cvpU8eP6209H20+214bNuU21J2tM/7efn551PpS+WTvpS2 -lD7Hkl0+H0vP+3n+H0Su6y8TqnxOGnN0nj+3maRN5/RmjPXO6z+FtbZV/6OFatXT3i9wlPy16f80 -PFaoeozlC+fGO88fy16KU+3NscV2RJW7WKiO4/T7Sn9gM2Apb0zbgkvLri2X/j2FUE2ZpXLH8o7Z -X4otda21rT4+iVBNHFpq+1z6Ut5aPKbsWjyVUF3D0Tx//vkSrC2/1PaYfw5PIVTnfVjKm9sspW3F -vL4x7xTW2lb/Lhaqx+zm6fk8t11KewxO1bXU9vyzsFqovhkE6UmhqjLjo76jcM1p65/4HdUloeqA -cquF6ZWCil69wSGokK9Fy/n+xqxDGZdjQVN6vrk1dfKtqNTDT3wEpI1l862v1P0wvcHPRVd6xDSb -MTCWAbR/6EulV38O/aSs3rPIANL4SQH6OqDV8bCNXwH6FK4mfd8M+Grc5JvL/NXsC1w57QGO2Ffe -+G1otNPzed/rAAfb5boO6NcS2znfg12zbW33erF/0HbZOW+o6w/jlwtVOJH/tJ8PUP6YN9rAjWyC -xvuC7RZQHviaDOlLGK/V2nYZq8C1f5RQLY7881W0v5RfnHR+wpGxUGYt5n66ZBOMdseu5RIeK1QB -bTJmcySMedQ152T8/BR+NI59ySYYbbe0W1xcLFRBeDjGUbgpPPSlM2M7hQlHs7w5up2wlqOaa9g/ -Wqi67Rrzkh+TXtx0jo7ZboE5AivGPXK0lL+E4uJJhKr7yrhpf+hD0uFk5Ccc9TJlvxWuv8Z9rp7R -bsu1eSqhOs6pY+kFc5R5ee7an8MWjkbbpfxTUJlHC1W3XeMe049wNPWlC/ocjOM+x3fstrQ3zLWL -hGqNP2OejHtuO7OzLXZbfH4J7kPhMRyFi6NCFS3IHvLC31GNMI04/eO/oxqh6t9+a0KJ37Dkty8/ -3bXfoeT3LyGFE1QEHb97+VEb7LfvRYjsU5Y2KAducSC1i+Cijg+yZ1MOeE8aNhaNqjM2/B4lG6xn -zxoZfKYMv8fJb1dmkw8QIa/ft9+wdD+qjx6vSPNveKosv4NJWn7fE3va8m97qs7XHq/a13gP/fxy -9VGfP35paYzNdeQO+hOij0d9e1Ucfvxy38ZMu+IoNkvll8DvQfk3s+QbHfy2Flwx8bCrNq/5nTF+ -UwuUXX5rit/V8gaX66Rrye8pdrsAm5pMTGi3O9Yn3Pi92lYdXHPqxLGv5UNzW7/vv+clsUlgmtSp -92V3Qz/1nt8I829guc7YtXZvaJegT2DYwOGvQq7lkwlVNkSBroOv3R0/5C3ovcWY8/Fd8anP/h06 -fh/TOLxvvxvM3F0IjscAp4A+M0fVV4PfAON35EabQGPnd+b8A9iy9W+K5fqAeRtB5V8kVFO3fPQl -v4129+3qBp7gW5z4N3rFET94zm+r+YfBwxG/oWaeGlf+/UIWr9S51N6I2DGXwxFjh4MljvgMR4qR -4ci/cbiGI6CxXCRUq274yAIED/iL+9M5gsPKB+HJXDU/8sJ+rr0R1bbHOOPIv0c42lS9cGc/wxaw -7mScZXMUlb9JqFKGuaTXKUdC50jrIRwRg8kfeGl+pFfzJv+SHyZ2nu1vgB1+hG9k3HCktvntPOcH -zGM40hyZ+lG1eQqpQ21dJFRjZz+Ehxqv541ikcA61OOR/egwx3jtfjSv+xTSN+KRNnIHjgQ4ik0Q -jshPPFKfVs21yr9YqKZ+OGJdEwdwxZjZa7AG2I/wG+JR5lrikT9rfnZeq76ltkbEBj8Y5xrX1xwJ -sfGr+CFGhiPNme3xSH60VaimbmCOyo9Y15hr9qPiyD4mPkY/4r1/+xI/WtHeiGr3GXNt5MhzbWrT -6oUj/K1sAXFrTdwNGOMlQjV9KI78e+jviC2qg3VfedN4JA4X45HWNXhaauMYaJe5Fo5A96PKDzLX -OpczjmxzBJV/iVD1Wsgess+Zw7g9d8RNtzVP2nubJyEcxY/o61D3WaR/idkVY/weP0p+tyuOJvFo -xlHh8Y/+lmDltZ+aqhzvAcJ0fPSXtNs/eaLK4qqGLSwl2j7ff7v6/FViiU5UACQokP9JIur7zx9X -X+6/X71RcGWxoewbiY7779+vvnz7pvciT46LAOXzJ+qSc7zXZ8rf3d9bCCIo3Te19+1/P9TmNwvJ -XAjK3/9Pdare1+pr2wQ0x3urvt2pHHgj0WmxisBVP7Gl3Xv1k7Zp46VIRbS6LdLVD4QhQhUb0r5K -KFgk0k+l0fa9+sVnePylQlX9p5+0xZg+w6PGZQcvm6XyE8i2baDELZOR4M0ElY/caqLdyk9uxBti -FX5pk8+vtAgaClZ+/QK+Wwj6lFl1YkcdFof8SDt+RxqTWG1Ql4OI/IB2blUP9ghLyrSyiEptmmWL -v5JGe+4XfaVOBVDSLZKxU/vk3WozSJ1NnDbhmv7eEJwVYJgs9Mdt6/VG9cBD2nWgXOLtNyLX8kmF -al1LNjk3mtc3mpt+/frDAdYLlmz4DWSLNOd9PwRi+L//oTqVpjp8p3Gh74twvQRXBV4tIM8+ap7y -Kt9l0X6wYPGZIGzb9vpc8YIfSvfGZ17/iKqjjbU2hrSRvLn9DBZY4pdx3jJeeGDM4oBFnvn98rX8 -Vem3P34eOMLn5Ee34pX0a8WpF/LfPqaFtibAhrGxmLP4dI4EFqKRI17DEbbhEo7YvFDPuTYrRm4W -qgIcwYd5gZ/yC3jzBhoRprq9mH/7cfXq509zmYU/nLIubV7UGRt+kHHHP8IR/R848qYQbkaOmOdr -xlr5m4WqxGDniLGao4bGUfOj2MBN8yXmIvOtcXT7839+bZv2qnve3hLiRx73wY+es4GOqBs4eg5H -8Gi0Mt2Pxnrn8FgF2V12otp4It7YT35ovIrLjsOso+GIuSV/gT9vIHs8wo9kjx+J0+U2FlB9xo8s -qEb/0JyazjW9Vx/wL+fDj/Bca9iquVZcXCxU4UjXzBwRWzSXEKT0KXsy1kHmoH2IOdnjkdY58Xor -Xq/v5EevDvum5bZmYI4QwzzXml80P4IjjWG0pT9acxtH5UuZa+Sfa/MxQrX7kdY98WA/kl+0m4tt -w+w9QvhwPBJP8qEej/A79pW0udTOMej6W1DEfxKP+o0h2VQfzRH+Vjb2JX3uHK0BMVtlLzlRbX6k -ta18BeHJTdfn3hM3jog9t9/EDxzW3sDxCF6JR+ZVZRbqPwrmiONRceTXgSNsMg64YK719Q+O4kdn -/IE8YbNQlZ+z1+SmtGNM3VT0uDVvzIN854X2jolHpLV9QdmKS8cj/Kgfoiy0dQzhiLkW/wDsXXji -YxwDXGRO2pZ4FI4GW2G1ULUwXSlU8zuqS0KVx4L/6O+oVlC80YVHqHK66JPKuiCIQzp2o8581IX+ -LvH2QxtsRB9CjyA0ClVOK8Gd3n/98d2ikg33S4F6P0uo3iudk0w+f1I91AkQq6/UDm1bqP6cClX6 -80YTEjFH+tfvKqP6qIcxcAfptYIt/fiOCJAA/YhQVl2I0PQdocqJKgIXAW2hqvqo26IWzlTvV7VP -Px20foFQnUJBWW1zWv3pazv1ZVNo0cLYFssUlI8tos3iT4u+gw532VUnE+yV/IQ8n1jSFvYsAEoH -EX7+rMlrUcgkF7eUtXB1IGv9vBbPsY2jEmBv2NTTDgu+2iAAWNSSlg2s0i02FRzpq+tFSKkt16kg -kZNQi1rSXL4FCgJz67uCiF6pz3VWO4hUxoy9xas2A+4jbc+5+43ItXysUCVAveBOm/y9CwcWZTZ3 -+LB4ZtPjRVtcsLC3u4UKvkpvp2Qt+JF+Ix4jzizCZv0+CvgcgzALlO8I1qIdkYGt+um7iQRf0hHa -nHrUouXNIddx3kbAHCg/3ypUvQhZOMg3GL/4ecENF3wFjsSHT3cENsyNR6V1jpQOnyzysveCVf2Z -t/UAfTGvxac4YjH3xjgcYcdrOAqXw2bJHGG31E5woVDtN7m8oGvTIo68vjDu8iNfH0SG5hK+Mtng -UJ55WpvqtulhUVsRN6k3HGms+IX9Ay7Y2MBRfEMc2Y/sX8VlOMIPzVHZHkNxsUmoCp5D9qPGEfx4 -gygO4IP4w2aK+l/kpsfAEdfB9tpUT+baGj/SOuuTC8VV+wIbNfzD/iJ0ISaYI/wLjpQOl+VvjSN9 -xo+OtUs6IP6qnq1C1fON+CyeXim22U/wKXyiBAb8eDOo2AMnre+ki2NuTOJHFmEqs6JNg+ve54sw -4UhjH8Wq+tj8iLkGR7KFI+y6WC3bJRQXFwlVl2scNZH6P8V2cSQu2njbvDEXrHHEI2KWyrAmYENa -8y1xJC7Tn8X2RjCP5HdtnGrLHAnFUROrbdycQh84ko38xrF9wtFCGyMeI1S1zntN1/4VH8KXHJvo -L/m1J+S0KzyS/5y5Bkfyo1f2o+I19Y7tzOF2BeYa43Q8qrEzp0iDI8cjQX1pHAmJR+ZIn9fGI6D6 -LhGq7YBg4EhivY1XddRcs53WrCZkBw7dpuba91r72SNhv6ZtlW3xSGOccNQ+T+IRcxA7x3LZJWZX -PDrrR9WnTUIVe/yn1jDWpj6+isO+uaGx42O+KZR4pDK58ec4zlzDjzw/aXfFmgY81+CojZOnPMxR -uGBO2Y9kuzDXxpjtz4nZjO2UUFV9j/p5GoRpTlHz6C+i1T9PUz4lDv+cUJVoQ5jxP6qcUCLOIpKa -UP1qsfdTdVhYauPHyR9lEKp3EoUILD4jUoFFJHUJPpXlBPN/312XhWp9RkAiLj8puCDWEMyUv2MC -yulf6iJZ6ErMIlQRmDwqy+kjdSBQEZm8IjKp66fAaS0i9JtEJ20ARCt9YVy09U3tIG7zqDD1IL7p -A6+rAs0j4UfrxBEnzbS7VajacXRNfaJYztPqlHOpnhttvH3Siq8wHgG/yQmlBZ3KMbH9SDBQHYjM -Vwh7vbo/3KXjWiqvCchyVPWBhdQnmQSG4eaC69B1I4863Fe1g1BFAFucqa/Ug/hEMMenEbGtb6Th -s2qHvivdfS0/dp2MQ2URrwSpiaClHfWv88Xrb0au5aOF6p0W4lvly45Fmg2hA67GmrYs+jV3CMZt -I9jEKsHa15F5/+ytufDmm02P6vAjLkOfF6EyflWdXpQ+qQwBUn13HgtU0gm6LFQEbH32ZijBXnPa -Gx+n63qzYHEt5+0B6hUmIqyCcu/PHGz28BWN/8ZcSExoHpAHxxENcGSxqrpZiNrJP33kOihQ69Wn -GAhV+MQPafNYu6Dy/EjYnCM2sixMpGfBwi4LE1zAIXbwMXDkx6WG+h9AZSYciXc4OGqv9M4RGxnG -p3mVL5bjPWk3335avNpe9VvAsjHU3MIOf2Lh8ilr50jXuGLAcahv4jscmQsvyCrndKXBCRsTOCk/ -eq6NoTc8jIvxjX6Ef1H3iTHzukqoYsuYzVE7IQxHsSEO+bRC/uXTG/quucGGsJ9w4E/iAv9qd+tr -40Tfx/bmcF/haPAj1qlc04E7bxaZk3DExhkuiHnYYc9GCI7Y+MBlr38G0lyG679BqFaeBRY3fCwe -xEttns0z3BQ/bAIdj9Q3zzPikcp7rhGP7uVzmWtjO3M4X3WMXOBH8EYe/lB+1DhirsnOc01p5NdN -XafP/WgJ1CtcJFQFCynGCUfyEY+XNa4LKnFEPBI/rIt9DrP+Kh+fsrjVXq7PNTC0MQFl9WpxAReM -MRw9F8xd48jp5khpcGk/qvZHP4LrVfFIfrRFqFae54o48pwLR/IjiwXbtTHDUYQ79sRr6uC9b5KI -Y8+1lEk7x4CYYP6Eo3DvOVgc1Vzz0y/mSO91vWxnjhp3ba6lv1X/EojZ4nO1UHW+ysiW9RuOiL2L -QlVoNzfaPgG/I4abO/ZR+kwdnddToD7GZ3/R2JlTE46UDneZa3wuP/Jcw4+YH2rfwkzjbXNN6Uvt -gYxBZbeeqDIm5odvVMjW+2HlWahqz3X7ve2P2Bt6nmGnck2zvPW881zDj7qYP+ND1SfPtZGLymvp -GrfGcYhHlYbeiB/BEaI2fpT1jjm1JFQnP0/DaWqJ0pycju/H01WLU5WJUOVkNUI1eZyo+suUanzw -ovX+9wtVkReheotzOb3lUQ/5iEtOH3n8F+GHCOTktD1q+92PDEeocuL5ZSZUqcOP1co2/5eaz9RH -vZy2Uh9AqCKG+d9ZC1CJna8ai09pcUKBPtMObXPy2sXv0E9EtfsL9H4uVNMmJ8oIU8TijRyX/9H1 -489qZ+T0VwCeEWtcLwtk8UgwcXpdg6NwvvhQXyM62yOv+IX6Dld6T1Dj/4I9HqD6vdCqTURsBK7t -lZdHb19JjHahSvATLFTVTi+jPoxCldNd1y+euVPlx3TFrRdcwUKVNE5P1TfqttBWUHilerNg8xqh -ShnackApIWrxySYjotTtNP+gT3PxfuDr9yPX8kmEqhZ/50tgcdfYm2eNrz0B0TbENzyhwEZZ/Dno -Mae5fviKPrMR9UZI3LDQXXPnPhydQvgj4CrYeqHW+56H0PAiJB8gwCoYTwIu18b90DhdB0E7wVx5 -aWcE9Qr2y7VCVW3AJ+P3RkcbFhat+LA3OMTC2iTaZyov7dkO32Kx8qNnqgOOkr/ULqi8LtD7+JTe -F+rKgxN4CEeMi+vjaykMHHmDNNT/ACxiI0eyz1xYtFc642sc1WLMxlfjhidO/Sw4lPdSczWxwUIV -36rTU8ce1fFKC38TJcURXC6126G+jeOLH1FObU38hrzRryxoq55eh9LPcVTpm4Rq50h+BEfEJ6XD -kWOUH6ETH4p/7hebKjgSH3Dk2CUu8bnGF3NYY+faLLUbuK9Tjiygql+dI40Dbmw3+tV47cc6Mubk -jeh1XyhU1T9uePlR+xJiD4QqtvHv8im/MieZaxL+PvmJH83bGuF88aA+TsZHOqBucyS/CUd8xvb/ -7J0rmNRM10VHozFj0Gg8Go1G49FoNHo8Gj0ajUejX/3p//n3OqmTqU7SnaQ7KXqmt1hPLnVS15Oq -7FQubBNe8jLuj4h7ghL3uUIV8UIdxYyh/KmvI/yKcOLJZUK8nN/07YgOztf+XCv1WqdRU+Lr64gL -aGZpMl7VweG5pm2ESPiVylX7EXEgMLA95UdAfi8Qqq/xI4RY1FFXXvZ3dl2ZiTNnvbrZwu48C4HB -uEbfXh+T6Rwjy0cd5XHDOip+1PlVqaO6XH09z5xrieI+S6gqf4xpPCWVNz26OlL+6EOxE2yHz6g+ -CO/7I+oK5I8xTkylVUN82NXlq29WUEf4jcLihhp2dZ3RN1KXWoYvhh+VuhymlWQZ6FPXCFUgnPQS -5Z2bifgLfVMIdJW9D8eefkjliO/o4Ec8Jkx/FH6kvM75UMnT07lW6qjk9y79KP1GZc86i5lo6pe8 -EEeK/PSjUp55ofplpVBVnlKY5iO/rNeP/r6/SqGqRCkwmVI8ITIff4WoRJwiBhGjnSBkNrR7JxSB -FeIxharESaQheHcVQYlgRKgiChGiMXP6+3eEPSqvxAWkFXHKjhnU37qYf5Q9ZYo4JX55VJhjfuuC -4IucDqHMo711PlkSdzx2rP2sp1Al7pz9ZXYWW+qAWeVo8FL+vcm6ZlZ3tVCFYhMXVPKLEKvcuf9c -BKscq4vn6STLx5lDqMqPUsx1v+xRmI45EKrqKGK/YGa2Pob044JebRCPEJM2HUIKZ+1Ph+4EmPZj -Fx/akMPLlvdT431W5TkuXMib8p7vs1Ie4gkhyjsYWS+cuKTNrDF25LUcfyBUqQfsl9TnDmRbbi1U -Y3DShXLcOWTAUTlp025wVwer+o/64G68zhvqgeMY3EKkyi5Equo1HnmZq58Mp4ONO4aqVwYO0qDT -B+U7BqsyoDNIhS0XQSp/Z6uy0pnnwEYcKtMoPSBNQTnOFaq8f0Kfia/Eh5PkG92d+DIIvVV8DBA6 -LgetOB5f5d0V6lh1xnkwmV5NyVN/95SBhkGIcgN355X/CKOO6HOjjthWPuh/aAtsqaMSB/VVxz8i -zoWqjmQ/L1Spo+JHRVTFxYvSj0G9+BEXjFxgxAUD5772haBQ/QJ24Us8Nk3ep9IbobxlHanPi/LV -/sGAjt8UPzrwq/S1vo4627Aj7hNlZnmuUKWMeZee84317rE61YXqKD4URJ1SR3+7Ooq6UT8U55jK -HDeUhmlNkWVAOKg9qacoX/hGV/a8EHw610p9Hpxrss06qi8Mp+qolHm1UK3BTml2j/HWN3i68SPC -Sx2wn3oLqLPynljMWlPGuTRLeHeulbogv1luwG/6C8OBX1FHnCPUZdRRd651/dEgrYQ0xblCNcZi -jtd5TpnjEVXKPByjil3GG+dkiBLVEf1Z9NnKexX3JCW+p/Kp7OSXY+Gg/1Ee6J96v5IddVj8rX96 -AVv6qSr+EZFntfHaR38LUU+MV6W/ob8O0cn+QojSIlRDfNAfyZ76xD78CIE0Ef8k1BHl07gU50mU -XXnWsvObUkeEUWfUUdZl+hvXqFFH1KW2ifdUmXXsee+odnVJnfCoc9SB+pkQVIxjB3Wk+mBGVWKV -myOdYFXeoz8qtpNpVGDDuZJ1RPm44cE5FGVXXDmeM95xTtZ+VfVH3SwiYVUdTZFl0LEXCVWVMzST -yo6oj76avNQ29Hlqu6f+SNeTOs+ouze6Lo28T6VTU/IU51rUkeqCNk3/oKzpN9EfyY46q869sBV9 -HeGLKXY5p049+iubs/6jWj/+Gx9WEgdC9RreUVVlzQnVHwhVCTpmJD/IwVOQdo/tnilUJQwRiTHD -Ksfm2D+6aCdOZj8zzm/KF/uZUWXmk/djsQ8Bq7R4vJdHgUOo6hjeL0XwIfywIx+kQf55RHlKqCJS -Iy8qZ/f4MxWvhqnqcy+yri8SqoW4qOICkwFNJ1u8XxoXCQqvOqTonLQ8EKp0sBkmZ1wtVJUW77SG -ONWxEHfvZJMXzAdClY5Ax+QjyLz7+nSRKx9Xh9sJVcWpZYre7s4W5emItIdCVZ1OL1R13IsVqgw+ -iCjqWYQIpW9Q5xoXzyp71Edpez4aFO+rRkeMwDjvwjA62BxoGDjYVvtFp9x3xN12DFZ0xlw8Y0sn -DnTEObCxX2UapQekKSjHaqHKDQ7uJDPgUDfyCcQU6wzW8dj0QxGqxIVPkRbH8rgng7rAPu6+TqU1 -pOQpLp5jEFK5sy7qsveDk9apo9guYdRH1CV2XRyxr4p/RJwLVR3JfrFQLbOhlJNydzM+Xfljxl7+ -MhaqOoZzEvAj2eJHkeZUeiNkR51QbupJ5U5fCh/hwpD9pe5GfpX+hm36ItvEfaLMLM8WquVcCV/S -Ms41hCp+hI/ouKhTzq0UqiEsuosj+tV8BG2WLEMvVEu51Z/GbNaBoChh4S+qT841tqkbrhGyjhTP -yToqZT5bqNLPMG5y/oSfHBGq2MomhD43NlW/UWe6iHwSGPiu7Idp1JS4eqGqsqcPjfsj9pc6wzb7 -I2yKfT7eGfuHaSWlLs4WqqqjuLYofnJUqMY65efJDtnKv0LI40ucZ/1YOUPWUfhAda6Vcof4pI4I -w4/Sr6iH0hfhc3VdRj2V66iD/NZEfyQ/Okeo6tiujnRs6W+WClWui6mj8COudcOPqrhPQVnxFdVR -rEfZlaaI9w2zjqq6CKGKX/X9Uakjzte5OgL6bKW3WqhipzrCN7qnflQH6sPnhGqKVcRG1x8V26k0 -arChLksdRfmyjkrZ+/4ot9OvyrnW9UfYizhftT/raIosw9lCtbsOiH5a507MsnMNyVhOHWU9yT7G -TvlLTIrQH3E9qb47buSnHw3TGFLiijLGuabj6H+pC/IN6TfRH2k/5yN1wTbh+EBfdwJfXCRUZafz -q/s9jYRq/XsaZlgPZlnFp4l3VGNbcYRYLdv5jipClfpSPVyxUJXI1AU1gi++CKtG/YxQlLi7SKhq -m3SJj4v4FJTxNeDf3YwqcXbvmvLRpd8h5oBw4otHd2XDY8EP2kecCNq8SOMRXtIg/z9/6WKsnBgp -VB///rn/qvgQEOSZfHDc4jvfF5J1fbZQTbAVcWKqzCEIuQjVickFwUGHHUvZyUc2EapKL8Sx9oU4 -VmdJHmIwzXRL3rp4OwEZv7kpdv0FbuZNceRjyZ3oVduIXjBgF4OhhSp3/DoR1i0ZpBm4eqHKYFTq -irpgwA876ofOuH+0ZTr/PRlOR5oDDZ0r/QZ9EedW3xFrOztcOmMGK23HoE5HzmDFfmyJQ2UapQek -KWIgOVuoamBW3fA4K+dFiFDKPhKqne93A1u5+4oAoQ6L/0+mV1PydChUFT91xD6IgboM4FlHcRGk -deqGeqIuqwE9Broq/hHRvlUdyT7OqWP22o/9gVBFxONL1JPqK+pA9VcL1ai3vLjW+QvxqCsXATpX -4/wkzWPp9ihvlD0GdJUvLvhKudnPRQ/7ix8d+FXtb9RX+iJ2xH2izCzPF6rdRUueZ5x300JVfRHn -JjdIEGLUK30XfoSPDdOaIsswEqods0I1/E3h+E3WkeI5WUelzGcL1WKHD4RPxCObA6GadloO+6MQ -HfRH9FvhRzN1VeKJOgkfKH1J+gdl7f1G61cgVJ+O17E6X04LVRHpdOdi+BP9WJ7Xte0xik30K/W5 -xrmT5w91RFicW1lHqgf6H+qG9sO21OXuQhUbjledcC7luXdSqHJulv6oG9cQrkWU5DFTadVQF/iK -6ijWKTtpsuR6NuuIstR+RZ3V/RF1xPk6V0dAn6301s+oCupAaXCORR2o3FNCFf+KPl7Lrj/q+q9+ -XJuKewjx4Xd1+bKOStn7/mhKqLKdcFycr91xk+lBlkHnwFlCNcZy+UMZxyg7cfX1U9lzTfX6neJX -/QXlGiDEau9HC/ujKJ/KnUKVulG5R35DXXA+Uhf1+Id91J3AF1cLVYnSVf9RrYRq/Shwhn8o5S7X -R9ctVCUU+fovzs2xISwlrOJRWwnEeEf1DKH6DSdQ4bEjrfoxY+Ikbzzei1hl/aPKhagjv7//Uxxl -P0KVx4k5FqFKw3K3CBEcAjiE7pNQrd9RJT/v5IjkI//5GZ1qVZ97kXV9iVDt8l3yXI6JCyy1dcxE -qo47p3py+DiJVZe96NT6RUJVF7MIQy7I6HzjpM7893l6EqoIWjrZrpxjW+Im7/ExJTpV5SE6VrVP -xFXKciBUq0E8vk7MgJX5rOJuTbblZkJVttwZjHdUEapqE9qM+ol3C/MdVZWdtuA8iHaN/HCuaclF -Im1WxG68nzjI94isP52ncfFMh6v12Ee9K2/RER97R5VBAlvyRBx05sTB4EN7Zjo1pCko22qhWgRG -vKMa/V6Jh4sZDdr1O6p5Z5l3o2KA6u++Kl/UXV9/M5Q89YOV6MrHfsXFuaT893VCPWQdUa6sI6ji -CMFRxT+CQayuI9nnuTBpT1l7EVZmvjjPOEbhWQ+ETb6jWnyL/Qzk4YvEkV8ina0vHRt+pLIBfhRp -C+pI5QgfK3XU+xUDMn5W8vkUR+dvse9EmVkuE6r4Q5nNKn7EecW5xj7Sj/5T506ca/hKEfOdSNM+ -LblQpJ7jQqf0XYuIvB7WUdQD5SaeqKPOb6JOsKv9ijxyPMthHH38A9gHin93odrHp/zhu9Qb48rf -zhf7L9rW8Q+JcNUD58mwfNQT5xJ1NHxHlfOJbcKpS/nT9Pk6Qck7+d1NqKaNyvBaYxr9M/1RvH5A -e9bj5RzFLm6OUUdc/Eqwd77Y1VFcFBMW55q2uXhW28fFdNRRIeuZejrlRxD90f5Ctb8pS39EHrNP -Y1zjmDVjP+VTuaOOSKuuoxAUCit+dPCOatYP9lUdnTzXErXnrkI1rgcI13imOiI8fOic/ogy4kdR -RyofAirLnnXEOYhQpR6oI+qCOqPPpi7zXGM/fXbW0RRZBsW9Vqhy7nQitcyMxliuY2Ms/3J4fMbH -N0+Kj/Z9GP1R6cf7/usYJc6+fOEDJU7VdXxNu/YblT3rLGals8/Gtj9fix8RN+fE7KO/YtXvaZRO -zqCGOGWp7Vq0vi8zquRB9fpvhKoqFaGHuHunxomLWjUIYcQzFKr571LCY1ZSQjGEqioU8djNUpKO -GgA7weO0vD8acSjfB0JVF+5dnF9Uyd8jH/k4bi1U+eLve+WVL55SYcQfH16aEKrkg7yT9lvFPRSq -kXc5cQpVfkuTeSUvPF787kTdbkncGFC6lO0soSqnxmFipgixIUeKsqh9OdkQe/HlXQQkHQpxxoAq -P9JxB0KVNDmRlX48yquOPmcpM848BhEb/qdjQiwienRCh1AlX8QzzD9Ornz2QlXHZby9bVlGOgw4 -CFWVK3ySMlFetWH8my/j1GDOV4h7oar95KObUU2R/hR3a7It95hRjRkv6od6FHSo+XEFBuyu81O8 -5IXyh133+GG888QAp/NrlVDlHGIwp8PNgQOfYuCLjrgIVTri+iKIvCh97A+EKh2xyjRKD4hb4IOr -haoEFuWLOor+iC+MyocRqhq84h1V1VHUPfnSsQxoUSc6pvvyqPZP+fIxil1/4Uv5so7UttRBJ8JK -nVCXfR3JLuqoxEMdsZ94iKOKfwSDWF1Hso9z4Zi99odQLXVEfSBUOceopxRhIVTlJ/TNxJePmcWF -IfEoXXyxu2lSbgiQJnU2TPMA5S3Lx4XPqI6oE8FFDuc6dZR+hZ/hb9hTzxxfX/ScKDPL1UK1+ESU -rx4r5B/4VtQH/RnHYd/XUREWxbdWEXnVsXGudXUUfkQYZaeO8sKQOqIudV70fkXbU5fYU0fsx/ZU -HbEP6GMV13qhqnJSVs49xhXVQXfxrPQ59/AJhVEnvW9GXao/Uprhi/G11v/FjZLZNCNc9ZDlo44o -H/tLHcVXyFOoko/wK9myXdXR6MJwmFZC3OJcodqdX6qH8JMUqqojrr0Io476NIj/1/07bHQudhfL -hMlmIu5JsNeyryPIOjo410qd5KwzdUl/lHXERXwlUvYWql0d6dj0o6gjHU/9lHpCdPU3zriZVuIO -ocpXcHW+PgnVBXWmPHblU/nrOkJg4EeUu5xrvVCljihXtn0t5ObqCFT/lz36y3na1UGca/gRdVfq -iThDzGuMjzpSe0b4VJynID18AT+ifPKXEGGUG7KO2I/oUrqH55psqEst41wr9Rx9+1R6QJpilVAt -+WQc6kRq91RUCGT6GepEdjHRQXlKX5QTH9QNdnGN9aB6i6/+5rk3U28lT/25Fj7wVEfxMaXoj7Sf -a5hT/RF9ee1HxK04Fr+juliocr6TntZDqLIuUqheyzuqCCTE4Vd15nz1NipUDUIY8aRQ5Uu6/EIG -4ReNqXAEZ4i9gVAFvsKbDUS8MaOqi/JaqP7+PwlViTMez+1OniJUmVH9+yRU/1RCNTop2RLnL+II -oco7qhKq2mbWdkqodo8OH86oYvtL6SBacV5Eaid4VR7iUKNkPewG8auOEN7fH1cKVYVje/gfVdVl -tKHCtB5CFcFJGTkRSnpxMnMxqjZF0MWFvOKMAYA4ufgModpdbGWccQwDLL5Q/I/OMf6jKvv4jyqd -0vCkJl3Fg6jsPpCUecWXKlvstMx0urzphNU+4ORAPIcgpjxc4KjOQqjKV8hLDGDMsqrs1A37+vj/ -AdmWmwhVBmtdNEQ90D5xp7170oH6fUO5dVHDXdSYIaM+VJevaX/Ob9JQnceMKm3GAFfE7lTeDyht -Ex9EUP6iE6WDJU8MQHk3nv2cqwxeDFjsUxv1AzeDBoMVFwTqqLvBSnHUaSWkKSjfYqEa/oR/q5/k -brLKF3dUs47k05T5nfoU+lKOif5HbRCiRBc51OGq/xQOiN/TUEcM3NQRaXNeZB3BqI5kx8CGHfac -k2UQ427sVDo9Oj8P6khx9+f7lD376QN4fJUBHR9Q2ckP8cSNEOoIP5JvRH/Cec7dewS+zkt8iHqm -znqhGuJeceNjU+nW5GOGym/MJssvYn/Uh9KkTvAZys4++VW3r6qjUsfhR3menyqzlsuEakHpdBc9 -nR/hO6QbdZ1+pPqLsZh+VXmIO/GljujjFtXFMfCPLCN+RF2EH5XzJ88r2oJ9pd7Cj/L4vBiKOir7 -p6jLrPZYLVTjPSYtERilDqgb+p3s5/EhZjqib6JuFG+MOdQb/T2zsFwYph9NplPIcMaRUsb6XOvO -K+qj1BF1oXaKfYxT2e9M1fEwraTUxdlClWur4ifxv8+sI41Z3TihOow0qBOdWxovDkRXSX8q7kmK -LTOEvR8gJKgjwuv+qK6jcq7FrBl2ea6xnyV1dCov0R/Jj84VqlFHEie9H/HF7VLPPN5I/ag+eP8y -zjWJNf7JfiBuOYY6iziX9EfUkcoXZSSusl/nQNQP5w/loC7YxziXdYS/UXfUcamjk36URD9yhlAF -6lh11M+o6nog/Ij+Jvyoq6PouxROvZBed609Ed8pMl99n511VMo+rKPwo66OYl/60UGfP1NH0dbd -ubZYqJa+BH3U+UAnMrv+R+H0P+p7GPfwJ+i2u/4gzkHiyD6sPG3UnXsL6m3gB92Me9mf9XHQH7FP -tulHxFEd39dRKfNJoapjX95/VOWsdAY8lotIjfc/6RS1HTOcahRgNpR3O/nI0Q+EiBq6OxG+3X9R -hvNDR4jKEKCKB/GKAOUxXeBjR8xcwmcJFUQsQrT7ZYyEqpyC/HBhFY8Taz/vjn5RQ/E48V+lwbGU -iZMTW2ZBEan8C5X4EbjMwv6V+B0K1fwPbCd2deKqjN9VVvYhYCn3J3XeIbSJU/upk4hjiXOeQVz4 -0ehywg/KD3kgfwjlXrTgGBPH9qTjcGefE1MDX1wEcPKJeE9V+z8o7hSVvcNzYYBoVJt+0IkYs5F0 -OhGnRJX8pPs4Eu+tKU5O8HJMpKV2IY24YFPcpM3MLe/EdoOS4qnyifjiwjA+kESazJTii8r/ga2I -C2XEd+StE8vx3oDKyYvu3YxsJ0DpaMhLF2f3/gX2COF4L0w2iwfInci2vFSovnvk4k5lCZvuAprO -lIEoPgCgtop1HiVTGHFGJ6+6iA+VyDb2q4Phq5EhULjTqv0McFN5HxH1qDxQrwipMhCF8GSgosNl -MMGXsNeyG8Sw6zreuFsYg5rsKM+ED/SQngg/WypUgWMUL+WNO8rUC/7BzQutx0cS5B+RT/qzGJxU -V4j3//1fiJJ+lvlUOqdgwKG8lJUldZQDGHWU5WaZA5bKGHbVcf0Adoq4MKzqSPFR/qV1RH1EvaiO -YpAPPyr+gi129CeqF37Az4dd2I6xiHNPfsRx/Ve5l9QZA3hcuBR/wC8oN4xw5Z0AAP/0SURBVH6C -vwz8qL9ghKwj6jLqCJ+YLy/LRUI1qeoobgxRR5xn6UdxTil9ykIfV+qIf13GY/na5imQxXVSk/ac -a6Ws/bmG0FI9vKJfp27iV11dHcUs4rCOdFxXR1W8QzKPyu85QhX/6/sW9Tfxv0/5BTdA4kJP4zwX -N905qLqMMUA+xD7GFXxOdRdPOVDmBWl2qNyUjbLiNyxLHVEPB+da70fUZbGlTqkvrZ+8cIZSF+cK -VfIRdaQ+nxs7cS5RZo1Z3FCMtsYuL5Z1ToUNN4Gow5L+KN5ZujqK8h7UEXUxqCOWyktXR9QLdmzL -Dl+fqyOI/milUI2w7iZQV0fduBXnkuqIbeKh7mnHuL4p51qMedqO8Z/rmfJVcupwcZ1Rbuoo+5/s -j1jHPygDNsSFH8X4J/pzEttBHc2lq3hWCdUIp45UD6WO4skXxiwt33KznjqiDom71BE+RH91MKbN -pTUk7RmPhn6k9eiP6jpSHXRhpY7Sj7LPxtej3zxCyeNZQlX9cjeO/y/6o6gTlZ3XWOJReupKdZPX -lPhM+Iu2n85P2XFuMhO9qj8S9bmWZS9+1NcRduFHCsuxvz/XZDv0I+piSqhe9B9VrlG0hCnhei2/ -p+HiGZHJo7mITD6S1D0eSzjvokrUqQF/qcP4qgYLUcmFnY5lJhIRy4wrX9h9p7jY9/DrV8SHgATW -+eASs6MI33cqII8RIyzZ96bEyQUB2+yPDzSpwZj5JA1mczkuGkO28d6r4iUMccdMZMRZxB51gB1C -Nd6d1X5mczmOR3sfJF7ZF/t1HO/KUn6EaghqxRdx7CxUP+jEo8ykDYh49qe4mTq2h3BdoNBpxYUU -4gwnosPGR+joQeWl0+rsu2MRmTHrSJ1woupkjgu3clGFKGUWFkFIWMTJ7EvEyQnexRmCl7S5wFdc -zGqGX5JelU/KhFgmPB7pxZcUZ9wlTbtCpF3nTWlG+gxCHCt68SyfiMeOS5ysdyJVcSu8L3Mp978g -23ITocpMCecf6JiYEdMFdFzkAQO26i4uCso5Fe9q9BfZ6jeoH+pV++hweMwu/GMi7yP6upS9ytN3 -qjkYMZBwJ7q3U5kUd4hVLgDyYpsOeaLtR5R4YtBNEUannGFD+yTTz/Ij6Bl4qAOVO37voLC4uOQc -F10aqk/qEfGeHfGpdI5RjulmVlXWvo7KAER9R9qCJXVUXeyEfdSR7FLoDNOoiQvDqo5U37T9yeMy -7agjnV/UEWWnnhBgqreww4841zj3FcaFT/gO5yHnPMdpGbOpmeZcfititoeyUvbwD4G/UEfYZHzy -q86PqjrSeifkF6RbwtYK1bqO4iJYddT/SkXnHzYxTtAX0v9Qh9SRbEKA1P3QVBrHyGMQoSHoS5mL -H4W4yDoijyw596ij+pzkonBJHWXYuUJV9UnfE30LQpSLPM43fEn1wvkUfkQ9Fl8LH6LOsj/Skj69 -F/cT6YwIu1JHeXGYZSf/xEX9ZHz4Ubm4Dn/DNs+1Pr4jECbOFaoxg8N4xvUMfhR9EudPqaO8pou+ -u7MNG9VXjJUl/WG8s3CMfLh/0mNUR5VvUA76I+WlqyMtqSfV0WIBpjjOFqoqZ3yArPhI12/Ll6gj -rlO49lB8XOtEGOeabOMGUumP8KPwtyX9woB+9pn6Cf8QnFNZR+FLWs8+G7ugqqNMcy5d+mwdu1ao -co7EOB51pPJHHXXjVifMqCPFS5+t8PyYEudePH2xJG9D6mNG/ZH8Nv2I8HIu3L2vz7VST/RH9Im0 -TcY9RUlvlVCNcRzf4PyiTvCb7poHkZr/Ro1HgrlG/KB4GfO5PqJ+ZBP1ih/pvAw/4iZ+tv1UmkPC -rpxr1BE+lDc0yD824UPFnuuo9KOoI9lzE6Q+1wrzQvWL0j1TqEIKVZZXIVRJVHZ0JswwIjARTMyK -5uOxZIzZVWYvCedx2zdVg2HHfkQqF+E4yRvFhxBELCbMvLLvbRkIuKCKOJUW4jMv0hlQIi9q0A9h -r8GSNBQ/9gjaSJt8KT3S/YRIkg22PD5Lft7F7FCXT/KLOGU/ccQMZkn7s/YhhGO9zy/bXdpRB3uh -vFFuHmcmD4d1Xxx0CaU+osOjY1J99HAHSXURIiTtdEy858lFlcLDhnZVncRAWLVvXPgO4xS9HTaq -zzy+hzYlzcwjyD5menu7Lt24iONCorebylu3HttqY47nuEDly7jYT/76eImvlOVfsrlQpUyFaCPq -Rp0tg3vcMa3bGxQ3j7HGIF9DR83gWNf/UoiXJec9cdABc36n/2R4wgU0foMd9hxXztEDuyElrijn -GqGaYKO0426qBu0YxLU+PCcC6gkfxYfSpo7rXA7qSAzTznX2Zx1B1FGxmSMuDKs60vGzQhWqtKOO -8AvEVfiR9nNDRHYhMKiX8B0t1Xd2tvhRucjCj+bSGxL2yueojibsSj7jsVbsej8qYbX9FMVmlVCF -Km3qJS6iRe8jWUfpO1FH1Iugv8y+6FyybJxfU340tI86KnZr6ijLSR+sc2y1UKX8lDnKXnyE7Tzv -1C+H7dH+qPKjQdyzZP5qP8JPso6G+a/7I1h6rpW4LhKqUSeUtytzEOUvddSft/RH9HddnxVlmYhz -NXUdpR/V4aWMsf+cOoLoj+RHax/9LX1w3JjGb/o6etoOESYbRH3nO1UdUq+cc+R7Sf83JO3rc43l -0v4o63JpurJfL1SLH6m8USfl3DmoI6778tqIOox6Sj8q6SzN45A87qA/0jp1NBVn1FGxY4kfLUm/ -2KwSqhA+xHlzWPaunliqjnj0l36ZvgZ76qm2C9viR8Q5l9djUC/kOcpOHR0pe31OZh3V4eWYxe+o -HhOn9f5eqOq4A6EqDoTqv3xHNStKDcUFDYKO2VLEYH2BFqKGhkzK/gijIy3EvpiZKPFxV6MiLppk -k+nGcewr+3tKfnoyjbI+suv3FZuBXbw4XWw7G5WHzkGkPet92cu+Ub52grrOOop6rsu4FOq01Dvx -xWMhWZaML9sbBnWcdTdV5rCp49T6gQ1xEV6O7+PU/gM78RT2ZHs0zQwveevLw74BaRfrmZe6vP+Y -XYQqcZcl9n3dUA/sr21inbpRHSoPIfCzLWmntF1DfQzpRtpl/9H4il2g7ZO2hWJDXs8WqnSyUUed -D43qaED2GVNhZ0E6fR1B2Te0ifViF7ZV+BznClWo0u78iPolffrzToSRnzi3wmfKOn6EP+VFHPEs -Sa+mPob8QtbRkN4O0nYQdopis1qoQh+/ykv5IfM5rKMMr+qrj+cSDsqdaVfhBxS7TBu7uTpKG6Vz -jlAlrexXDuoh+hzlg7rALuoLm+I/4UMdXXgV51LymMh/KXeew0fjS7vKdg7iEuT5rEd/o5x53nRp -x/VJ2R95OTiG7ZK/pXlcQpY74p8I7+us2I3yNcO5QhWKL3R11PVjvR+VOgtfot4yLOyL71FPpZ0W -pVeTx0R9l7RO1dHQdm16yu9Z76hmmZPYxq+6Oujqp+wnX9UymIpzKXUeh/Edy/8wbezmylpsaPdV -QjWgvMU/sl7yuod9kZdiW/rvqMd+TOvo05pN7wRZ7j69KqymtxPDsJKH40K1m5zb/Pc0iNaPZUaV -G7LK278RqqD1+FhOoY6nDh9VcH1cFRYX3Ll/Ijxsju3n2ELsY33CrrctYT3aHuaztovtet8UhFXH -78kwH6M6XgrHHWPKHpbYTtnAnN0wfMrmmB1M2dYssa1t/iHRxmJzoZqwTScCw7DaJig2uR4iTuvn -0sdVMWUHS2yGFHsGjRBhP1YK1QRbzq9TdQRhh82F9VJDnDVTNska2xqV7aCO1gjVBNvwo7I+Ci/1 -knkLqn1D+7XU8U6F16yxTYptCNWfK4VqQhzHzrXMT18nxWZodwl9GtW+KZba1aS9LqhCqFJHa4Rq -2tXlruviqG1S9tV2a+njrfYdY41tUuy5mD1LqELEUZf5RPkj3hJe77+UTGuY3pDabs62JvqjIlTV -H60SqjCsk6DalzZ5HibHzs1zqOOdCofaZs52CvpsRJjOtVVCFbu6rD1si7TJfVvWS9LHX5iySZba -1RT7XqiWcW1RPGFT6iLthxzY17aD42q7tWQcS+I5ZVv2zwtVZkAHwnRKqLIdQnUgTEOc1kJVSz6m -RB7wn38qVEHbsW+4vwo/un8iLC/Ok2H4seMmOWU3DDtmO2VX0ecTarsG/Mu0zf5k++4mVIF9U/uH -pN0S22ug5HUkVNm/9MIwWVruJTbXBheG8qG4eKaOdPG8WqjCXB3VYXO210bJK3XUi7ChzRKeW7mX -kuVCYKSYTxG2prxD22PH5/5j4dcI+QwRVgTGOUIVhuU9Vf7nUjc1pY7efi+zhZxr59w4O7U93Md6 -UttcM+cKVZgq77Hjh3bPgZLnA6F6bn+05Jjabs62NSVP84/+imO/p6khDBGqsfBAnAbaZl88+vvl -/u7dNTz6a4zZlSZC9SVDeRnQ1RmffWH40qEumAlDzOcjUvKjm/OVU5S66G54aHA/Z0b1FkCoMqP6 -cIGYf8mkUOVbFhJifR25P3qCukCoUkfqj0KE8eij+6ND0o90rnWzzhM2twq+IuLphXpcK/snj3mp -ZF2cmlF9zTVk9ehvPP4LvLMKuS3y9zPMqLKeohVYZx9ClfAPSjvP3X8qVLWeX/m9dgHbXfQ/j7z+ -E6iTZCq8Zo3tUp5D2o3pfNZC9WworwZ0BvKYKeQDBbl/aHurUBcI1ayj91z0WKgeUOoi6khCrKuj -gY059KM818wT8qMQqrpodn90hFF/5DqaROP+qI5MB75SzjUEWn+ulf2Tx7xUsi6WvKP6EREqfQQh -QnVd2X8wqYjS7xKkkEIVUfrjsfs/LgKWfYTnf5flp//+0V+tx+9q4ModoLvoJ59dXq89v82hPpKp -8Jo1tktYE98a2yVsHd+GpJ9aqF4Id/VgKsw84Xqah4HXdXQc1U08Oj4VZjrwHz4kZj86DfXjOjpJ -nGuuo+Pcug9xzSeOClWJeOzu1B/F/1gRmMGPI5RwfocTglTLFKr1PravQahygsR/Kz/wCxh+F6NC -l7vxYXMlF8Xkhby+fa9GUtmAPHswrdCJTH3kF86YhYr9U+1Y2XHHKhyxDj8H4ixfWDuZtnwr7pJl -PjPtSdul1HFW5Tk7vu0IASosVDeAst9y+edw/czjOlqG62ke189p7EPzuI6Wccv1VMo+K1R1jXn3 -XYJT15kdrEtsBqwXUqhC2oz2Fbt/KlTL7Cm/ZPn49VEX0H/uvz/+vf/8/Xf/H1LCr8UxyAtC5KPK -/lVl//7zz/2Xh19RzgwfHnNrxLP8qo/4l5+In4cjGIftiKCLx014ZEBgF/8dq2zW8k7pZ5wl7aOP -+0hMRj5JG1sdF7+4GOZzKQh0/ismcRfpk3aW55z4NiYEqLBQNcYYY4wxi+GaT8zPqCJUJS5/SqRC -itBaqB4I0txfb5d9P4vtvxCq7/nhr8Lv7r6EWH2nC/ovDxJ+Cvsm8YcQRLwO47sKJDwo15fvv0Ko -Pvz6c/9JIjuFNzYpCp47a8UIIh5/eBs/Ku5+VsxPs+Pn2DhZHyciVXbxM2TobKH7uXERlyuIWVyJ -zbdf+Rl+F1esy8FDrL5OcdXNePYCuc+n1nF68jkR/ykibeWbOPq0C51YXR/nVHtcBDeFxJsQqr/P -E6r/6Zzny4C8o8GHKmRrjDHGGGNeMFzz8RE3Xf+9/blEqBah2QvPipFQPcLVCNXXEqoSGFw8f3uU -6EMwnHFh3xIu6BHXnyXIHpTnzxKtVN4tC9UUqSH8mKHk8VfVEesh3hQWgg4QdYhIhBwzmdiFwK3F -3UQa4Rdj32A/DhvHI5KJk5ldOXekTX648UHaytfbOk8ln6RL3hHQq4QlaZfjEeVdnCU/2u7Kc4YA -HrTFxVioGmOMMcaYtcwJVX5Po2vXuze61uRjSvERpbLs+dyRX/jNjy0dA7v42JKuoaUxmgnVH7// -3n8mkbh4p1DfJFS7GUoeo0UA3t0pcxKwU3H+c9RgKUgp3zfVwadvnVBl31sJHx5bfgnwmOjrCcF4 -gOojhKLqIpxHQhHxx8+KET0hAr/9vH+nsDeKE0LUaV/4Tjwa+zWEbgij74g7iT2ckpOjpEMabxUX -M+2dYO3SZhlCUemSfjou/tPFSdq/lFYngEOkkk8EZDo+cQzzT/ynIG3Kh/AtabzlBozyFr5Lfnnf -mv0I4CVxFigf58FUm5wLfgkfdMJzQ6gTqp1YXSVUH7sOCTFOh2WMMcYYY14wuuZ7xSQM/7f+pevC -oVD9yrW3riHvPuk68q14I3034PXrjvfvJT6lET58GNvUvFU8/MoGEcw19B5C9YPCUqh2/C8uitn3 -/def+w+6wI9EdVHOrGQIVWXmqoWqiNkpLRGqzE7x6O9rbVMOysAjzMwcU8bnSOS9zBRTppyVm6qL -Xqgye4nI/FpmL191x4SQQ6gqDF/pZj47URnvr4ZQFRGHRGQfRxGqgngQWR+1n9n4N4SVtFkygxqO -K1jPYzLOdypHzKoi2EIky5btEKpdHPEocokjZlXl430ZpyhpR/mUr04MlxM109b2W+qwFr/luBGZ -Zx3PjRv8alMfUnvCw+8/9z91DiJUc1Z1kVClU5JQff9H5706KWZWjTHGGGPMy+ctS0Tq33I9WMTq -uz9MYJTr31cSlq8kMu/ujvNOQpXZUoTqq1fTNoCoTaEaumIPoSrx8vPv35hJTbGaM6vw9aeOZ9ar -FqoII4TgNQvVIkTeS+yEUGXGTPv4SNTPv//d/1XZoC7rcyLzzg0FyhjiJUTUBNrfC1WcB5GpNsSp -EGcxi6l9hB0IVcSbtsOm2IZQJY6c7STNkjazu+QFEUd6mTbLkVAt8cUHkzgGkazlSKgqb5k2eenz -dYFQJd992sQZwnudUP2oPCIe/6vaZEuebhx1bf3rf/+LpxxGQvXrjxCmH/7vV3/nzBhjjDHG3BYf -Rtu/uutDCddeqL6Vfnv3UdeSEplT4vPN2/u7T5+7388gVt+9m7ZDwDLzyj9V9xaqP25CqEr0aH8I -1T8vR6g+rBGqRejFB5EQkxKFOFF84KiIQ7ZDqMp38B8ex419xTaEKmKROHjMYJSmRGD9KHKEK+0Q -qk9pRNo86htx/qiEasmLhCXbh2mT/y79c4RqpI1QVRp92tQJJ9RKoVr70bBttqAWqmz/+m9CqNIZ -DIVq9aiHMcYYY4y5QXQ92AtVXSe+jXdUdQ2Jhvuk60gE6Ksp8fmhez+VLwLzoSRmTKdmVXn0l3dc -sUEExxOQOwjVePf0x6/44FA+eljDo5xcEPMO3cE7qs9NqFIO7SfvXx9+R3mPlfk5kPn/rPbgJsJU -HfSoLmqhimiLmUpmFFmKWsjhWJ0YlTAqwg7bEJe1UM0Z1ZJOCKihX0R4LVQRn5l2EY0HQhWRTBqi -bHdpi8h/l/55M6pFqNZpE+fSGdVC+JHSDz+qHtndC9LgS9vcVOo/YFUeh37zSWV7UP09ql4eVRZj -jDHGGHPzcG0Y14e6TnzDbwt13Xin69e7rwIBitg8EJ/Mpkp8xq9nyq9r+KDSW0RtJVaZjeWxYGZd -sdlTqCI2EKG8yzlGhSNhxTUSqtf+jmoRSE9CVUJE+5ntQ2RMl/f5gUhFhE7VQY/qoheqOA9ClSl6 -wrQ/hdxIqFJn2sYmxJuOCaFKHBNCNdLgRW7t72dVSzs8PfrbCdUQmbIhDuIcC1XZsk0+iYN8Zr4U -z3lCtTz6S95IO+vkDKFKGcOPJB6n2mVTEPJKi7rthWqWjbrmJfpP1I8xxhhjjDGCa8O8PuRaXteN -d7oGv/uGWNU6j+6m+MzZVL7iG/9NhZ+dGEXU1o8KI2h5LDh/U7OnUA246J0CISAxiiB9r8QQqc9C -qCrvzOyxjlDlq7+fJVIoT+4flfW5Upf7GLJLUcasYogyCcBu9jOFnHxFYThViMoQrhKV6Ttqb+Lg -n6eIygOhKogH0RwfU5JNfEyJsJz564VqJzJz9hXH5lHkp48pyQ6hiq3iiRNLaXdxFKFd4jhHqL4t -5Uk/iDpZKVQPwLYVc+lPhRtjjDHGmNskrw+5Htd6CFX+o/pQZlV78flG25+7R3lzNjX/s4oo5Qu/ -aYugRcBGuND1ekxqcW29h1C94+uviJYhFKgI0hCqP37F7CSzPClArhLyLRBSH1UH33/+ORCqKZJe -BCrLZB3UUBcqewg2iUFEWfgEYrIIWPbFDKZs4h+j7ONRXQSptuP4XkR2746GiCR96lMgVPlKdP/V -3xJGHiLOTFtLPqEds5opigXiM2ZYtYx9pK04u7wjkkmb42UXolJxVOUMu8G+2E+cWcYSZ8zQEmeU -h/rQfvLMMSXPc3BeTLbJTkzmi32ci6UDMsYYY4wxJsjrw7LshWo8sqvrSwQoInU0m1qBKP3wsbNj -NpV/rT5ofyuheoq4SNYF+TsVLN5l/fUnHgGORxHzwv4KCdEkYcN7hLznx689UqhO2b94cFKEnARe -JxglJhF8IeBYR5Ai4Dr7EHcK7z6qpLaWXRzH9qfpx41j1pb43x0J59HhTE9xvlY8zKaGeJR/hZNn -PLx7SVpKM9KWfbfdidlR3Mo3//2F6bQVJwI4yqP0ojxlG5H6tsymGmOMMcYY85IowjU+pvStCFUE -6Ocv3cwqopXZVPazTEKsasmjwsy4fhJ8bIn9CFVs/qlQpVDizYfv8dVRhOrD77/dI8CRAYm/Uvip -41uDqEZA8/sQPkDz8Pi3fIjmZwmfPu52kCOFWEWkdSIxxF/MUCq8ast+JhK7MsMZTocznlOPHJNx -lviYJe3iLOFph1jV/hCymbaOC5FKeJU+TwSw/4P8Hfifa+yvbALiRKBm2iXOV4jUoa0xxhhjjDEv -gXLt3AnVH534hO9aR7CyrAVqzTE79rO8BqGKEECY8igtv6nhXcTua7PXJ1SZUeNR5U9ff8VHlBCp -zPR14dPH3QyUn0duEasfO1iPDwxlOxb472w3E8lMqCiPzPY2U/GfIo/hMeCSdrQLcdbhGb/2d1/m -7WzJC3nqw0u84Z+yfSc/h5zpn2xr4si0FfeU8DXGGGOMMebFUK51R0KVR3iHs6jHiNnVyvYahOpL -4A4sRIwxxhhjjDG3xlGhegHXJlQRe/0HZK5c+PV5DbrtKbubhjpZUi9L7dawJs6ltlvbGWOMMcYY -89wp174vWqgaY4wxxhhjjHlGWKgaY4wxxhhjjLkqLFSNMcasIV9LOMbUMbA0/Bjn2E6FDVliO2WT -+07tX0p9/JAlNlDHt4apuIbM2a6Jr7a9lLn4lqRb28zZwhIbY4wxG0BfS59roWqMMWY56tyrbb5g -Xf8LeOpCfu4Cf1YAlP8lQ9rx0bk+vN7mK+HYV3mCYT4ZALE72Dewi7QG6Q3zejLfJziZdlXeaWQn -Mi/H8nAQPmVT7evLV5Y9dRzazjwe5H0q7uDJlnjreC6i1E+08yDsIH6Fn0r3ad9Te0/ZwUFaR2yM -McZsBP0sfbKFqjHGmCXwz19++8XvtBJ+pwXs51dLw4t91hEqHHvsV0zsf6fwjJO4Mh2Wbz8U8Sbe -vu9+PVbbJfyC7A3hWr7XOJL7Wc98xnEKJz8QaRbbzq6zZX/8jqrkNcqucJaMV1mGyPsH4nwKq8t2 -jDgu0xZPaXdkPrGLtD8+1c+HTyqLIDxEoPIyrFOI/a86huIK4fXmneJVviOtii7tUp7XKo+OZb2u -x6yjrsyKk7Y5Ue8Hv4er8rGULGP4Eu1X6mYYzjr+kvVJHfXhlS1Lyp/1yZL46nhqsh26ckzbGGOM -2Qj6WPpj9bkWqsYYY46SF+8Iqi/fH+8fHn/f/xAPP3/df/v5+/67YPm5/191OQaRpOVHCZVvP37d -f/7GP6IlBsp+YCBCJHx7+HX/89efIOL/9TvWSeeT4s0ZSNYJ+0FYZcv6V8Xx/tNDCBTSIwy+K59f -tU0+sfte4iTdz1+78nRQnsc4tsuvBi/+oyyRQt4flA7730s0pvhj/bvGrQfF/fHLzxj0+rIN6rGv -F0E9kIfvSpN4Sf8b+RSs/2T7O+XpBF/Wew15oW5DAJY6zTRYxv/E1R7vi+jMWUHCqUvqibxHPYPi -zHrP8lB2biKQ/rdI83epy46oI6VBfNizj7xRx5SHPLL+VfVKOeJf0FUel4Btlo82+6Q0M28RdhD+ -ID9QXVGO310eKGe0CzaFrk1lp3I+UF7Zh38SZ4mrzgP7SZO0uWkQ+ZqwM8YYswH0rfTtQ6EaLPiX -atqEXbFtJVT7gckYY8zFTPWzNWmHIEEE/P7f3/u///ff/eMfCRCJw++IzN9/7x9//7n/LEETs3x3 -OlbHIBwQAxzz+PePLvQRnUVgFJtPEgCPf/4ozv/d/yf+KG7iZ/3vf/+F+EEwchzChzBsAVvA9qfi -+KgBCEGEAPvzP4UJxNfn74jlx/tff/8qL/+FgCFvkbb2deX5c/9F5UOUIdx+Uh6JyQ/EJ/tIS8d+ -Vzxvi+AiPeL8o3xy3FKhSlk+ffkReSbt3//9jTyRT4Qe5f7152/UV4ov8k0efqoeOyHWCXXyl3Xa -p6ElM8KI4S8ahDubEi6BhT1pkQZ1F3Ud9djV5W+ViTTfq3wcT9v9oH5URra/qx0Qgo9qdwRfzE4q -DeqaPNLeiPCvsievv/7jBkERjSWPWSdzhO3rbkYTMUkb1WXOuBDl+Af18kOwpH6jjkq6r0rZqZcI -pw6VP8pHeahvyhIXSVUeOIa2Jm1sYqZWeVpTjiTzbIwx5gjqq48K1V50nhCrIVBzaaFqjDHPkqk+ -dhJsBRf8PxFmEiPMQL7jMUsR4gpRqCWCgkGGi/lO0PwJEYhYeUAMSCSEyLjTIKE42UYYdjadkES4 -IQARv4gP4mI2DmH8KHFFnL8l5rBFjPxCSCkO8ofdJ40nv/9K2CEgQ0gpPxK7zNwiTInzrfJAvIgr -RBplyEdKseNYRDazrohI8hYiTksEG8cyy0a+EbBLhCpEmAZIZiqJl7okDkThG4nJEHzKC2UM4aQ4 -PyksRLZsyTvl/KoBmLIwU4yI4r3VjL8TqhJXqluEJDORtVAlToQqZf+ltCkT4hLYpi4QqmnDftLl -uDdKp7sBobyXNo/2URh1wD6EH2m/Vb1//vqjj5tw8sE/w+s6OU3XTp3o7m4ckI+4iCn1zCwn+SNd -ZnCpH+qR2V98A19ltv2tRCg3J37ID6nfrm46AYxQ/fknZ1blw1X8gF+QNmUgL7RfzGZXNkvIeI0x -xhzhQKhKXP6U2ERoftP2F+3/pr4XEZqCNMl9iNuvsgGNYwe2Gh/2EarKMAMFAy6DEAMGA5Exxph1 -0H9GH6qLdATNZJ9bEwOHxJXsma36/X/djNvrIjgQCcxwIizpo7EjPB6/lOjjEdUQPdoGLvRTbJAf -RA37mHVD0CIYEKWICsRg9+hq97jqJwlHBEYKW9L+orhDGIV4kKBWnAiumJXU8QhVYD+zvuTndRlT -YrZU4op8dgPk1xBECG8eg8UewUN6Ofv4WNKN/RJC5GONUEWkp7BDwCGaQuwpnDJQZgQT+WUW8IPq -M8qL2FPZsfsg4cW+mI1V3inLq9IeCO6PX5gBpG4kbCeEKnWZ6SBGH6l3tQ15ijZROOuUjxsCrFM3 -d3dfIu+0L3VEm9PelIu6Jj/cPIh9Kic+Rv1wM4A6WytUSYt8IurxEbYpQ9Yz8eEPcXNDUJ4un19V -xu5GAmEIe8qcM/wIfGbqKQ83CLip8kd+jX9S/oifCxnlIddD4CstykGeMi/DPI8o+aSN49xTPvJc -NMYY83RdwtjR34xEqCI0Earf1d9++Hh/9/bd/d3HT9pmprWIz1qosv/Tl/u7d+/v795/kFjVeIDI -JY69hSqZ/6YLGQZGBtS86OnoHvd5XgzLUDNlb4wxlxOznAKRxkVzCqzJvrdAOIMJxzOzx7HvNYgg -TB9+SWBKtER8suERSQQOAojtuJjXhTpiAZFAH8d+4uViHxBaiJ9f/+uEagoBZrdChGGrJescS/6Z -VUVA5Yxe5FXpINKYSWMWEsEbj2x+7T6uk6I3xhRtM9v2hzw9SqAgsrUvHxMOka18pCCN2V7Z5hJR -jWjvBNIKoYpYVLkoL/EQN+VAZOW7vlH2kk9EY6SvtLBDZPP4MTOfiGzEV/f+rwSw0qCdyDNthU0v -qkresl5ZUl7aDRFMe3EsNtQzwjCEntKgHiP/EoCkRfzRljqGsZl6J/+dYPwTx1KOuKmgvBNP1FHU -wRqh2s2656PDUbegsCwLeYuZaaXzUe0c4YKyPPzq8s8jvsTz8/FPzJbzWHI8wk0ciovtfjZY/sP+ -FKqRVqlbxHg8pq2yUkdzQjXrHFtugHDuIeTr89EYY5YzpRlgyvY50OU/+kaR1xHRBzOuxYwoAvOz -+tLXiEiJ1bf3d18kQFOc5hKYRUWkYvfqlUStxO2Dxo4QqmJroRqfly8wSPF+Du/RMKDExQLrIt9Z -el50ee8uejrYfr7lMcY8B5gV/J/g0VHEQ4iYBRfcfM2VC35mnhAeXLAzM8WNQ5YIg078de8qYssj -lylUEYwh7CRwetGiwSJFQAg3hdPPMxsWF/mZr1iXrUQOgpL3I/Pd0BAMElAhLIpQRQzQp7JkthAx -zXERT9h2Nz8ZFBGqCFPyjbDhGNaZDURs5aO/7OeDRwyq9N9JCDOJkLVClfog3swnMFv3VJ7OnvIg -oH6pbpiZDqEqwd0JVeVbeYrZQdJV/RAWcatMwKwqIr/OW6wjOrU/6kBxU65uJvSLwr+GwMVHyB9t -E8edEKoIwSiP6oT9iEvaknIxE0y+6jwsIYUqN6l7oVrCWO+EarkBwqO7qh8eo8YXOS7eQVWevmsZ -QlVL3sHFb7qvEstWfsFNibxpQLk7f6vS0jqPVyNUKfsqoaplnBc6R3JWfuq8NMaYOehfUzME2n7O -uiF10J//aVvweg99dfSf9LHqa+8eNHZ8/KDxR+ITEKzMqiJAU6AGskXAvpGQTVtEK+L1ZxGzrYRq -irykv2hQYa+eOr9iWI5Ypt3wWGOMuZD/NBgAA8K5QvWnjuWCnZkoLvpTBCKYUqiGQJGI4MKfged7 -zG5VM5DEm0JVgqQTqjpOQuKDjomL/MxXrMsWoapxIoUqx0wJ1ZwJjNljjRu8u0geiW9aqP6RuOIx -3m7QZPaXemFWExsEdCe8u0c3EWIch+i4XKgiEhF03dd8oz3I411nPxKqigfhk3kgfylUOY50mFlE -ZHJTlxnHk0KVWeWhUFVdni1URdwhV3nixoPagt/AZPqZhyX0QlVlpN7rMOJJoUq6CNUvajfqiEfB -8UvajOsGhC7xkN9OvPNhJPmujqWc1DvlibxKwM4J1e7DS6rTymaKKK+WMXOt4+L84wJNS2OMWQR6 -oFBrhprebnjsNUI+A8Rqyb/WYzxV/9wLVW5u8r7pF41JzKKm+GSmlEeA47FeiU+ND7Hk3VUe982Z -V3j9RqL285Oo3VWoKowvD9YNw+DEhQgXRlyIPCfIN/mvy5MD5XMsjzHmefDwSB+ji3cJmLj4LwKr -7nuHEI5IQ1AhXPglTQgU9d8hrMqFPYKpEz7/xUwWfRkiAKFB3xb7JRz6gaiIz6NCtcpXruejv4dC -tUu/E6oSTIoDIY5IQxSzjxm9+FprsSX/+egv+UTckM/4SJH2Iy74aE7OOCJ6claQx3ERPQyu9Nsh -vLMeqjwPiXClffDor+qFGTrqBCGUNw4C0lorVLWMG7uKN97PlCjr20j0eVHdcxwiDkGJuIwZcESy -0l4rVPPRX9Iln2wTJ3mnfminTHspi4UqeVG63UxpN6NK+nzAixn1KaFK+ahbbmBkeXYRqgJbHuvG -z/CjqfPSGGNOwdNL9HX019Drhhegg1K80gfzVXyuLe4+IFK1fP9efa3EaYrPFKs81ss7qboeCRH6 -SYL0VSVSE0TuN/XF2BA3Y9HeQrUvkArJVxm7CwolqOW1Qz4hBk0utuLuQndXgQGdAfQ5lccY87x4 -p86/4+n9zzm4IOeCnj6YARLhELN0RdQkxN/Nuv4X/RsX9t27rN2XWRlUGZiYzeriXSdUGQu6GdV5 -oQqIFcQY4o44sO3e/ezez0yh2ok96gZhytdwO4GHkKGsIcp0kfCWgROxpnGLuEkDcUa/fYlQpUzU -AXlDfFG3bHMMwouxgXrnw1HdO6r56G/3jurbDyXtIlQR0nsLVeqNR2mnhCp5TnFGHIzVxIcd7Z15 -mCOF6pJ3VJk55QKHtuZDX5TlQeniU/gk8fCBrHxknLLzIaWIQ9t7vKOaUA7Si7Fd9TU8J40x5hjR -bwj6MMYL+rvUQiHsNJ6GjfqYqeOvDf4YEDpI/SlPMjGWdK9EpFAtN7LpYz+W2dRXA/EJ75hVlR3v -qH7X2MVs6t1A0AIzrLzjiqhtLVS5O8oPzeMiZXDBdLWQT4FTxQWdBse6geICLex4/GpwrDHGbEUl -WubIPmtSqHJRX2AQSqEaX1aVoLq70wAhuxB2GpBgKFRZr4Xq++wHqzxGGlryCPKBUEWEVUKVGbEU -kAzskYbSRygwE4aIY9xhuxaqIdolYL9+1z7FzfiCPWXtZuwQZZ1Q5Wu88ZEj6uMMoZozgSFUVRbE -C30+8SJaSfedhBbHILginRSqyjvjHgM8H5RiZpyyRNwlb4yXvVCNuh7njXqhzCHEi1Dt8tGNpdRd -3u2mfF38X6LdEao8wtXnXfFhT3qIvRSVMfuttog4ELtnCFUELnlkWQtuYJvZWvIJCOPwm1dfIg/U -AW3HTRLaC1FP2/IYLh9ToqzEEV/9VXmoA76oXAvVSIu6irS6vFDWyIvSGub5GF08xhizktA4GgvU -73PTLzUQr0KGDlI/29l1fffVQz5F6KC44XpEqL7WtcObidnUWoAyq4pY/SRbHvOdsgNE7Wfpqn8h -VPn0fgwqNCTLaycaqZud6ITqYQPx+FlXHi7gquOMMWZD6r52Di7UEUy1UGVmLURgFSdClX6ZfpoB -iEdn851HHgdNoXrwjmrOqP5YNqMaM4yMBSlUi+AgLoRQCKwiVBEWHIvI4KNCzLrFMdrHHV0+soRA -SaGKCET4/U2hqrgmharywuxdzHQqnXVCtQhElTdm8VSWmMnUfmafEfgxG/31MWbx4lc0Soc8kPd4 -VPUbv8wpjyyrPhBNvbjSMkV0V0flvddB3rBF4NbvqNYzqrVQJd2YlS7HdDOqaiuJZS42qHe+aotw -RpjSRqQR+ShxdHVEHjoBuBTipx05nusA9tVlYbae9qPNv+s6gvpBPFNu6i1mY1Uu8o2Q5UKvexqr -exyciyK2sSUN/Jo6jPosUH+kzcwrvhtlJn8lD0vJ+IwxZjFF4KF30AmpgUIHxc21ooOwGx57jZTy -POmgwwk7xr64uXonEfpK4vOYUGU/jwUjQKceD67JDzB9Ud+tfr+tUC0DIoWvj71WsqG4A3JUqGJH -JQ6ONcaYlmR/xUV+fPwn+qtOXMWsEiIRmzJAhlDVwMnrDCFUtR1CVeKEf5IiRBG6rMdxEkWIVPrx -PA4bZsCIq58JLPlhH2EMbNjyzg7/RY38Kg2EFu++0J+mWEbkIFpSdCFqEIQITT6ERDy9UNXARfzM -FjK+cCxCpxPQlVBVevzyhuPJC0JuTqhmWDdL2F1w0Pczq/r1pwSQ6pN8EF/32DKiVIKLepMNeeeY -+NKyBCKzg51IfYqfJXUeQlL2xB+P3aqOarEa+VB5EWm0JW1K29LGIfwVzk2GEOmqN+oCIfpZ9tRl -9/Gnp6/5Mp5hQ12S916oUscl71FHkc91Yxv5DrGq+kFIdo9J13Xd/WOddiNfjKvx9WbWyyPDIbJl -S9mYrcbXsI26VDnYxifjPeaSbl9PSos4SJs88Nh8tnWdT2OM2YPsi9BB9FepgUIHqe96/jpoIFSl -CTu7z/d37yRWeaT3GIjPmFHVcio84R+sCNrPCNXWM6rPvoEsVI0x10nfX6kTZ8aTx00RNiniEI5h -U4TqG13oI5IQCcxgxseHXnVClVnSTkRIPEjAdMd1s4s8ChwfV1DciE9EB31kCALiJj8SZOxDODIW -YIsQjZlHxUF+GQ/YR1gIEa0jtkg3hEvJN+VBCLIPW2Z7U6iyn/IhyFKgcBzxRHlL38yYRFrYItqX -CtWY2Ys0urR//P4V74kiUkmHx2mZGSR+0kfgkc8UVxxHeC8+lZ8+3VJH1F/UJXUke9Ljvdi0i3wg -/rQ/0iz1RRuHGC82xEV7Uk7yxyOysf5InXXC7vXbh3gsN3/tEzcCyqDPTCZikY8aRR1FPawb28gH -S+qDtiMt/CzziH/wyHbmlXLwVWpEaM42hw8FX+Ur3yLvkV8oIjVuuhQ/znSB9uKmBGnHjRc+yFXC -6nwaY8weZH9zO0K1jBXqq+NjSrx/Ct+OwLunp8Ih4pAddcX1AuO4xgYL1QnGDWShaoy5bmLWTB15 -iKcCj1e+eT+wlVDiYj7CtXyjgSbDeKSS9y4BURj71RciJBBH9IkZN2khEIgvj8cu8qE+v/tXptLR -kg8cEZZpsI+4Aq2zjWDhFyn5b9TISykP8fAxoi4dpaH4nvLfzeZhwxKRk/mJMNlhi3Bi0MuwU8Rx -VdqIOfLYE2l3HyOiDqhn9gHlAMrRC2OEWsav+qJs2VZZR6Q3zB9tR9x1vWMXZVE4cTNbm+2Z+Yv0 -tR12ssGWOn3K+1P70v6Rhsh4zyXbgrTqdog8lLxGPiKvEpVKM2eb0yaFKH6EXecX+JouYkp4H2+h -T1c2xIfd0MYYY/Yi+iZBX3UbQrWUR2PZ3fef93c/Hzv4au8UfExpan8Nx2NHXTEWWqgeZ9xAFqrG -mOuGC/QUg11fq3VEQAqBigg/0h/3ffpBeDeTGXGWY9kOkVHF3+3r7J7i6LZ5tzTswr6e8cqwEneJ -K+1CvEDuT9t+u2Oc56SyLfUzj+yKbcQpyGPms8t7F97XQbGr4+nsRLUvbAdl68K6+A6obDMfka/Y -V8UfcXT569uJ4/OYOs7Bdh1HF8/l9OJzwDCNqTT7dhzuL7ZD+46nujtuY4wx+5D9joXqESxUt2Xc -QBaqpi3P5Vwx10f2X6d8aBg+XB+GLwmrqe2mbIfhNafshmHD7eG+Omxq/xwZ5zFO2dZhUwztTx0z -Z1OH1xyzObZ/GLYX3SO+y9JrnTfzMrH/mD3JPurmhOo7CdVvPyQ0EaICoXkuebyF6jzjBrJQNe1I -/5sKM2Zr0t+yH5+yWQ3+mz485cv1PtIuHNhcGX0eZ/J5VlnW2h8h0z6a/kbpXMpaoTq135ilzJ4X -xlxI+tftCVXxVUL1QHSynVT74z3VE/tCqGqdjynxVI6F6nHGDWShatrBo3t8VIXfdUyFG7Mlnb99 -7z52dOSRTWO2gseCe3+rHtk1Zi/6Ps5jqtmJ1A03J1Tf65z6/nj/6uev+1chNLWu5eufj8ErHumV -CH2t5VvxTrwZ7pPdG2x/Slv9+HH/WnHH+PAvhWpWQB3PJWT6x8JG+0i7Tj+3y77M395C9ViezW2S -5wR+x1cz48MwFw6scW6UeI2pyX4OP8Pf8Lv4qmrZP3XMEuxvZor0q/yYFsQHkkrY1DHnEPEN9pnb -BL9iDOVDYfyGiY935f6h7SKKD0+GmZsGv4ClQjXt6zguIeKb2B9hU/tIu04/t8u+zN9RoUp5sOWj -gmjD33/uXz9KrEqwvn78ff9W229/aR8CVrzVvk98tV58+vXr/v3j4/0H2X9R3XxTfJ+1fP/rsdvP -F/4ZG/jN3NtvjYRqOSYb5e7N16CPZ8HFeFZaxtHDPsKLTR0W9lP767Tr/cUujhN7CtU+b4P95nbB -nxhU+Q0Dv9ngvGF76L+LOeFjZ8dpXgzx9VT5AV9f5Vcp/EKm/7Lqmf7RHTvuF+1vBr+gj+PGCL8T -4pc+8YscfAZfnDhmLeF/LAf7zQ1S/Ionk/iVxrcfj/ELI2b0z712S/+aCoMIW3A9a14e3di3Qqhu -qIP6/WxPhZXlwf7VOujYjGonVN/9/S94+/vvJO/+/L3/xC/J/v65/yEeBOvfFRfLh/8U9l+3n1+Y -8XX4fyJUuejOO6n8o49/zDFQdY8APcV5FCqwfGkxG4MLq/wNAo92ZOWSVvwyQGH5q4P4HYDSZF/+ -QoCZhIS7bvWMwtZCNePFIRmsu8/zd2Jkyt7cFilU+aE//6HETy8RqpwPnBu1jwN+x/kQdmfGbZ4/ -KVTxCf5nyf86LxGqdZ974HP0cx947E529rebpRaq/P+WmyNxbYC/XShU019jnFecQP83tDM3RPGr -EKq6ZmNM5T+7q4Vq+hbjafRvXZ/GP6cP+jkR13P2u5skx805oco4yToa6FAHLf81WH5xPn2TX6RF -v/c5NQz/7e6uJ7sxuXtCb6yD8OWxDuq1lM6feaEqe2nD93//d//+v//dv/0jwSp6kSpx+raI2E/i -QWL0UZoKfkqcIkwRrj/ZLvv6/6G3FKoUFFsSjp+c/1ZGyZjCvsTFkSq9NHIdd0JlcwEFvLvHvryo -//j1UU7AD9Z/x12zDMM2f2rPBRj/0vvy/WfYUclAxxU/ppcTPf6Vmn/s8srx0UCqlK2FKkvi5Wfw -/DT+a/5w/0T5zW2QPo2/5kVcDKpn+AWdFY864b/4d/i6Tn62IUSJzsfo6Ox3Nwl9HL7FYMXAcKlQ -pV/L/p2+lDhZ0ud+i9kzDaKycz93m4RfyediRlX+gFjFZ2Ls1/6pY5aQ8XIRhhD5+evP/YP8jn/y -clPY/na70PZcdHMBzvUgT43wC6c1/tbZduKCG3qPugaMPk1jKjC2xrgqn8txNa6J7Xc3RfRDYkqo -4h+HOugxbHod9H3eb0IHyQaGOogbMT/+dNd6nT6RhpHIYzzPMZk00EE8sfekg8q1oah1EL4eOuju -hFCVTVw7Ko23P/9IiHYilUeA38UMaidY2X6j7fda/yKh+kNC9EeZOX3gXNI64pT9MbOqfV95d5X6 -aC5UUffqMKisX8psFJZKVQdy6mKcToI7DdjRCXAngIahACwjPlXgX0FjpDO8U+XRcfz5778QhdxV -4M4Bg9hfVTYN8lnClX1cUJHnXxKkNHjfQBsKVcpHOakjBMNf5Yv4HrQeDaLwY3VgboMthGrach7g -13SEdEKcP8RHJxU3iv78jYvFvHM2jMe8fOhbaftLhGracrPxK77FwCP/oh8Nf5NwoA/9hR/Kp9+7 -r7tZot3lc1sK1fBhQT9G3/ZL4/p//9P4rv4tri1WihLzssDnthCqHEOfhn9xrcm1ZfRxzIh9/XH/ -Sf0c24y7a2bGzMshxzXGPcbB1EDQz6gq/PW7b11fVTTAkw46fi0WPig/xsfQPIzZBzpI/elv6ZQu -rT/KQzcJQV8bOkg+y00WtskHNthyfdjpIJ6qmtJBX48L1cGMasyiguKOWdR6RrWI1s9aj0d/JU7j -8V/F8/BXArvse9D59SAbrn/jWuRfCFUqm4HpUZnnZOfiKF6YLQ1cx/tEdxeBiyjeaWGWKC6ua6Gq -BqcCgUbhcUcaIyq3CFXutnIc6381kBH29gOOoYYIQaqKUt5xmF2EqsBJaIDfapD/lfhwDgtVA9sK -1e49MOBxJM49zhnWEar4XncOdL43jMe8fMIn1PbbCFX6aF0Mqo/m4i3Ex92X8F8GUQa53+qLeepl -Tfzm5RDtLp/bSqhmfClSf+pih5vQjK08rWShavCRrYUq15T0lRGmMTWuF/FFtjlGY/gwDvPyCR8Q -c0IVf+E6DB3UCcgiyuRnHD8V91AHIRIPdJD2/f6v0ymTOoh00EE6BsGLaI0bLv014Pf7D6F3uqdN -ex0k1gjVeE81hOmTUM2ZVeBjSt/+/L7/KQ30WMTqd2Z1EatsM7Oqc+wfClUiRhQ+xIUy6p+OAwHZ -negD0VcajMxSaVwE0UhcgMfFu46JBtI+7taTFgMUF0MPv37FhReVicj8pgGRxoFOKEq1PyIEmEJX -2ZQH0vhE/Mpf10DbCNWnzquLj8GZOyjkoXdSC1Uj0qcvEaoJx0WnxKCJb5WBmcGWpwl+yf/o3Dgn -RueeuQlyYNzi0d/e30Q8bkkfWoQqIoJ+mYu8GABlH/GvTMM8b8Kv5HNbCNWMC5/j2oDH3rjwoW/7 -q/GaxzPxNQvV2wY/wUfwha2EatxwUzx5TTsUq1NxmJdPtL84KlRTB8lXeMITHYQG6ETZtA4iPpap -g74UHYR2qXUQY2z0fQc6qHv9hrz8Vjr4/5MOQoOgPzp9xqPEkYauBUJnoYOYXFT6R4VqEd7do7+H -H1N6EqcpWLvtz8pjP6Mq4vHfso145f3V7mNKuhZBF127UM1GZ1DjkTLiAQYlps6jgZQvhCrT18TX -dSKdAGRJQ/z+P6WDUFVj0kCsE0YeeBQtZgBU4TnjmsLgsIG2Eaq81M+jb3x5Dscln9z5sFA10Hc6 -8ulLhWqcb3Q0ZSB9o3g+y2+5SfJTnQczrXRMnb2F6i3CBRi+tYVQhe44+Zr6UXz3o+DiLt7r0mDF -4MgA3cd9Rhrm+RL+IZ+7VKjGeCq4uKMfe2As1zgaM/rcFNF1AEI1XhN6baF6y+BzmwpVXTsya891 -G6+NZT/HdV3cFMbe/dpN0o1/2wtVwB6tcqCDuDbMa8YiVA91ULcMpINyYiJ0kNJEH/EIMOMycb9X -f8m5clwH3aBQjZnOaCBlRh0BFXIQb6kkKq17vLe7W8D7diFuFVcIVRpIYYRT+cD6f7KlUn9r+UUD -IiKRuEKoqsJpTEDkEgdhcVFf7iIcNtDlj/5COKLgkWPK8U+Eqhq9Zyq8Zo2tuZi+09lCqIr0KXwb -kcrFG08ffOEDXvj7xHm3C2v8aKmduZhs/62EaqC2o9+kn6UvRkDQ3//4pYFN8Uc/29LnpsJq0m6J -rbmI8Cv53MVCVfbRp+lijbGeb1QwxuN33RNW3cUZ/sxMQRt/40mCif1D7G9NSV/ZQqjST9KP/dLF -Nx/AyetNJjwAIft083dn1viR/a0J0b+J84TqjA6Km3I/o1/rdRBjKTpIfQ/9afSFIvwSnaP1Tgd1 -E3Yjoaq0EbcdvKs6p4P2EarxAaXnKlQjbvYJ7oxSMcT7/Ve5+0ADFaHKy79UNDOjFC4a4b+uUjmG -RkuhSpqkHV9uk/NwR6Ef0KKBunQPG2groSqUBmVGoDYXqsSvOnil9ALW2Xck3TucNu0YiCdszHZs -KVTTnyI+Dc6cc4hU7px1TzGQ3vSxm8IAqfQ6fyuD+LHyDH1zysZsRva7mwpV9ckMwly0AYMjfSj9 -Jv0wfd30cRuBvy3xI8pHn6by9na+mNuV8Cv53CVCNePAT2Ms1wUYswjcEElfY+xn3I/Xe7iYQphw -HG0+EefFFD/qx8opm0ybPhA7/I7j9sqTCWjzS4Vqgs8RDzd9eQQz+zheLWN8BW6UxMX+nv6m/ONn -d+pn7xhbJ20K+GM//trf9iTbfEuhmvHyjR/6MnRL6KAJoUo/iA5iPGecJd5OrHY6iJt5KVQjTPbk -80kHdTOqx3XQQKhe+I5qCFPll+2rfUd1JFTpOGiQEm/EzTHRqN2jstwVoIGGQjUbiPdXYxDrG6kb -sOhIaADgzhdpx/S5jqXjeftB+VNj141z2EAbClXxTun9M6HK4EinVXdcR9K1UG3LpkJVfkZcDMyc -b9w1C6EQIlUd0V0Df4NaqOpcj33H0sXPat+csjGbEf2R2mLbR387v8v+Nh5Zki/z1Et8jTCEw/Tx -m7BWqNZ2Fqq7Ev4R49+FQlXgW4gExnP6Sq4D8tE4ZgoAocoHRZoIVT7MOOdvLC1Um5K+soVQxY/4 -vRZk/wb0afhdfg2YPi/87aw0liC/UboWqtdF9jGXCdVOJI7iLcekDmK8xvemhCrpcAz9bK2D6G+H -QjV0kAQq5wf2jIFZjmSZUP0jIdr9nia++ltEKjOp3Vd/y+9ptMxHfWM2VfGESI19zLb+86/+EvGE -UI2ZTIWpkah4RNubd1UDqeG6L5WqolKoZgMpX51Q/S+mvKls9tOo4SzpCEWoZgORNh1KP0gK3nvN -tMcNtK1QRRgfCNXeSaeP2xwGyGQqPCFcdRYXcL6I2x38aSuh2v3kvPvHMD4bj7enSC1+2NTf8COY -Ck/wsbSb801zMekDW86o5rERdwyi/+BjSrW/neq37G9NSb+49NFfwK/iekH+Gug6ghvA8Y6qrgW4 -HsCf42JuLz9Lwo9gxt8AP0umws2m0Pb4wBZCNfwXIRHXmFpnKYif8ZpfDvJoMP+qPMenV5F915wf -pa8t8U1zEZ1/nClU1T7hT/Ivfm9EnzbWQRKqf2aEqgRgjLH4pzjQQUqnFqqdDqIPlkAteX/SQV2/ -CUeFKjcBFc5Nt4P/qHIOVDOp9X9Uv/5VXahvzkd8Eard+6kSzeJb2fdPHv3tG0iJMkjxL1PEZTyG -qExkZeejYu/Kb2OioqJRn2ZUo4Fk2zeQBibeUeGOPQKS/ZlOisxoIE5WweCYQjV+TyNb8sZvb0ib -r2nlxTyD6LZCtcsbs1r9O6o/VafppCvjMy8L2v9Socp5wxJ/xlfjnYX+PPsSfkYa0RHKD4l/GIe5 -DWIwo+/bQKh2PtURPsvNR/kbH+ri3VSEKgKiiVA1V0n4lXxuC6GahC/hx/SdWuf3R791McR/VGP2 -nrgZ46tjzO2Az20iVNWncYFP/0Z87OvG0+7L5ozXCFVEQT8xc6ZPm+dJjpshDnXtlRoodFAtVNEA -RQfxq6NaB+Fb+Cg3d8c6aDCjqjE3r+dGQlX7gY98HeggCdHw19BBOVFGPPJl8j6lg5TvSaF65NHf -mEllFjVnVVkvorX+j2o++st/VPtZVl0j8C9V8hrXIipDc6H6WnBRxMvofDkNsYaaf6eK4tl+vkZK -BfSVJHvSoOLz08sPaigGuryoR6iSHo2E0I3jVLh3ioPZJI7hFzBclOMcCMOsaL7axp0MBjc6GBr5 -KY7thSoDKemFiFA5qAOcFUfA6QifOs7cBunTWwjVLz8ew185N2I2VfHSGXK+sc2XWPOdhGEc5jaI -C/zSJ18qVPkfNu9ufZPf4Vf00fgc/kbc8eEG+nb1uRaqt0n4lXxuH6HaCRJmVP/+1/2eAX+Mi7mV -47R5OeBzWwlVhAJjJxf5XCfGjTnFHde9XCcyo6oLf+wsVG+PHDfxh1NCFR3Ed3c6HdS9b9rrIPlX -fj+ne5XxSQchInm0N+OrdRAzkL0OqoWq4kUH8UGlAx0kPw39obCPn3kipTtHsGXS70AHKd9rhOrJ -d1R13HX/R1XhJMzg9EuFodBUCg3D4BIvCZd9VG5UtBqoO4YvWim+/6liVQFUIvFxEc/x8eivChcN -ROUKGvmh3LGg0HQeHJfT4NxxJS8IZB7XiH3c8a8baEOhSl5xLC7iuHDD4fp8RAdanhHHvjimuS3w -p82EKnfY5K+cG98f/8QHlfAx4v2lDgHfo7OKzk7xr0nDvAziAl/tfolQTVuEag6y9JH02fTHLOlf -u30afIq/TcVlXjbhK/K5LYVqoOPpN7kJEhdgGvMZ97kw4zpg8hhzE0TfdKFQzf4Kv+WdaK41ua6l -v4vrOfkx15U/dVHe5mNK5hrJNp8SquiInGlHFI50UPSH3fjJu84hRCsdhMDEhifkeh0k3yNdrhE5 -dloHcRNFcVY6CP3S6aD/dd8ukcg90EHa96SDujgmherBo7/KLyK1zKg+idMUrN329f+eRuExQOnk -ZjDh2ehvWtJ5cJFEReTJ301Fd/H3M0BxjNS3KoQGojNgBpLBiTsSDEp5xyKc4UN3dyLsEYEIVR33 -QFqKi/2RtmCbRmKdgbNr5O2FKoMmzkZeKU9CujhaDqru4G4T/OlSoRr+ryXnRZxX6evqGPGxp3Ot -u0mEMBnFYW6CLYTqE92Hk/iyYN2nRV8n/4tHmWKQ6S7ipuMwL5nwqz2EquCxTGYcuj6v6+OiD1U/ -SJ96nk+b5w5tvsWMKvHkzRD8in4NX+OCmiX7mMH3eHq7ZB9zUqjKLmZOGRvj+r/ooOJPcW2m7Scd -JI2hOGNs/d6NrVM6iKc0Iy7FkYK400GdfuJJ0loH4b9xbZhpy3+fdJC0iOzisWN0kOLrdNC0UI0Z -VcX/YoRq9yhY95NkKoL9VDCwzj4ylwMLx9BYERbh3ZLKzgbiTgPbcaz2ZQMRB3FxcUTnkXZ0NJk2 -66Td7VO8so+7YTsJVRyUKf9Ir5SDJY8gx2PIEtfYZtnNbbGlUMWPw88534p/IUjS99jPeUF6ozjM -TUAfh29tIVTzGH7z1fdpujiM/lXbEW9lNxWHedlE28vndhGqjPc5vn8q4zt+V11P2O9uD9p8K6Ea -/sNFs3wq+ri4dtWYyvWr/DiuHe1nN0u2Pf3OKaEaOkjr0GuA8KW8NpOWKddm8YSc4lyqg3LfrA4q -6RAP/jupg8r7sdhsJVQ/aZ0PJvXCVOv1f1RTvP4Tocr+sKVzKBXYo7iDsk08dfw9B8c8EZ3CRFg4 -DevVvqC2jbCntA+OFU8NtN07qq9eV+nVEKYGGR5jbodNhGqhP496Hzs8z+CceM3LYUuhmvTHpb9N -9K/mNgm/2kmo9qSvFWLMNTcLPreFUK2JPg6yf2O/ts/tM83LIPo3MSVU4x1VhB12S3RQ7BtSjaWD -8PTJXNb7Z3XQIO2DY8VRoSpxG3YIVWnDEKaIVcRpL1AH76j+vuL/qNYNNEtVUXFMqaxjNnXYVCXX -+0fxDKntxNZClXxMpguETR1jboYUqt0j64ePrU3ZnyLOyVP+BmfEa14O+AB9Ehdx+NtWQnXK7+q+ -2dwm6RcI1XgNodyMy3Fx6pg12O/MAcUfEKo89hh93EZCtfaxHvvaTRN+IZYI1VkGvpRxH7Opw+o+ -rz4u94/iGVLbibkZ1eHvafiPav17mhSsx/6jmtvdf1S1rX3/ZkY1G6hUwLUzbqCNhKoxJ9hSqBoz -B4MSA82WQtWYY4Rfyef2EqrGHFD8KoVqPDWygVA1ZoocN2eFqmyGx14jWZ61QvXUf1S/iPgFTSVU -u8eAu/+oIlT/3X9ULVSNmaU7P57+J8w7BGw/l/PGPC/qfo6bIwjW7t2U9EVjtiP9ipshfC01fmNU -Ljzsb2YP8Ctu9nIN+vn7z3h3nv/p29/M1mT/djNC9YxHfz9LtPI7mnz0N39Pw79UY5b1Kh79fbYN -ZKFq9odziHOte/m9e6HdQtXsRfTb8i38DH9jYMh3ou1zZmvCr7REODCr2n20o3zpvrIzZiv6MbV8 -RIZlCNWBnTGXkuOmhepxoVr/R3UoVGPbQnU54wayUDXtyPNpKswYY4wxxlwPqRtuRqhSHsoiQXn4 -H9U/IVY7cZqCtROqV/17GgtVY5YT59MzOVfMC8H+Zox5ybiPMzuSuuHWhOqq/6hq+5hQje1/KlTL -MQi7LPxVQz4F/2ObFKpfJFSxoxLjP0czUPZhGkeIznQqjiGKc028xhhjjDHGmH1A2A2FKjqC/aEb -XogOOhCq0oYhTMujv7GOYA1Y74RqPPpbHvONGVSJ0vod1cBCdSGjBjq8k9ALVdnwvsMr3iWc4DVL -win7MI0jRL3qmDh2QL+vxLkmXmOMMcYYY8w+3IxQPfcd1YFQ5Z3VJ6H6z95RlbB7rlPeyveD8t8J -1a48j6rYTwhVbHk2+6sq8OF3QZVb8U773vA5dF7gx/5U+QlDoKoh3n7rjh3Gl2kQJ2nHMdXsqjHG -GGOMMaYdvQ6SbhgL1T/l0d/n83HM1EHke2rC7qz/qEqE8nhvPOYbs6ndEtH6z/6jyvInilnqmF9u -MBPJ8tohn/BF+aZBaKAszy9VKP8cxO7D91/375nS/u9/wXtQYwVlmwakcvnVyKfP0+kFUTeP9x9V -xx/UoHl8HyfL/ykdngFHAKuu36shuQkwGZ8xxhhjjDFmV/h2DSCymNBCLyTooK/PVAeRb/Lf6aAL -heofCVXFlUIVUfpPhGoIu9I4v//vb/BLGXiW/K/L/wjtJ/ybKv0jjaEGevff/0lIilwK9r/59uv+ -rerl6/fH+19/B/FX/BaPTHkrToQqx2Y8fZz/pzjV4K8laN9/+XH/7eHX/aPsf8uBpuI0z5k/E/uM -2Qv7mzHGGHMpU7phyu65kGVA1yFUHxGq5zz6KxF77Pc0TR/9HQrVP4LHZpky/p9gee2Qzy6vh4I7 -GyrDf6jSmQGNF4UrofpBgpL1t49/7l99+BEV/vD4axD3YXr/J3CAB8X5XnG+/fVfCNMPtVAlToVx -B+PDp4dIn+P/T3Z1fOZ5kn6BH8BwvzFbUvdFnb89r37aPD+m+rjaD43Zkie/6vyNGaHD/cZsw1M/ -dqgZOt3wMnQQS86jEKoHM6pPX/19p7D+g0osBx9TQph2M6jdbGo8/vvf074mH1MazagyK1lmIJlR -ZObw2omZz8zr/8ZClSnw36j+74/xHuubh+5uQidU/xfikm3eJb1T3b19+/3+68Oj4tNxQYm74o/2 -P0p4fpHd288/7998/93HlSKVx4zffOk+5PROzvFdzvFLjsGxU3Ga50XOuHfnjNr0mZ035nmR/Vzn -c52/5X76t6G9MRdR/C3W6+sCsL+ZHXjq356wv5k9yL4stivNEDxD34tzJ/NadNASoTr3H9X+0V8R -j/qWbYTqP/k9DUue1f7++Dseff0iWF475BO+qfLJ/++qPDgaH4j6qor78PHh/o0a6bXEI7On/cyn -BCbPbL/++DNeRn6tiuaF5K8PvxQ/TKX76/6LhC11+LrE+e7X3y5OZmh5vPiH4vzwI+J88+579x5t -xHcsTvOcyPODL2Vzw6c7b+QXlY0xW5H9HH6Gv/HBBPoo+5vZC3yLx7r4r+DPP7/jZmv64ZS9MZcQ -fqU+jb4Nf4s+LvcbsyHZj9GnPUpwxaRWgdf6nrsOohzdUwmH76i+iP+o/tSAhKB6+15xvBUSWFcP -+RR8qAjRUH/tigb78l3lkd1r7iZQDyobX+Nl9jMe++Wl4m+/7l+/e7h/xS9sZPM6yq4GDaq0err9 -r1XXYa9GQpj2jxLjAHztV/HEV8PUePyu5nSc5lmhtn0nX2JgpXPozhu1bfiOMRtT/Orz15/hbwxK -fPSN/TwFMrI35gLCpwTXCXygIz4u8uVHjKXu48wuyK/e6Vrqsy50v/38df9Z126MsfY3sxdct+WT -pU86qPut5bPq68in+Kg+utNBa35P8/QRpSfRuuQ/qv/g9zQ820wB3xM5yluJ3r3S8tohn4Kv6j48 -Tv1HVeXhH0NRnk6IvtXFHh9Bisd+1ThvPv2U6FQdEU7ZYSqtmmLDMSFsv/6KL2V9+J+E72P39eCY -agfqfEmc5vkgf+LmB4MqF3HP7rwxz4vSf30swoEv/TFAxf67CXtjLgGfkm9xAfL5+2PAjZGnsXRg -b8ylyK+4Dvv4+We8VoVYYIy1v5nt6cbTd7pu4/sxkzoIu+fie+RT0Ed3Oujy/6h+1nHfi1DNGdV/ -LlQhGohjUlSxvHZKQ+FYUz+6pbNLAZGiMWZAv0tcPKpxtIzZ1BIW9ZRxL+Apzh/ROO/4xY3q/5Uu -IjO+tXGaZ4D8iRn1uPubQpV2fi7njXleFDH68RMzqr/uP399lFDV4FD6v8ljjDkXfEo+xwUIooHX -Vp4u3io7Y7ZCvsVNf4QqN+M+6jrq9Wtuxk3YGnMJZdykT0MnpAYKHfT7Jeigwwm7w3dUn35P8+bX -nwOByjurbHf/Uf3v/kFiNB/xzf+ohkAVPBbMvuaP/iJUux/dyoZCaXntZEPhWJNC9auEKnavutnU -QJ0hYjVmUrWMfZeWlzjVMK+pc4TvlI15MeBPDKopVDmfeL/5uZw35nmRAyYXcSFUGQy4i1n6v6lj -jDmX8Cv5HI9iIlR5xSGEatk/dYwxl4DP8ZQIkwvdUyMSqrqetb+Zrclxk+u2KaH6/HXQMqE6nEnN -7YP/qP6vzKgqnk6odmKV9Sb/Ub1ZoWrMhViompZYqJqWhF9ZqJqG4HMWqqYFOW7ejFA9+uivxOmR -R3/7/6hKqEL+R5XHgf/5o783I1Qp32vt27KcEedgn3mRWKialliompaEX1momobgcxaqpgU5bt6M -UD2YUR1+9Xd6RvXYV395FPif/Z4mGuiWhKoxF2ChalpioWpaEn5loWoags9ZqJoW5LhpoVr/R3Xw -6O81/kc1GshC1ZhFWKialliompaEX1momobgcxaqpgU5bt6aUH0R/1G1UDVmGRaqpiUWqqYl4VcW -qqYh+JyFqmlBjps3I1SH76giVP8r4rQXqIePAU/9R5V3Vv2O6hmMG8hC1eyPhappiYWqaUn4lYWq -aQg+Z6FqWpDj5k0L1TKjWgvVWC9CdWpGFZGas6rx6xoL1WWMG8hC1eyPhappiYWqaUn4lYWqaQg+ -Z6FqWpDj5s0IVcpDWXTNMPyP6ruYSe3E6Zvff0T3jupXCdn4DU2KVMWDQP3JTKrwf1RXMG4gC1Wz -PxaqpiUWqqYl4VcWqqYh+JyFqmlBjps3I1Snfk8j+pnUZGpGVZoqBKvieeCx35xR5WNLsvGM6gLG -DWShavbHQtW0xELVtCT8ykLVNASfs1A1Lchx86aFKo//IlYRp0WopoCFp/+o/g38H9ULGDeQharZ -HwtV0xILVdOS8CsLVdMQfM5C1bQgx82bEaqUh7LomuGc39OAf09zAeMGslA1+2OhalpioWpaEn5l -oWoags9ZqJoW5LhpoYpQfZpFPSZU/R/VCxk3kIWq2R8LVdMSC1XTkvArC1XTEHzOQtW0IMfNWxOq -/o/qP2LcQBaqZn8sVE1LLFRNS8KvLFRNQ/A5C1XTghw3b0ao+j+q/5ZxA1momv2xUDUtsVA1LQm/ -slA1DcHnLFRNC3LcvE2h2v2eJh/9TZEayBbhGjOqEqHfQ5AKiVR+RRMitcyq+qu/Kxg3kIWq2R8L -VdMSC1XTkvArC1XTEHzOQtW0IMfNmxGqlIey6Jph6X9Uv0jIPvBbmjKb6v+oXsC4gSxUzf5YqJqW -WKialoRfWaiahuBzFqqmBTlu3oxQ7WdUpQ+lDUOYIlZjFrWeUYVOtNb/UUWcdu+o/o6lH/1dybiB -LFTN/liompZYqJqWhF9ZqJqG4HMWqqYFOW7eplD9JSH6t3v8N4Tpk1DNmdVOqDJj+vQf1W5W9ekd -VcTrTwvVZYwbyELV7I+FqmmJhappSfiVhappCD5noWpakOPmzQhVykNZdM1wzn9U/XuaCxk3kIWq -2R8LVdMSC1XTkvArC1XTEHzOQtW0IMfNWxOq49/T1P9RzZnVbvuUUM19FqoLGTeQharZHwtV0xIL -VdOS8CsLVdMQfM5C1bQgx00L1fpx30Oh+knb32phqvUQqxaq6xk3kIWq2R8LVdMSC1XTkvArC1XT -EHzOQtW0IMfNmxGqw/+oIkwRq4jTXqAiWp+Eq/+juiHjBrJQNftjoWpaYqFqWhJ+ZaFqGoLPWaia -FuS4eTNCtcyoDn9PE4/+VrOq+V/V/h3VEKRlNlXxsEyRyvqD9nlGdQHjBrJQNftjoWpaYqFqWhJ+ -ZaFqGoLPWaiaFuS4eetC9eR/VMXwP6qs539ULVRXMG4gC1WzPxaqpiUWqqYl4VcWqqYh+JyFqmlB -jps3I1SP/p7mv6O/p/mkbR71jf+oih8I0/iPqh/9Xc24gSxUzf5YqJqWWKialoRfWaiahuBzFqqm -BTlu3p5Q7X5P8z4+piSh2i870Zq81/ZniVA+noRIfUSolpnUeGf1f93M6s///rv/zseZUqgqDQvV -CcYNZKFq9sdC1bTEQtW0JPzKQtU0BJ+zUDUtyHHzZoQq5aEsumZ48/NPCNH46m98POnv/VvWgZlV -hKoIoRri9Ok9VR71DcEqnRWPBGubc9VCdYZxA1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3LcvBmh -GjOqX+/v3sle/TnvoSJS3zxq/UHXrj9+3r8u76e+eZR21PZb8VF18TXeT328//Lz4f6DbD/8+hUf -WuKx4G8/fypunbdc+3Ke6prYQnWCcQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LwtoSobnV93 -Xx8kVos4/a71LxKwEpt3Wu/3fdW2eP3wIC0pcfrw/f7dN6Ulu1ffJEYRrOxn/cNnxa04LFSPM24g -C1WzPxaqpiUWqqYl4VcWqqYh+JyFqmlBjpu3I1TRQTqXuGb4rOXnLxKnEpchSBGqFZ9Zsl/E9pf7 -V9iHbTmWfdpGuN590Ppb6SvqykJ1mnEDWaia/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty8SaH6BbGa -wlTHfUG0FiGaQrUWsrmdAjaPzbB33YyqheoJxg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfMm -hepXxKrsY4ZUhGAtwrPezn0hZgfbLL8pPva9/6K4LVRPMm4gC1WzPxaqpiUWqqYl4VcWqqYh+JyF -qmlBjps3KVS/aJmzoohNHuftZ1YrQZqiNWdXv2k7xWlt87FoKzSWheo04wayUDX7Y6FqWmKhaloS -fmWhahqCz1momhbkuHnTQpV3UxGfIVIBAXpsHXut96I2j9WSd1RjRtVC9SjjBrJQNftjoWpaYqFq -WhJ+ZaFqGoLPWaiaFuS4eZtCFWSfM6L1O6cpRGMWtWyzP8VqiFT2C2ZXOfa931GdZdxAFqpmfyxU -TUssVE1Lwq8sVE1D8DkLVdOCHDdvV6giTKEI0xShKUp7oZphg/ADocqMqvJBXVmoTjNuIAtVsz8W -qqYlFqqmJeFXFqqmIfichappQY6btylUEaflvdOcMe1/UaP1FKYHs6tpy74Mx1bE72mUD+rKQnWa -cQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LxdoVqJ0ZFQZRuw03L0aHC13QtVP/p7knEDWaia -/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty8SaHK72kOhCgzpoJHeeuv+gLbIUi1noKVY/ILwOzzf1Tn -GTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx82bFar9rKlIUTrczn2j7WKXorb/j6qwUJ1m3EAW -qmZ/LFRNSyxUTUvCryxUTUPwOQtV04IcN29SqMbvacp7pzFjiuDU8TmzmoI0ReqnyjbFKbZp4/+o -zjNuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNylUY0a1FqOg7dzXb2d4FVaHp2jt/6OqvFio -TjNuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNytUU3TC6GNJ7GMWtWyHOC02IVLZLxCq8Xsa -v6M6y7iBLFTN/liompZYqJqWhF9ZqJqG4HMWqqYFOW7epFBd9B/VwfYw/ECo+j+qs4wbyELV7I+F -qmmJhappSfiVhappCD5noWpakOPmbQpVxGm+i6p1ROfw9zQhSrHTMt9nDVv2FdsQrcK/p5ln3EAW -qmZ/LFRNSyxUTUvCryxUTUPwOQtV04IcN29XqBZRmsIzZlfZFiFU621s034YJphRtVA9zbiBLFTN -/liompZYqJqWhF9ZqJqG4HMWqqYFOW7epFBd8h/VnEVlO8VpvsvKMWnLPv9HdZ5xA1momv2xUDUt -sVA1LQm/slA1DcHnLFRNC3LcvEmhOppR1TK2Rf8IcFlHpKZA7WdRq22Oja/+Kh9oLAvVacYNZKFq -9sdC1bTEQtW0JPzKQtU0BJ+zUDUtyHHzZoXqwYxpEav9jGkRoSlKa1vIfWnzoWgrC9XjjBvIQtXs -j4WqaYmFqmlJ+JWFqmkIPmehalqQ4+ZNCtV49LcSo59FCNSyHaIVccp2EaaQYYG289Ff/55mnnED -Waia/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty8XaEq+16ophBV+MF7q4SzT8sUqylU2ed3VJczbiAL -VbM/FqqmJRaqpiXhVxaqpiH4nIWqaUGOmzcpVP0f1faMG8hC1eyPhappiYWqaUn4lYWqaQg+Z6Fq -WpDj5m0KVcRpee80Z0z7jyhpPTmYXU1b9hXbEK3C/1GdZ9xAFqpmfyxUTUssVE1Lwq8sVE1D8DkL -VdOCHDdvVqiGMNUx+QXgr/lxJLbZX4RoLUxjf4aVcLZ5R9VC9TTjBrJQNftjoWpaYqFqWhJ+ZaFq -GoLPWaiaFuS4eZNCNd5R1XqK0pgxFcOv+rJkOwRptS/2a5/fUV3OuIEsVM3+WKialliompaEX1mo -mobgcxaqpgU5bt6kUJ39jyrbhBX6R3/Ldm/LuvB/VOcZN5CFqtkfC1XTEgtV05LwKwtV0xB8zkLV -tCDHzdsWqiJmUHUs5Nd/e5FawvrZ1dyHjfb1QrVoKwvV44wbyELV7I+FqmmJhappSfiVhappCD5n -oWpakOPmTQrVLX5P40d/1zFuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNylU+T1NiFTEqZYj -oar1k0IV2xJmobqMcQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LxZoRpiExCmOjZFaIrSEK/V -9jA81jlW63z110L1NOMGslA1+2OhalpioWpaEn5loWoags9ZqJoW5Lh5m0IVgZkfSNJ6zI5WYjRJ -Edt/TAlb9hXbEK3C/1GdZ9xAFqpmfyxUTUssVE1Lwq8sVE1D8DkLVdOCHDdvUqimOO1FKcKzfByp -F6dFiOZ2itNcJ4z3VD8L/0d1nnEDWaia/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty8TaEKsq9FKbOm -KT4Rovneav9V4LKdhK3CsPOjv/OMG8hC1eyPhappiYWqaUn4lYWqaQg+Z6FqWpDj5k0KVR79zXdQ -h7+nyf+ohpAFbfeP/mo7hWw/Ayv8e5p5xg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfNmhWrO -jNZCNfflNiKUZS1Uj72jSj4sVI8zbiALVbM/FqqmJRaqpiXhVxaqpiH4nIWqaUGOmzcpVGf/o6r1 -TylO2SebFKcpYv0f1XWMG8hC1eyPhappiYWqaUn4lYWqaQg+Z6FqWpDj5k0K1eHvafggUohQRClo -Pd5ZJVxhvVCt1mO/bFm3UJ1n3EAWqmZ/LFRNSyxUTUvCryxUTUPwOQtV04IcN29XqKYQRZjq2BCi -KUBZZ1nC8tHfWqhmOOsfLFRnGTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx83bFKoIzCOP9oZQ -ZZsldloueUfVv6c5zbiBLFTN/liompZYqJqWhF9ZqJqG4HMWqqYFOW7epFCt31FFaIbwZIZV6/Fx -JW3nV4FTrKY4jXX2F1vs/HuaecYNZKFq9sdC1bTEQtW0JPzKQtU0BJ+zUDUtyHHzZoVqis0Qq0WE -DrfTphaquc0yRe17vvproXqScQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LxJodr/R1UgNg/E -KI/5ap19+ZjvwX9Uy77cBv9HdZ5xA1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3LcvEmhWr9nym9m -QqRC2cd6fAm4MHpHlWV1rP+jOs+4gSxUzf5YqJqWWKialoRfWaiahuBzFqqmBTlu3qZQBdkn/o/q -/owbyELV7I+FqmmJhappSfiVhappCD5noWpakOPmTQrV4X9UQ3wWERriVesI0BSyKVLr7VjnWK37 -Y0rzjBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+ZtClUE5mDGNP6dCqyLWGKnZf/oL7bsK7Zp -59/TzDNuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6btytUU2wiPnVsLVSTXqimbe6rti1UlzFu -IAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNylU+3dUixCN2VURXwAuQjRmUYtNCFL2IVBZF9j6 -HdXljBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+bNCtUQoYV6hrTeTpt+u9jk/vxVjf+jOs+4 -gSxUzf5YqJqWWKialoRfWaiahuBzFqqmBTlu3qRQjf+olvdO+1lUHR/76plU9ssmvwCcs6isY5fC -9mPRVmgsC9Vpxg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfMmhWrMqEpsptBEmIb4zG2t52O+ -uT20j/+oFtHqGdV5xg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfNmhWqKTug/lqTwFJ/+j+q2 -jBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+ZNCtUl/1GN8LJdi9R+m3WO1br/ozrPuIEsVM3+ -WKialliompaEX1momobgcxaqpgU5bt6mUEVgHpkx7cUpS+y07P+jmvsqW8L9e5p5xg1koWr2x0LV -tMRC1bQk/MpC1TQEn7NQNS3IcfN2hSpiU8cMhWcvVKvtDM99scx1wTuqFqqnGTeQharZHwtV0xIL -VdOS8CsLVdMQfM5C1bQgx82bFKrD/6jm137rr/oefBVYNvW+2K99fkd1OeMGslA1+2OhalpioWpa -En5loWoags9ZqJoW5Lh5s0I1ZlMLKT5jlrSsE542+bGlsKnsU9Ty6C/5QGNZqE4zbiALVbM/Fqqm -JRaqpiXhVxaqpiH4nIWqaUGOmzcpVOM/qpXY7GdWy75epLJf2/XsaorT+j+qH4q2slA9zriBLFTN -/liompZYqJqWhF9ZqJqG4HMWqqYFOW7epFAdzahCEag5YxqitdqG2p6Z1xSt/o/qPOMGslA1+2Oh -alpioWpaEn5loWoags9ZqJoW5Lh5s0I1RSfkTCozqCk++y/9ajvEabEJUVuOw5Zj/Y7qPOMGslA1 -+2OhalpioWpaEn5loWoags9ZqJoW5Lh5k0L13P+oHmyzzrFa939U5xk3kIWq2R8LVdMSC1XTkvAr -C1XTEHzOQtW0IMfN2xSqCMwjM6a9GGWJnZb97Gruq2wJ939U5xk3kIWq2R8LVdMSC1XTkvArC1XT -EHzOQtW0IMfN2xWqiE0dMxSetXCN7bJe28Qy14X/ozrPuIEsVM3+WKialliompaEX1momobgcxaq -pgU5bt6kUI13VBGkiFQdFzOmov6qb/2l3xSnuS/2a5/fUV3OuIEsVM3+WKialliompaEX1momobg -cxaqpgU5bt6kUB3NqGqZAjTeT2WbsEL/saWy3duyLvwf1XnGDWShavbHQtW0xELVtCT8ykLVNASf -s1A1Lchx83aFajVjGts6HkE6FKqxv55dxRYbbEu4heo84wayUDX7Y6FqWmKhaloSfmWhahqCz1mo -mhbkuHmTQvXo72m0ziO9rPf7KmEaEF6Oy0d//dXfecYNZKFq9sdC1bTEQtW0JPzKQtU0BJ+zUDUt -yHHTQlXUojRmTbXef+mXbdmcEqp+R3WecQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LxJoZr/ -UU0hGuKziNAQrxlWtnvbiW2WfPXXQvU04wayUDX7Y6FqWmKhaloSfmWhahqCz1momhbkuHmbQhVx -emTGtBejLIuI7WdXc19lS7j/ozrPuIEsVM3+WKialliompaEX1momobgcxaqpgU5bt6kUE1xmkIT -0ckjvGzHo79axuPA2CBMi01Q1tP2s5a8o2qheppxA1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3Lc -vE2hCrLvZ0wlPHuhKkK41l8FLvtqeqGqdX9MaZ5xA1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3Lc -vEmhOvkfVUCAphAFwsXBo7/FLrc5zr+nmWfcQBaqZn8sVE1LLFRNS8KvLFRNQ/A5C1XTghw3b16o -9jOmgPgsIrQWokveUSUfFqrHGTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx82bFKrx6K/WAx0X -76MiPLUdwlXrn458bClFLY8I56O//j3NPOMGslA1+2OhalpioWpaEn5loWoags9ZqJoW5Lh5k0I1 -fk8je4gZUtYRoYhS0Hq+s5p2IVqxz/UM1/Kdf08zy7iBLFTN/liompZYqJqWhF9ZqJqG4HMWqqYF -OW7erlCVwAzBWQtVLUOMZhj7xcl3VLX0f1TnGTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx00L -1VqoFvGZAjSWwv9RvZxxA1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3LcvEmh2v+eRoQQlejMR33z -HdVenKaQBW3nMm05zr+nmWfcQBaqZn8sVE1LLFRNS8KvLFRNQ/A5C1XTghw3b1aoIjhTqAKCs9/H -etmO/6pW9I8FixS1fvR3nnEDWaia/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty8SaHKR5NCmBaxmbOm -uS8EKbBf2/XsaorTL9oXNuJD0VZoLAvVacYNZKFq9sdC1bTEQtW0JPzKQtU0BJ+zUDUtyHHzZoVq -CtIQnloGiNGyP8Uqy9E7quwv26z7P6rzjBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+ZNCtVz -/6OajweD/6O6jnEDWaia/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty8SaF69D+qbBcQoDljGmFVeAjY -DNeSd1T91d/TjBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+btCtUiPg+EahGfvRhlKU7+nkZL -/55mnnEDWaia/bFQNS2xUDUtCb+yUDUNwecsVE0Lcty0UL1UqAoL1XnGDWShavbHQtW0xELVtCT8 -ykLVNASfs1A1Lchx8yaF6pJ3VFOcst0LVuxYZ7+Wfkd1OeMGslA1+2OhalpioWpaEn5loWoags9Z -qJoW5Lh5s0IVwRkzpCLFZ+6L9Xq7IsP9H9V1jBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+ZN -CtWT/1FlJlXrOeOKjf+jejnjBrJQNftjoWpaYqFqWhJ+ZaFqGoLPWaiaFuS4eZNCdcmMaj7mm9sH -4Sy17RnV5YwbyELV7I+FqmmJhappSfiVhappCD5noWpakOPmzQrVnDFFeObsaj1j6v+obsu4gSxU -zf5YqJqWWKialoRfWaiahuBzFqqmBTlu3qRQXf3V3zoM+2qbpb/6O8+4gSxUzf5YqJqWWKialoRf -WaiahuBzFqqmBTluWqguEao5u0rYUKgKC9V5xg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfN2 -harsQ2zWQlXLKaGawjTDI6wK5x1VC9XTjBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+ZNCtWL -/qNa8Duq6xg3kIWq2R8LVdMSC1XTkvArC1XTEHzOQtW0IMfN2xSqiFHZI0ARnDFrWmCmNMRobrOc -2B599Vf5QGNZqE4zbiALVbM/FqqmJRaqpiXhVxaqpiH4nIWqaUGOmzcpVJf8RxWb2K99/o/q5Ywb -yELV7I+FqmmJhappSfiVhappCD5noWpakOPmTQpV/0e1PeMGslA1+2OhalpioWpaEn5loWoags9Z -qJoW5Lh5s0I1RSrk7CrrKT7rWdReyALr5ThsOfa931GdZdxAFqpmfyxUTUssVE1Lwq8sVE1D8DkL -VdOCHDdvUqj6P6rtGTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx00L1SVCNWdXCRsKVWGhOs+4 -gSxUzf5YqJqWWKialoRfWaiahuBzFqqmBTlu3q5QlT0cCNWyHQK0+lhSCtMIL9v+j+o6xg1koWr2 -x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfMmhar/o9qecQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty -3LxJocqvZ0Jw6pgQploG2hf/US3bCNQDIVu2U6ymgOXRX/KBxrJQnWbcQBaqZn8sVE1LLFRNS8Kv -LFRNQ/A5C1XTghw3b1aohvjUMTFjWsRqP2Oq9RCq7Ne2/6N6OeMGslA1+2OhalpioWpaEn5loWoa -gs9ZqJoW5Lh5k0K1/z2NtlnWM6Y80hviNPelME0I51hsFYadf08zz7iBLFTN/liompZYqJqWhF9Z -qJqG4HMWqqYFOW7etlAtHAjVIkz9H9VtGTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx82bFKqb -/kdV+Pc084wbyELV7I+FqmmJhappSfiVhappCD5noWpakOOmheoSoZqzq4RZqJ7FuIEsVM3+WKia -lliompaEX1momobgcxaqpgU5bt6sUE2RyXL46G/s42NJ7KuEacBxxTbsBI/+WqieZtxAFqpmfyxU -TUssVE1Lwq8sVE1D8DkLVdOCHDdvUqj6P6rtGTeQharZHwtV0xILVdOS8CsLVdMQfM5C1bQgx82b -FKqr/6NaP/pLGDZlGzv/R3WecQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LRQnRGqLJe8o0o+ -LFSPM24gC1WzPxaqpiUWqqYl4VcWqqYh+JyFqmlBjps3KVSXPPr7KcVpsWE97FgXfvR3HeMGslA1 -+2OhalpioWpaEn5loWoags9ZqJoW5Lh5k0L14GNKRWzmDCniM8Rr+ZhSzL5iW2xyNhabsBP+mNI8 -4wayUDX7Y6FqWmKhaloSfmWhahqCz1momhbkuHmzQjWFJ0K1FqIpQHOdsCWP/lqonmbcQBaqZn8s -VE1LLFRNS8KvLFRNQ/A5C1XTghw3LVQtVJswbiALVbM/FqqmJRaqpiXhVxaqpiH4nIWqaUGOmzcp -VOMdVdknw0d/We/FaQpZwK4s05ZjefTX76ieZtxAFqpmfyxUTUssVE1Lwq8sVE1D8DkLVdOCHDdv -W6hqeyRURQjSQghX9g32W6iuY9xAFqpmfyxUTUssVE1Lwq8sVE1D8DkLVdOCHDdvUqjyQaQUp/Hx -JIEQzX2sp5Blu55dzRnXL3xsqdh9KNoKjWWhOs24gSxUzf5YqJqWWKialoRfWaiahuBzFqqmBTlu -3qxQTUE6nDFd9B9V9pdt1v0f1XnGDWShavbHQtW0xELVtCT8ykLVNASfs1A1Lchx8yaFqv+j2p5x -A1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3LcvEmhGl/9lT3UM6SxXUCA5oxphGU49oRV4e/91d9Z -xg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcfN2hWoRnwdCtYjPEKCVEO1nXAkrQrUO9+9p5hk3 -kIWq2R8LVdMSC1XTkvArC1XTEHzOQtW0IMdNC1UL1SaMG8hC1eyPhappiYWqaUn4lYWqaQg+Z6Fq -WpDj5k0K1f4dVR0DvRDVPr+jug/jBrJQNftjoWpaYqFqWhJ+ZaFqGoLPWaiaFuS4ebNCFbGZQjXE -ZxGhQ1Ga2wfhLLWdopZ3VC1UTzNuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNylUT/5HlZlU -rWOTj/l+/twt6xlX/0d1HeMGslA1+2OhalpioWpaEn5loWoags9ZqJoW5Lh5k0I1hWkKzRCmiM/c -RpwOtg/CWWp7NKNqoXqUcQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LxNoVrEaqDjcna1njH1 -O6rbMm4gC1WzPxaqpiUWqqYl4VcWqqYh+JyFqmlBjps3KVRXf/U3RSth2Fe2hPurv/OMG8hC1eyP -happiYWqaUn4lYWqaQg+Z6FqWpDjpoWqhWoTxg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcdNC -dYlQrcMsVM9i3EAWqmZ/LFRNSyxUTUvCryxUTUPwOQtV04IcN29SqPod1faMG8hC1eyPhappiYWq -aUn4lYWqaQg+Z6FqWpDj5m0KVcSo7GOGVIT4LCJ0SpRGWB3OUtv+6u9yxg1koWr2x0LVtMRC1bQk -/MpC1TQEn7NQNS3IcfMmheqS/6jGTCr7ta9/R1XbKU79H9V1jBvIQtXsj4WqaYmFqmlJ+JWFqmkI -PmehalqQ4+ZNClUe/c3Z0ZwhDfGZ26zX2xUZPjmjqrxYqE4zbiALVbM/FqqmJRaqpiXhVxaqpiH4 -nIWqaUGOmzcrVGPGVMdAzq7WM6b1LGqK1f5xYC39juo6xg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQ -NS3IcfMmhap/T9OecQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3LRQvVSoammhOs+4gSxUzf5Y -qJqWWKialoRfWaiahuBzFqqmBTlu3q5QlT0cCFW2CzzSm0I1hWlvT1iGa8k7qhaqpxk3kIWq2R8L -VdMS/O3u9VcJ1R9FqP6UUO0u4uxzZmtiXJXPhVD9XoQq1xPyQY+lZnOKv73RGNoJ1UcJ1Z8Sqt3+ -yWOMOZPUDTcpVF/Ef1TLMc+qgXSxxt1eC1XTCvwJofrFQtU0AH+zUDWt6MZVC1XTiOJvFqqmCYyZ -4iaFKr+nQXiG4GRdy0D7mCnN7ZxRHT36y/6yzTqP/pIPztPmQlW8fvdw/1oFW827792xp47PcGyn -whcS5VkiVHG4c8tjzABOyNflsbhvjxKq6gjYto+ZPXilfhLoz/C3z/K7twwEsX/6GGPOBZ/Ct97p -euCLRMPXn6WPe9/54dQxxlwCfvXm48P9R13oflUf9+n74/0bxlTG2gl7Y84h+ra30gNLhKo4e3yl -nwwmwhLCgst8/K5MkiwWqjmLilBN8Zn7UqTGfm1f5X9U85i3D/dvPv9UXGegRo5jTx2f4dhOhS8B -Z2JW4fVXCdWHyQbi7lxOSUdautALhnEZs4LX8t23Wn75+fv++y91BD9+3b+1X5mdwN8AP8Pf8Lv3 -GhBy/9QxxpxL+tUHiYVvj7/vv2ls/fgg4VDChvbGXAp+9e7r4/3nn/Rxv6OPY4y1v5nN4BoN3SBh -mDpoJFQPdFDRDVNxzcFxwURYQlhwqQ6SAD3QQYcTduNHf6UD87He/tFfrfNIbwjRwnDGNQlbhXHs -+3/46C93Ed5+/XX/7kF810U4iWvQOorCsQv7hGOmjgtbUdsObY5R4uMY1l99GDbQEaEqx0RIZHqj -eI1ZwZvih1/kc9/pCB7xq7GdMVuAv8EniQb8Db97r34s908dY8y5pF99+PHr/ttvCVXxSQIiw4b2 -xlwKfsW12Wf6uN/q47TkutD+ZrYirv2/Sjcg2IoOOilUpYPeyD50AzpoIs4hI23zrdMqI1v8fWg7 -tDlGiY9jpnXQEqFaOBCqCFOt17OoB0K1LK9KqKqC3/1UZ6G4IvFSOSNK2DsNau8e/96/+/WnW3Jc -hld2rEe8v4rtT/EwkwZkuOJ99/hH+36VBuLR34VCFTG90OGMOUYOnr1Q1UVcdDKn/NeYM8HfwELV -tCD9aiRU7W9mJ/Arrs0sVM1ehLCTPlokVEM3SKjil6mDiOeYP7If26JPOh0khvqpWt9PB50Qqi/h -P6rxwQTs1UB0Gu///L1/T0UW5X4MZpPeq7Lf//mf+K9b/i5iNe8oAEJR+wh7sv0vhC1hdZxTxF0H -5ef9bx2jeMZT3seFKtP+kcaCdIw5BXfZ6DRCqGpQPZhtMGZj8Df4pIEthKou4g6EqjEbkv52IFS1 -nmFDe2MuBb8aCdWyf8remNVwjYYm4JXBooPmhOrb70WvLNFB8l/sQtOgndA3czro7wU6iGPQQR9U -nqUzqi/hP6ojofpXFfjf/zrVf6SRukpDpNIoVDZ3E0olZiNR+TQOdw1CpMqWOLHFDmikU45AOrKJ -hhXRQLcgVN1RXx0xeFqomkbgb2ChalqQ/nbzQvWWyvqPwa8sVM2unCtUF+kgZjiLtkH34L/oIB0b -x1U66G3qIPRS0UGhl/4ojdBB1czqkCkdtFaopghFeA4f/WWJOI0vAGu7FrL5xeAQrdhpnUd/m/9H -tReqSlAVGpX+v/+LRnqPqByIvO4OgiqZRqSiaQzCtD/EKw1cxCrQMFG5THVnXEx/kw77y1R2nUYQ -jUN8ygf50ZLG9IxqQSdgMBVmNicGTwtV0wj8DSxUTQvS316sUPVYeXXgVy9WqPr67DrgGm2VUC06 -CB1T66CBWA0dlOIxdBDhnd7oxKr2VzroXeogRGmZaWUSL54yzf1TOoX4Ugf9HzqoS2uVUD33P6oB -6+Ja/qPa3UlIoapKARqrv6OgRigfJ0qbmD2lchGEdDpUKA1LZdK4UcGlgXTB9RRHabj/VPEZB4Ut -HVTM2Oax2IRQ/e/lC1WdVO9+4MDUwUR4TWXXf8TK7EYMnmqflyZUw3/wpTl/S98ErU/amM3A3+BF -CVV8qPIjtiftCot901xM+ttLE6qMjeFHGivfzvhR+Bpj6gLfNJeDX71IoVr8LfxoKrzQ92/2t/1Q -vZ4lVHmMd04HMUOK3kHDEJY6CAHKfgQsM6kHOuhPsZvQQRG/8lz8/0AHoYGAOLV/3Yyqlik6Q5hq -mbOmi/6jik3ZJvxf/kd1JFSp6BCdqH3uKFB5lVBVWD8tDjo2KjWOSaHKnQUaiAZjGlxxUPnHhCoQ -zrEljZsRqtQNndavAgPrsc6Ltnh8stvsQo70kqnwc4i4/mX9b5N2DJ4qyzqhesV+R7swUKYfaRl+ -NdX27MM303brgXVJXNgsTvNl+BusF6pX7HP4W/Zx+NsxP2Jf7ZsLRMZiFvtQYZH9TnXe0N/T316U -UFX9hb8VP3o7M6bGWIq/YbtpH3fF52SyWVmHHC87frWvUP0H9U4/hR/hczN9XO9vp+zO4hn4W7K0 -zIvrZlB2HXe2UE0NFJoGvTPUQZVQpa9MHTQUqvmkaWxXOihnWodCFQivdVDM7griUNhuQhUOhCph -2JRt7K5GqGbjJEw7F1EaqFLZH0JVFR+N0d85kK0qv9uWHfFRuVR62HKM1nVsxB1CtWqcbNQ6/XJX -4zyhqrrBeSp6Z5hgqR082U6HL4Y8VgNqdl6TggDb2u53tz2yWwvxZvuKSZuk2OaJOWkD5Cni4+Sc -r8+l9HmcS5u24abITNrRhn2c0zYxeCpssVBV2Lvv82nDkx8tyOdC2znibi5+hP8AvjQlCChHNaCm -bQystd05UI6Zeg/IQ293otzEEXEuq/elRH3PpQ2RT6U9Vx4G0xLnsXzib7BGqHZ+UV7FOEFndzzt -mjW2J6E+6OOy38o+DJ8b2B70hZVvXt7PqgzU+Wz7kFZnt8h2UZsvZ1XaYVsufibCezJO6mAiPP1t -nVDt4otZ8hPpdzbH065ZYzsLfVztQ+lvw7ySf/wrfQ5Yv7SPIx3KstEYlKTtbH+0FMpf0l6azy7t -E7Z9nMf7I/yK+NYI1Ui/MBX+BDakvaA8i+KboeS596HKl2JMHZQpxl/C8U1sYco31xL1vmT8Uzjl -3rLN15BpE+9UeFL8aPZaU3T5HFxr6vhNhCqcrYPElA5inTgy3sw3cU/pICAOhW/z6K/W89HfFKds -pyBNu9hfbPMd1at49HdYOankqfS4CzBoICr8hxg1kOzqBuLYaCgxFKo4pOLq0i93D4gLmwuFapSL -F6R1PMveiSfAWcJuwcmecc7ZzULZ64uzZNhx0dllBwfYp3Co7c5BZYj2AZXpZHycSHGyzZSdMOJT -e0dHM2WzFvKZac/FSdvga5RnKrwQnU6J81g+Y/BUnSwVqp0f6XzYwd/m4lzCgVCt/KkfVBNs0y9r -f9vgIi4GVOpdPhK+MmUHtHnvm3NtTluWNr/0nIA6n6R9Ks7aj07YxYV9H+d0W+JvsEaoLu2P0ufm -RO0a35yF+qiFKuBL9HG1v4m+L0y/A4TEqbpfAGUIH6J9TvlRtE9pyyW+iR1xXlpHhcVpi86PZvrX -UXnGNulva4Qq/tP1R7I70TYHfnTiQre2gymbVdDH4WNQ9WERVpcJO/wrfa3YXSxUgTJvNAYFtGXx -4dn+aCmq94M4p2wKdb918nwkziwP/jFhg1/R5kuF6oEfHYkTCOt8U/V+wg76MXUmzllKnsN3an/T -+kioRhs+hdc+V8d1FjPneRJ1RJlLW07ZJIdtfkEdJZR/YT7r8pxMO+KUzbAv1P7NhOpQBxUNc6CD -CBvpIBE6SLZ5LPugCFXiiHqgHIprUgcB6at8539MSQyFauwrH1OqH/UNOK7Yhp34tx9TOjWjqspC -UFLBUBoxGqg4b5ANlI1ZC1WEbDiT7DQAdlPesq2FquJmO9K7ZaGanRcDJflPOzqwFBjZuZVO8cDu -HGg/ylI4GV+0oezgVNkJIz7ZnRx810A+M+25OEvaUZ6p8ELnk6fzGYOn6mSdUN3H3+biXMJIqLKO -H+WgmmCbg2rld5sJ1WyfU2WnzbEN5tq8tGXEORG+loN8dm0+aQe1H52w64Vq5nPCBn+DFy1U8Sf8 -qPY30feFlb9tJlQpC+1zyo+ifWo/OmVbt/mFdVRYnLZYXZ7I59gm/W2NUD3oj060zYEftRaq+E4t -BrgxQlhdJuxSqNb94aV9HFDmbMup8MJB+8y1JXZcGGN3ot4Xgw/3+TyRtniyE6fSJs4szxEfxq9o -8z2E6hI76H14ge1JSp7Dj2p/kx8tFqrpb0fKv4iZ8zyhrHVbTtkkh21+QR0llD/zSdqn/KiyO5l2 -xCkbyl37sPZvN6NadFAKZ7SK9h/oIPIr7XNSqKZdxoFQ1TLqgXJo/6QOArSUjl0tVFN4hhCVJuy3 -tQ65HjOpC95RvTqhGpXYiUQyRCWlDZUbzoMgFCFU4xhVZtwxoLJly7by9/QSsRop4igNH3EUx6Lx -fnEM6ZeGukioKm4g71s9tlCxWZw4aT1YMqDSwU3Y9hdy2G01oEI5USbDhkS9TuwfEnFuXO+75XOw -ryIGT4WveUf1qv0N6sEy/WiiDkJYpW8CvnmirlZBPEviWtqOsMZ2CZHHhfW+uDxwPE78Dda+o8qF -3tT+MRv60VJqQVD8aOpiN/xt4JvH+sLVzNR7T9gVpsJrltqtYVXaS31TdkfiTH9b+47qHn3cZqis -vb/NjZX4Zo6pso8bcUvqfwkn6v2AaMvBvinCbuN6X5o2bFQe/Iprv1WP/q7wt3/hmwfXZqWPm6wD -7VvSF57FTL33hN3COlra5mvYyI96psqjfZu9o4oOQsco3k4HFZtaB/H+KkKV/YjKIlR7HaTx/EAH -xYTdER1EWK2DMk4dt41Q1TLE6ECI1jOusa8O1/I976heg1CtP6akCszGicpVw9cvB7/PMB2bdxii -0qnoH7KLxlTl6ph0ovd5x4FKR9BmAwHOJkHKM9v9HYVb+eovnRwdFh3diQuzXjiUgXezDs4cJQZP -1fO6jyldObUfnRgouXiIGVhsYCvRYI6Cv8H6jyldMfjbwI+mhPWBv5U+LsaFgZ3ZjvS3F/UxJTH0 -o6Nj5aAv3OzGiDkKfrVWqF47cW2WYhV/OtFvLekLzYWo/s8WqlM6iPZcqoOYaQ0dpLG7iNpeB+n4 -9xrbn35PM6GDOA4dlBro3K/+xjuq0oFJL0QVHo/+ar2fRdV2L2SB9XJcvqN6Vb+nqQRnVFrd+NwJ -oJGwxSbuGmgZ26rIOEY2xBeN0YWFDSKVOwXxo1vZKa6DuAtMpXeNpHzciFAN52eAhGGdD4iOzRdw -zYjBU3X9ooQqFJ8Lv5sKT4rdEt80l4O/wYsSqlD72yk/WmpnNiH97aUJ1QBfWjJW4mv2uWbgVy9N -qAbF38KPpsITfAxbfM7+tg+q14uF6hodlOK1P6bWQdJAqYOYZSWN2D7+jYHQQYqr10HYy/Y8oart -A6GqdT6SxHotXkOgJoRzLLYKw+7ffkypNBAznWqgfpr72AkUjaQKp5GSOOZp5jSgobjIKuK0bqzZ -u5YaKOPuBA6jfJGf895RHcRrzEpi8JQfvTihaq4S/A1enFA1V0n624sUquYqwa9epFA11wPXaOcI -VcTmEh0U+kYCNTVQiNQ8ZqCDEKuhgzr41s8pkdoz0EFovXWP/haBieCMGVTBeorTXoyyX9v17Cr2 -YcPHlrARHyRS0Yz/5vc0eSeBSuTuwInGKR0JaUYjIVh/aRnHjCs9ZgoV1tl1jcOdgqHdCI7LRpLI -XStU41lwynCsHMYsJAZP+ZGFqmkB/gYWqqYF6W8WqqYV+JWFqtkVrtHOEaox24kOYuJtIl7AT/Fh -Ju16HcQx09rpSQcVW/x9TqQWQgdFns4UqilIU6QGiNGyP8IK9YwrxLFlG7t/+h9VFShUP5WoCjza -OFMgTrE/dUyGTwjZWUIQMwObQvXbIqH6Tk704eFPEF93O4B93f7JNI2piMFT/muhalqAv4GFqmlB -+puFqmkFfmWhanaFa7Q1QjV0kPwS4RmCc4VeCR3UXRdOhgNhwYp4kxC56KBzHv3Vej2TCvWM6Zr/ -qP7zd1RJEIV/qqKHnNOprD2G/MSdBxpoTqh25XmtBvooIfr58X/Bp5//DWBft99i1cwRg6f80ELV -tAB/AwtV04L0NwtV0wr8ykLV7ArXaEuFKjpIdm++/bxuHfRd5QkdtHRGFaQDY9YUYco6ArRs56zp -cAY1wjOshLOPr/7+09/TUHFUxrXBu6zlfdalQvXN+x/3nx7+3n/+9X8dj0M6AQsf4h+vxTGNmSDE -gXwwhCodweOv+/6jG8ZsTArST1zEyd/wuxCqhA1sjbmU9LcDoVrfjBvYG3Mp+BWPPn4uY2oI1bJ/ -yt6YteBf3PiIGciig5YJ1en4/im9DjpHqBbxWQvT2NZ6CtB+xvWq/6OqBNWgCLb4/9RURR2DjC7t -XM7shEJI6tg1M6rvv6l8P/92SIweo//Z80S6xkAMqlp+LYNqCFXCzvRnY06Bv8FIqJb9U8cYcy7p -VyOhan8zO4FfISTy5q+FqtmaeAc0hKp0Q9FBP9W3pQYa6SCEavx+5rnpoBVCFbFZi88QoJUQPfmO -qpb/Uqjevf0WCb359LPA+pXwGbo8IajvXtFAPyYb6KOcLMqvCqQ8b3VcB+tTdOFXV2ZzVbwOX/px -/1UXb9/kdx8fNKBqm/1T9sZcAn4FHzXQ4m+f5Xfv1Lfl/qljjDmX9Kv3337ef32Uz4mP37sx0f5m -9gC/eqsL6s/cHKGP41Fzj6lmS7i2lz+hGxBWIVSlE1IDhQ6ScP0gv0sdFP53jZpAeTyugw4n7Mbv -qKpsSS1Eh++oDoVsrJfj8h3Vf/l7GtT5ayU6iyoomApLaptj9nX4MOwYso28LhCqlOeVnG4Jk2kZ -U4FPvXn3cP/l++P9t586b9QRdP44bW/MJWTfRH+Gv33mjurHzg/tc2Zr8Cn87b2uB75yc0SigYu3 -1+88Rpp9oC97++H7/aevjKm/7j/pgvfN+27/lL0x5xLj5hKh+mx10IxQTbEJvRDNba2HeK22D8JZ -ajtFLe+o/jOhqkJHwnPINqjXldm4sMr9xwjbwpztFDoGR4opb+X7pFDl08nnpGHMBHd3X+9f61z7 -rJPyqy7i8L/Oz6btjbkE+i/eQfmoARTR8Fn92lsNULHfPmc2Bp/Ct9596G7GfX14VB/3oDD8rVyU -GLMh+NUbXQvyp4avPx4lWH9qjNV+jbVT9sacS+qGk0JVvniWDsrt0EFPovgoYVuYs51Cx4x10Amh -2v9HVcRXfRGcOj72MZOqdWzyfdSr/I9q3klY00Bl/Y4vaWkwu1Mcd5+1zDv+w7jYZj8vNGP3SUvu -1NY2SyCfWh42kIWq2R8LVdOSEKQWqqYRk0L1o4Wq2Q/8ykLVtCB1w6ZCtazfMS73OkhI50T4MC62 -2V900CvpoFeb6aBTM6pa5uxozpB+Lsv4jyrkNsvcJ3J7NKOqfDAuNBeqpQIWowqOl3S//1QBRCwV -F4Ob8pQV2i81AL5S+N2Pzq5vzJXpjhtoRqgaswH4E49dIFTjsTj532s6tpX+a8wSQjjItz5+5tFf -CVUGAwad0v9NHWPMuYRfyedCqEqk8vgvj5UxPsfF28QxxlwCPvdGF/mdUP0VjwBzM9j+ZrYmx82T -QrXYDY89yXsdw+Rb6iD4ig4a6JtcVjqIJaL1IHwhWZ5lQrWI1XomFeoZ09F/VKt9sV/7COO4f/If -1dUNpIql8NE4qnAaRZV/p/hDgFL5tVhlKlzb0YA/Cxaql0O9raw7cz4WqsL+1owXLVTJv33pqgi/ -eslC1f52deBzL1ao4m/2uashx83thGrRQUzWoWeKDnrFjGrRQXdDHYROQgcxWScd1Eyo9v9RlchM -sRoCVMt+lpUwlhlWCHuWVfg/+Y/q2gaiwmmMMoPK479k+E77775o+6E0gCqobyBELbaIVM+oTpP1 -wHPrkPtO1U9ta3blxQnVob+lH02VZ2g7DDeb82KF6jn+dsrWbEL41UsVqva3qwSfe5FCtfahObDj -8U/W7W+7kePmZkI1dZD0Tq9nOLbSQew/0EE8HowtGqjljOrq39PkO6qEYV/ZEv5Pfk+ztIEIB4Rp -3BV4vH/FP0s50TKMmVMa6EGNEXcTtI8GihnX0kh1w2a8dTozjBvoBQlV6vK9+FDW2XesftKO5VS4 -2ZQXK1Txn49lWe+vYR/+iL+lbw5tzKa8WKHKRVntR8f8jSU2+KYv5HYn/OolCtW638r3wYY2uY/w -ub7QbAY+9yKFan1tdmqsXOKbZhNy3LxYqBIOC3VQ93Sp9qUOIqzooH8vVLUMMToQovnhpQhjXx2u -5T/56u/aBlLHQgXHHQEaiO2ERmCmtRaqpTARzsyqheo0pdO6+yRHwFH4stawk8u6KuIi7LB//8zK -+gx5cUIV5Ee9v32UD+UFWpJlS9/E7phvmk15kUKV80V+ln4UF2j4EeWpy8S+oW+mWDW7EH71koQq -/oS/qa/q/e3UTQ/t731TfjcrMszF4HMvTajeRb8l8Df8KPu4oW3tm9j5htyu5Li5rVCtdBDbaBy0 -TuggxKj2D3UQvlB0UDOhWr+jitjE50J4ajvfUf1Ufem3FqchasWzeUeVcKAxvlYNREPwGXsqnFlT -hCqNlA1Ep1MK9E+FKp1AOFO1bwociTLCVHhNxrnFgMbAWDq4znFKJ1fbRD0K2b3iq11cyGHLhVxt -dy6UOU+4qfCEPCyxg4xzizqCOu25OM9p8yNxrhaqfT4H+6eYSbsn8zlntwTyxc2O7JCGgyVk2fDD -oW8ORe059HUkpsJr1tTR0npfCnER55Zpz8R5llDdOp+93YI4l8BFXPqQltGP4UeUpy4T+/JGXNoe -u+BbA8dTlkV1VMq9pC2zjqbCziHzuSTOjdo8/GqtUM20l/jR0npPu0vrE39SWv0YSZ+lMTP6uAxP -W/Iv/zrwN+y26OPW1hHM1pFYUpdrWJp25nNJ2tidyCc+t0qoZtpL0p9Ju4fwLfytcBdjaud3r7KP -m2p7+sL0Tezwzbzeq31zLX15BvunWOpHa+JcytJ6x25xm4sj5clxc1OhOqWD+IhS6CCFiV6opg5i -nfDWQjVnTxGcKT5zX/HBg21gPWdg//l/VDcQqiFKs4EQorVQzXj/pVAtJ0VMu2e6Q8gH4IAarAPy -PJU/9ilO4opycszQZikZfy0GCjFYkvfMG+hkrMPDcegU507iU0R5SrkpD8vcP7SFUpd9HU3ZQInz -4jpKsvzRjorzVCdH2kvbnHiIL+OcKPdqoap4+rSzDYc27Mt6B+qIfUfijN9Bpd257Z3x06njb/Kf -GCRZB/anTclHDKj4W7GP9Usv4sg/5aj9qKR3APuGdXS07Aqjjup6n7RbSOaHuMjnXL33vjljR1gd -Z1XXyWqhqjj7/ujkeSH6ei92U/Gxj3jCruRzaLOGKLPymP6TfR2z86RV0c9KpA0+OuwLp9KYI8/z -rPcpm4xbdd35nOwy3Slb6l3xxXmJnw5t1pBlI2+RdonzVNrZ5nPto/AD3xzEGX61UqgepH2q7PSF -irfzTW0fLY/ioMzYscz9Q9ulcD7iP/hbPVYSRrwJeSK82Ia9fK/v487NA+Wp633Kps9DKTuwnvuH -tmvafAkRZ5U2cU6lXVg8BinOuTbH51YJ1WEdTdlA1HtJ+1gdZX4IT3/juCPlnoXjaJsiPmt/GpWf -eqOPIxxbJh2APi7jStu1qF56fzvZPgKbrCPSHKab+/o6molzKVH+jFOwb6rM7Fvc5qq/g/Pi0DbH -zT2FaqRNHU0J1YyXsreeUT36H1VmUUHrMZPKfny2zK5im+K0/o9qToyhsXTOPI93VHEeTvDo7LsG -iEd/ubuQx7HE5p/PqM44OxAediX/p6AusN3i5GVQzAuz7OCGswjUFeVgEE1xgRNdKlQTyryk7KS1 -pC4h63OL/EGmvSTOtW1+Is7zZlSJc0UdLc3nXLmXEOdr8Z/onORDDJTszzLlkv0xmBZb/O1SoQrZ -PjAVXpN2c2XPep+ryzX07TMRVrO0zbGb8c3VQhWW9kczaffU5Zkr+xKqi7PwN/ow/Ijy1NR9YdoO -+8JziHpfWkeUmzqaCBsSdSSmws6h97cFcS5t87otJ8LDr1YK1fXnxYJ8Lh2DlqB81TfZwt+mxAD5 -py+s/Q27Lfu4uXMt63JJHS1t8zX0bbkwn0vSnikPPrd6RjXzOJf+0nxm+8BU+FKKP8Xj4/Khzt/o -4wR5qP0N6AuLqA1/wzc3m1GlPDPtiN1a38R+rj6X0qc9U+91eThmyiY50eY5bm4qVKd0EGlXOugq -hGo9ozqaMa22+xnVgU0sxbOaUaWBJAajgfgkMxWfHUvOqA7fUY24dVwtVImH/cc6pSOMG2jNO6o4 -UnGMY0T4Arug2E6GrYR6ZMahDKyT72VRX9iVC7kQD9jzjir7a9tzWF32qf1TbFRHPSWfk2FDZLe0 -zU/EuVqoBqfj7Im2k93ifE6EnUMOlviblv2FWZYpl/hh7ZtbvaOa5V5UpjVll91cXa4h631RmYvt -ZFiBeGba/CyhuiRtmEn7kGKzqOwzKI6D9wBTfFKeGvbN9YUXsaSOsKGOJsJGFNvJsDPo014S55q0 -j9uGX8nnVgnVzOdU2IiFtqvKPgP+prExfI3xshaf+FltS19Y+yZ2i9p+CQvK09flknIvtVtBlFVx -Ls7nRNiI07b43Pp3VJemDQtss9yr4p2g+FPvbwjP6OMEaQz9Dd9c+v70ahaUhzytbfP+mA1YmnZQ -bObSPuGbOW5uKlRrHUTb4beEVU+WHgjVjHsoVNl/0ufHZHkWC9V+xlTH5UxqvneK+Oy/9Fts+n1l -vX5H9f1z+I8qzhBT2zSEKFP3d+pw7r4w3a0KouFoSOyJN+LW9j8XqlcMdcGJyMVbdlrsgyl7BtKt -7vqaWc4TqldM5nvoR1PlYV/6ZoqLoY3ZlPOE6jOg3PTo/WjO3za9gDPHCL+Sz72YjynVZB+XfjQk -/SrtTvmm2Qx87qV9TCmox9RTY2X2hemb9rfdyHHzYqGaDHVQCtJKB7Ec6yCBDuKRYYRsC6F6U7+n -qWGam8qmMZj+Zp3ntblDQOPU09k0qBornptHoKqBQqxyDHaEwzCNI4wb6AUJVZZ0bHRaOVDm/iG1 -3VS42ZQXK1SHfjRVntrW/taEFytU04eW+tucb5pNCL96qUJ16EdD7G//BHzuRQpV/OeYr9WkXfqc -/W03ctzcTKjCnA6qRepQB/3cWgddIlSr9VmhqiVC9epnVLED7hzo2Kh0yDsItUgtdnHngbsMYcdS -tjSoGinsL2qgFyJUzVXz4oSquWperFA1V0n41UsVquYqwedepFAF+mj301dDjpubCdVs31M6KG2K -3YEO4vVIdBDbm+igOaEqezgQqmyD1nmkN9YzbLCNTa5f9TuqNdgClc+UNxWtRog7CMM7Q2lDeDDY -VkVaqJprx0LVtMRC1bQk/MpC1TQEn3uxQtVcFTlubiZUAVu4RAflvot10MJ3VBGbiNIQn9rOd1Rf -zH9Ua7BNexqECq4ruY4rw2ioWC/72O73ibSfYdxAFqpmfyxUTUssVE1Lwq8sVE1D8DkLVdOCHDd3 -Eaqsn6uDDvaJtJ8hy7NMqBYxigA9+I8q+4oY5cOsuX/06C/7tR2iVuvx6K/ygcZSnq9XqA7h2GQu -fMiU/QnGDWShavbHQtW0xELVtCT8ykLVNASfs1A1Lchxc1OhOoRjk7nwIVP2J8jyLBKqR/+jWvax -3otSbddfAM4Z1/o/qnzJmnw8W6E6FQYZPsWU/QnGDWShavbHQtW0xELVtCT8ykLVNASfs1A1Lchx -s4lQnQqDDJ9iyv4EWZ5lM6qIVdmn0MwZ0twX62X7YMZV9B9aEilan807qv+QcQNZqJr9sVA1LbFQ -NS0Jv7JQNQ3B5yxUTQty3NxVqDYky7NYqKZIrYUp6yk+Y3aVcLaxSbuyTFvsnsV/VP8x4wayUDX7 -Y6FqWmKhaloSfmWhahqCz1momhbkuHmTQpVHf3l0NwSn1odiNbZZYqfli/mP6hCOTebCa6ZsZxg3 -kIWq2R8LVdMSC1XTkvArC1XTEHzOQtW0IMfNXYUqx546PsOHTNnOkOVZJlSBd0wRm0WMhvjUMsRo -JURDjNZCle20LaL12cyo1rZ8ihnU4cSXrIbh7FNhejv+FzS0X8G4gSxUzf5YqJqWWKialoRfWaia -huBzFqqmBTlubipUsU37Ax0kMjxtd9dBc0JV9ilU4wu/iE+ts81+ZlFTmKY4Ha3LlvVn9XsallQ0 -P6z9Klh+LJVexyUbfmgb4TWkGz+5rWwXMG4gC1WzPxaqpiUWqqYl4VcWqqYh+JyFqmlBjpt7CNU7 -NA5isNdB/BO1hKft7jpoxTuq+bVfhGe+o/oi/6MK0Tiq6G86XrAMaKShzXdVmhoxf3ZL49x9+6kK -0b5oVDVUHfcJxg1koWr2x0LVtMRC1bQk/MpC1TQEn7NQNS3IcXNToQoDHfTqu9alQWLSrth0QrbT -PPvpoLl3VIvwDGGqYwNt52O/sV72L3lHlXygsa5WqKL8NZjFTKoEKHcSuCNwR8VT6TRWVno0kPZn -QyivxHGn48P2R2k4C1Vz5ViompZYqJqWhF9ZqJqG4HMWqqYFOW5uKlQPdJCOZ2Y0dVAlPkOoltnU -VyFgJ3QQYRfpoCVCVceE8NSyF5/VMoSpOBCqaVseDb56oUo48Ew1jfOgikCUqhG6KWBBY6jSY2aV -RnyHfTmGcDog2fYNRBykb6H6BPWUTIVD1jd1e8rObMaLFaq1H1GWqfLkvjyf7XO782KFau1vbNvf -roLwq5cqVNPnWD917mCXTIWbTcHnXqRQTX+b86O0W+Kb5iJy3LxYqBIOx3QQNugg7Y9JO43ZvS/s -qoOWPPpbRGo8+luEZ/w3VdspTvsZV2wqwlZhV/97GsKBykaI/lRFcBeA7TyWuwkI1QeFM72dx1XL -uLvwVeE8DqwGjQYmfCHjBlohVMlD5mcLNo7vTg5990H5/ijenxba2N19ks0Hbc91iHuwptxb1jms -qfc1tic4S6hulHbP1vHhN/KfO/lb+B37jsWPb+KX+FsOrC1ZU/at62kNG6V9llBdk/Ya2y0pfhT+ -dqzfIl+cW/Rx0Rd225O257B1uf9VXcKatE/Yhl+tFaon4huxxnZLso9jrDzVb4WdbOZ88xy2Lvu/ -qktYk/aMLT63WqguTRvW2G5F5W+zfoQ/fpQtPvdOtlM25zBT7y+WE+XOcXMzoYpu6XUQYrToIEAH -IVSlg7onScv+6vgDHcTxF+ugU0KVtGQfAhTkb71QLWEhXrUczrjmeopaPsSEUL3a39MQDjQIFZzT -1cycAhWdDcRz2ilUs9PhhFWjZePENHjduHVaJxg30EKhumbgwXaN/RbEBZyQw7ySY4RYPTaw6sIt -OkLs5DghHqbs1pLlXlr2JXat6/FcZsq964zqTNoj1tieAgFAx0MnxYUc21N2OaDim+lvW+Vhbdn/ -BXvkMeM8Eu9ZQnUPtix75Uf9TbapPo708mIPn/uk7WN94VqWliXLvVXZ19I47fCrtUJ1D7asd+LA -x8pXLvG9U2PqK3ySizJ8bss+bilblfsc1qa9QT7xubNmVJekvbYsG5QnyDEVPzo1ppIe/pjj76nr -vb3Ics+VfandWpbGuTbtCdscNzcVqr0OQgNpe6iDaqGKT3MceZPdgQ5KkTqXdkWWZ5FQvan/qBIO -Q6GK+CQOGkRpROUjVOsGUuOwHY8Exx0E2dM4GecwrROMG2iBUMU5yDeOxDL3T0G4GjeWcycHZUjb -qfAlZPljoFS+6bRwirqTSxuWUZcKZzCtO8S5vM7B8ZSHE26u7FlHIEedtAHC3hW7S+qoJvK5JE7S -FkvSDrvTtquF6tK0Kc9SW8LTh0+1zxJSNMh/QnwiBvJCjjIlso2ZrSIYen+7dFA9KLeY8yPsZutI -YX2cp+xWEP5WxXmq3qmTyOeMXZb9RH90llBdUva63ufy2dvNxLkE0lF/Fv1b+pL8KGYd0tcS6jHC -K/sthEP60dw4EHVUlftUulGuYnvKh9eQ9T6XNtS2U+EQ5Sl2R9o8/Eo+t0qoHqR9Iv0+bTFbl7Jd -MgYtQX4UPlb6uPAl/I2w2t9IJ2+g0L8V/+z7OGzqeBdTyjHXPhB2C2z7Oip2l9YREMeafC5Ju+6z -j9jhc6uEaqYdcZ7IJ2FLrjvqcs/FOUfxo7g2w9+y78qxsvYh0s2+sB5/6eMyrrRdS5bnVLkh8iCb -ub4QCI961/JIW67moN4nwpPabrZMxTbsDm1z3NxHqA51kNbRQOJAqGrZPe470EEZb6axgCzPMqEK -8rX8PU0/Y6pliNHOD59Ea9nO8H69iNZn8+ivxODdj8euoql4Zk9xZKXRC1W28zg+tlQaZzSTCsO0 -TjBuoCVCVenhEDhNOsYxyNvSkzLvosydQKfI8tNJVRdm0cEhHGoblspT2oQ9jsP6pR0Ix1MeXvae -KzvlpS4p+6nOPTsObC+po5rIZ0n7ZJwKy3wuanM4HudZQjXyOZM25SF/s+UR2GV5TtX7Ehg8h0IV -clBNZBsXe0N/O3aneCmUO+sIH5nzo6jLuTpSGOFL2nwp4W8lfeJme8oOqLvI54xdnBfiRD7PEqoz -PhzU9T6Xz95uJs4lRD1W/pM32ZhJSF9L8C3Cq/5wM6FKWeb6I9LBJ7GNc20QXhPlKraXnpNJ1vtc -2rBRm4dfrRWq6cOR9on0+7TFbF0Sp1hS9jl0PoaP0cdl38W4Sljtb6RT+Vr4G30hfpg2w7gXoTJQ -9iX9UdQRZH1O2EBfR8Xu0joC4iB/c2lDtnm0zwnbus8+kkd8brVQJe2IcybtJdcd5IvwPp8nbOco -fhT+g7/ljQ986ZhQTd/M8Xd4vXcOfXlmyhLtI5b4ZrY59pfUUTKq90F4TW13qi2B8L48h7Y5bm4r -VI/pIK2jgYaP/uqYmElltnVzHbTkHdVC8dFudhXw0yMzriFqy3HP4vc0hAOVWzcQd65wChw4p7xT -qMYJqcLJblKkTqUzw7iBljz6qzRJlxONZb9/AsJxQpanTiDADi7t4FgyKNaDJQPq8A4bS+Wpn1HN -wZeLvbm8zsHxS8seF+TF9lTZCcMm6n0i/BzI16J6J02xJO3MZ8Q5ES5WC9U6zqnwZFjvUzbJgd2M -7RzUyVCoMlDmoJrItp9RTXGBb14qVCHLA6f8rW7z2ToSS87zNdT1vjSfU+EJvlHHOWFzllDt45wI -S9b4W12ek+faAogLoVr6t/Al1qdmVLMvrPrDzYRqln0urrqOTtluWUfJ0rQh08Z2KjyZ6Y/Cr9YK -1YNzbTreoE57aV3OlWcJyl/cZKOPS1+am1FNfxv2hcO4F1HKsdEY1NPbianwtZAe+VxS7wd2J2wX -lAefWyVU0z9I+1TZM23qfa4uszwn8rmI4kf/fEa1rqOp8IQ6yrLP2dZ2W/gceVyadpYH5tLu4xyH -5bi5m1CNchRSB6VQLWXYVwedI1TLNuu1UGXZQ3ixe3YfU8opby3DeehYCCsNxL+EaKA7dRQx7ZzT -3Nk4aZ8NO5XeEcYNtOJjSjjMcN8QbJbYwRrbORTPXVzIaR0YKI/FzQCcYjUH1Cm7c1hSniz31rZL -WZv2VNiQGdvVQhU2TL9naXxzEE8RBL2/4UdT8bOvXMiF7RaiAYgjmQqvWWq7NL617BHvifjOEqrJ -XD7XlGWN7Rz4Vy1Wj/kR+7iQC5/T+r/o42CN3VLbJayJL22X2J+wC7+Sz61+R3WDtA9YarcE4ulv -epzwN8C/Sl8YPsdxU3ZrWVOepbZr4lzDmvSn9g+ZiQ+fW/2O6kZp96Td0njnwI/KWNnf0J2Km334 -Y/ibbLmBslUe1rA0za3zRnxr0r4w/Rw3NxOqC3RQPvobOogvAe+qgxa+oxpf9QUdj9/VojREK/sr -2164al+G580+NNbVClUapExtv/qmyog7BtrHHYa4Y6D95Wu+8W9VGozG1HofD/ZlijyWw7ROMG6g -FUL1yum++qt1mLkwu3sv52EmdcsLOHOUs4TqtUPnz0CKv81dmOGb+NsC37xp8IcNfOIsobpR2rsS -fZz6Lga7U37EuSWfjP7Q/rY74VfyuX/+MaWtKX3crL9B6QvD5y68MDbz4HNnfUzp2qGPYyKBPzec -8iP8EX/D1l/9vZwT5c5xczOhioYJ8XlcByFOmdUPHcRMKpN4u+mgOaGK2NQxITy17MVpWcY64SIF -bG7X4SFUr/k/qjVUMJXP1Pc3HU+DcXeBfwLlp5pl1wtVGohGY7/oPqyk/XmHgfiGaRxh3EAvR6iu -gg7Qg2kzXqRQTZb6kv2tGWcJ1efCGn+zzzUh/OolCtXEfnR14HMvUqjCmr7L/dzu5Lh5sVCtQc+g -cdAyRQfFLGutg9SuMZv6Y28dNPfor9ZTpMaMqWDGNGZYJUIPZlFlU++L/dpHGCL2qt9RrSkZjDsB -0TAFGoc7ChkXdwkQs9xNwE5pBdxxyAYifQvVQ9a0hWnCixaq5up40ULVXB3hVy9ZqC7F51Yz8LkX -K1TNVZHj5qZCFdtJHaS4ah3Ex+HQQHM6CAE7TOMIWZ7zZlS1Hl8Azm0tYx/bZb23z7ASzr731/x7 -mhpsgYrlrgB3FYDGITw7mmF4wr5CNKiFqrlyLFRNSyxUTUvCryxUTUPwOQtV04IcNzcXqhA6R36b -+maog9jeXQctfEc1ZlZ1bP/Ir9aTgxnXtGVfsU2hetX/UT1G/cjCVBwbP9IwbiALVbM/FqqmJRaq -piXhVxaqpiH4nIWqaUGOm5sK1Zp/roNOCVVAaBbxGcIU8allLVpjPcOq8H69iNar/urvMTiuZi58 -yNB+hnEDWaia/bFQNS2xUDUtCb+yUDUNwecsVE0LctzcTahyXM1c+JCh/QxZnkVCde4/qojQmEUl -nH1a1mI1Beuze0f1HzJuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6buwnVxmR5FgvVEKY6JkQo -FBE6uc36hH1+eIl3VC1UTzNuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNylUeUf14Ku+CE4d -3/9HVeshStmv7do2xSn7Urhe9X9UT8GxyVx4zZTtDOMGslA1+2OhalpioWpaEn5loWoags9ZqJoW -5Li5q1Dl2GQuvGbKdoYsz2KhGrOiIkVqCFRRf1QphWj/aDDUtqyLZ/Mf1WMci2OLuAvjBrJQNftj -oWpaYqFqWhJ+ZaFqGoLPWaiaFuS4uatQTY7FsUXchSzPIqHav6NaRGrMmIrhjCnLmHGVTewrApVj -0vZZvaOKbdh/7z6rrMHt4PPKGVcu1Rn1dmkLHJ9xLmTcQBaqZn8sVE1LLFRNS8KvLFRNQ/A5C1XT -ghw3NxWq2IZ9pW/O0UFpv4Isz/IZ1SI48/HenC3NWdLYl9vYpv0wTDyL/6hilx0Jlc3Pbb+Jr+KT -tmmMjEsX8q8+aPtLsSOtz1qPpeB47Ov4Zxg3kIWq2R8LVdMSC1XTkvArC1XTEHzOQtW0IMfNzYQq -dkt0EBzTQbnOf1Qv1kFzQrXMmCJUQ3RWYjQ5mHFNW/YV2xSqz+I/qmmnir9To9x9/3l/pwbqlqoc -GinvEHC3QI0RYdgqD/GDW6UZx9CoNNKKOwrjBrJQNftjoWpaYqFqWhJ+ZaFqGoLPWaiaFuS4ualQ -ZTmlg74rrtBBxe5AB4nUQbFPbKKDTglVQGgW8RnCFPGpZc6YxmO+1fYwnGU++vs8/qOqyszGoWG4 -M8DdAhqGRpBYvWM9p7WJH7jrEA3B8VrnWA2INNbB3YfJNJ8YN5CFqtkfC1XTEgtV05LwKwtV0xB8 -zkLVtCDHzc2EKlrmmA5iGx2UM6VNdNCSd1QL/ceSyL9g/dNgxjWEKrAuvoHCns07qlQmdwKoXBrp -vfZlx6K47h7UQNxR+Cg7bCEbBjtgH8f+6OK4rIFemFB9V+BuzFR4QvgSO7MJL1aoph/BVHhif2vK -ixaqtb+dKkva2ed2J/xKPvciherSvivt0jfNruBzL1KoLvU3WGNrzibHzc2EKuITDZM6iDZMv00d -JBEa4hX9k1poNx00I1RDhOqYEKFQRGiK0qkZ1dqe2ViEKvuv+j+qhAMNxN0CVW48Y00DZRjT2TTQ -gyqfuwkcR4NE3DqOBhN3eSfiuyqT9Nk/TO8I4wZ6QUKVuvwoPgluAEzZFPiX0d0nlfGDtt3J7c6L -FKr4Df6Dv+F3p/xI/hj+hh1+OmVjNuPFClX5Dn4UvpRjxxG7g77QfdyuhF/J516cUMVv5Efhb6fG -1GFf6D5ud/C5FylUy1gZ/5s81W+VPu5OPhcTPlM2ZhNy3LxYqBIOQx1EO2dYpYPikV727a6DZt5R -Pfiqr0B85td/Q4jmfsbmyjbFKbYpXD/Kr8kHGkt5vnKhqoqggahsprDZHw3E3YSBUFUjYhPvpgKN -QxxxfLFbyLiBlghVHKBzjm79MM5Dil0wFV5T2U6GLyDrPTst7mwAzpCDZdqwjLrEmRT+udi/x2GK -zblwfF/umfIsta1tLs1fTR3vVDhE2IxNUsd3JJ+rhWrW0Zy/1XW51DfDbs52BtLVhRn+80od0SuW -KQgoU4JtJRpGvnkJWe5gIjxZVUdiqd1itk672JywPU+oZpxTYTWn0+6p631RvCcgLvkMvsPAd3Bz -JH0tYV/2hTFwVr45FfdS1pSlt1the2n+ejK+JXEutMv4jpQn/Eo+t1qoZpyxnAiHTPuUDVCGpbZL -UHwxVtK3MVYiHhgrCRv6G30h4fgc9nkDOG2GcS8hyrCwLHW559qyjxOmwldS1/tsnLXdCduDOAdh -BXxulVCt4zwRb0/YTeyvqeObrfcTFB/Bv/prM/wpxerQh7Qv+sLwTUFfOLzeO5eoG5gI6yk2S217 -uznbpZQ4I96p8MKqNj9uk+Pm9kK16CBtH+gg3j0dClXlrf9Gz1AHaWyfTO8IWZ7lM6qy72dI5Xcw -3B7OqKZN7n9WM6r9dHVpoFLx0SCftI5IpZHYzuPiAgW7Qt9AsmFqfJjWCcYNtFCokm+ciGW/f4K0 -g7mOK21nT6ATUD8sy93cHCijs2NfbcOSDq7YhD2Og+1cXufg+Cw3TNkkte2pshPW202En8vStPs2 -nwivWZDPs4RqpC2mwpO6Lpf6ZtjN2M5BnSAG5D+9UEU8sJ8yJdgyiGrg7f0N2y3uAC/1j1V1JJbY -reGg3ifCk6VtvqA/OkuoZpyn6hKwo9+dy2dd76fOtSUQF2IA/8Hf8DV8ij4ufS2hDbMvTH9L4TAV -91Jqf5vrE3o7MRVek7aX5i/JdlwS59I2z7IfKU/41VqhWp9rp/zjnPMcpmzWoPylD/U3dXM2oPY3 -0s0bI4VRXziMewmUO9tnzt+W1hH0cYqp8LXU9T4X50HaJ2zrOKfCBT63WqhmnKf8DTKfc3W5pt5P -UXwkxGnp33pfSj+q7Q98s/jb8HrvHLKO5trxnGvisJuxXUrGCVPhyTltPnGu5bi5qVA9pYPQQPEK -ZK2DUqgWah00Vw8DsjyLhWqIUoSmljGLKvrZVfy0nlnFpvPdzl7k7Cr7rvodVcIBZ6gbiLsINAYV -HQ2kih8KVZY4XMIxxIEt6c85YMW4gRYI1XT2zGfur8nypR15jBOzsqmJchTbU3ZzZP3QSVWd21Gh -mh2cSKFxsVCN+FWGvi1Fv38Cypt1dKrtCMs4L6mjGspJfLNxKmyuzZMsT8Y5Ue7VQrWvIy1PtQ2d -avoR+SS+Y3HW5Tm3vTP+qMfOf3oRCjmoVvno7/wSXjqwTYRqX0cCXynpHbWbLbvC6jqatFkJadXt -M9eWUZ4Zu2GcEzZnCdWMk/qaCgfSzjo6lU/SoE3CbibOJUSZK//Jvo4ZB9Kq4DG48MW0wT6FatpN -pTFH3R8d84+Mmz5wro6AsKwj4p+yWUqWrW8fMdeWS9oc8hw6Up7wq7VCNfPJ8lTZM+3I50Q4RL3L -Lut9bgxaQo6V9HHpS1NCNc7bEp7+Jvu+jzs3D9RJXUdTNslBHWk98za0q9s86n0Qfg6Uv47zWNpQ -l+dU2vW5dsQOn1slVHsfJk6tT9lAnTbHTNkkwziPlXsOjlM5e6Fa+VPUbx0v9UEfR3gZf6Ov20Ko -9uXR8mT7iLrNp2ySbPO5OJcS5c84qfdBeBJ1WtnNtWXtm/QlVViOm7sJ1fQ39h8TqiwpayGeMuVj -Si0e/WXchRSiJ39Pk7a5r9om/Kp/T0M40BAx5Z3vqFK5pYLVKP07qnz9in10Onks68AxpZG5qzDr -gBXjBlooVOksyDvL3F+TeeztxCnHqeNkfcpmDQyK2bnhFIjPKTFAmmmHjRyH7ZHdGig3bUiZozyl -3LF/AsKzjqbCa4ZxXgp1TXxL6r1uy6nw5CBOMVHu9TOqJT7ycCqfhPX51JL4jsVZ282V/Rh1/CEc -oPOlO/yKuAfH5KPmMejib1zETditJus92kfM1eVs2Qmv7CZtVjJsn1P13penrE/ZwDDOCZuzhGrG -eepcW1qeSEM2YUecg/BzoD/Lma3Sf/WPYlbgg+GLhOOb3EipRcOx8i+h9w8xFZ5xrzl/+/iOxLmU -LFvfPmJpW56ygz6fZX0QHn4ln1s3o6r4Ms6p8CTTjnwOwpKod8LTTvT7z4R80VeVi69YTzEwtKMv -xCfD32TLmDpXriUQR9RRKc8xDupIUO6psmPXx1m2hzZrGcZ5LG1YnDY2IsozFd753LoZ1RLfbF0W -m0h7zlb0cYpj5V4CceE3ITxP+FGp7xCnxTfjZvDU9d5aso6I61T7lDx0Za/2T1HbnYpzKQdpi2Nx -RltQlhm7pI6T46qwHDc3FaoLdFAvVPHpPJZ1cadjYlYVsYsOmvPViizPcqFa3judEqopQHuhWtuy -r9g+K6FKg0gMRgOFyNS+7Fji0V+F5YxqOFbVgKWBopFbCtXngjqX7OD6dxuGNuUkj45NtpuJBnOS -1UL1ORD+JspAGX50zOe4kEt/42Jvys5sxllC9drBv4ofhS8duzCr+7jwzW570tZsQviVfO5FfUwJ -P8LH6OPwN/qtKT8a+lv6pvu4XcHnXtzHlPCvvMk2M6Y+PTmCv4mhjdmMHDc3E6oLdVAI1Wj/sQ66 -kw5qI1RB/njsP6opWmM9w6rwPqyI1mfxH1UqUxmKZ6sRpJ+0zZ0BKoRKp3H4pxANyT7uLtBY7wRp -QC1UuRtxUQO9EKFKveDQDJCA42d9TdkTfmzgNZvzIoVq+ht+xJJ9U+VhX/pb+ubQxmzKixSqgO9k -H4f/HfM3lkPfNLsRfiWfe1FCFfCx9Llj/Vb62xLfNJuBz704oQr40ZJ+qx5/Ocb+ths5bl4sVJMj -Oig0T9FB8W9VtI72hXgd6KAQqjz6i1C9WActeUe1wM24EJ8KT/F5MIsqG9YD1sWz+4+q0iNzdx8p -EA0lVMn9Oo/80mDYUkmEpQ3PZIPS7BuYxiPOUTrTjBvoBQlVlnRekPum2qW2HYaZXXiRQhXS32qf -G9rU/pZ2ZlderFCFtf5mn9ud8KuXKFSTOX8b+lzur+3MpuBzL1KowtI+y/7WhBw3NxOqczqIjyVV -Oggh2n04SctaB5Vv+nQzr5fooFNCVcsUngjOFJ9DMZr0olXrcSzL6lge/SUfaKzrFaoCW51cMVsa -DaPKBuKicQgPG61jQ8NlQ2aDIShrUbuQcQO9oEd/zdXyYoWquUpetFA1V0f41UsWqubqwOderFCl -j3Y/fTXkuLmdUBXYHtNBzKQSHjapg0r4Ljpo5h3VnEWNL/3q2BCl7Muv/QKiVPtqoYp92JVt4LVE -8vEshCpL7gTll8OAOwd1OMRjECU8YRuOPYpzgnEDWaia/bFQNS2xUDUtCb+yUDUNwedetFCd2m/+ -CTlubi5UWYbGmdFBoZVKeK2DsN1EB808+tuLUTEUnrmdNr2ILTa5P0XrVf9H9RQ0AkyFJWlT252R -5riBLFTN/liompZYqJqWhF9ZqJqG4HMvVqiaqyLHzU2F6pChvpkibWq7M9LM8iwWqr0oBUTol7Kv -iM8gt9Om7KuFKjOzz+JjSv+YcQNZqJr9sVA1LbFQNS0Jv7JQNQ3B5yxUTQty3NxVqDYky7NIqM79 -niaFaIrUZ/17mith3EAWqmZ/LFRNSyxUTUvCryxUTUPwOQtV04IcN29TqAIzqCk+deyB+GSdpWA7 -hSoCtw5P0frBM6qzjBvIQtXsj4WqaYmFqmlJ+JWFqmkIPmehalqQ4+btClVEKWjfZx3bC9EiPkOc -aj/C9ECc1uvF9nn8nubfMm4gC1WzPxaqpiUWqqYl4VcWqqYh+JyFqmlBjps3KVRn/6PKvhSq7NMy -xGkRqClYn9d/VAc0bthxA1momv2xUDUtsVA1LQm/slA1DcHnLFRNC3Lc3E2onnvcmWR5ls2oIkaL -8Iwv9+rYIMVoIQSqqH9Pk7Oodfiz+o8qS12ox/+CNLj1n1quw6eIY4ot61M2Jxg3kIWq2R8LVdMS -C1XTkvArC1XTEHzOQtW0IMfNTYVq2o50UPkn6qm4eh0k20100IxQjVlUHTMUqvlRpXgsWPtY1kL1 -W7HLbXhW/1HlIv0jlTD40a06nggfxhfbXWPyk9v40S2Nyr4VaY8byELV7I+FqmmJhappSfiVhapp -CD5noWpakOPm5kL1HB2k60j+o9rpINnyT1XE6oq0szyLhGr/6G8RqSFaJUZrIZritBeyRZwGHIut -wp7V72miopVpVXI0DI0U64rrkyqdRkpb4s24EaayufuhYx5UkTSQhep51PVqdsdCVdjfmvGihSr5 -ty9dFeFXL1mo2t+uDnzuxQpV/M0+dzXkuLmpUD2lgz6f0EHoHnTQz6KD0ExNhKroZ1KLUD0Qr9V2 -LVJjv6iF6tX/niYaRwWnor+LIkzvYqZ0opEyXhqHcEQqDfTdQvUiVtSZuRwLVdOSFy1UwefNVRF+ -9ZKFqrk68LkXPaO6tI9DpEztN5uR4+Z2QnVaB3VPjHY66O6YDiJsqIPQVYvTfirPIqG65D+qIUax -07J/9Bdb9hXbFLpX/R9VwoGKZ8r6x2MIz1fvtC87FjUWdwii4Zg9jWNoPBU2GrSE0UgWqodQB3RY -1CfkHZZh3eQ+wt+XZR1uduHFCdXMd/oRPlfvr6n9LX1zaGM25cUK1aX+xhKb7OPY95zLfeWEX71E -oYrvDP1oaJP7lvim2Qx87kUK1aEfHWOJb5pNyHHzYqFKOCzUQfEUaRxTdBAiNnVQ2LQQqoDQLOIz -hCniU8sQn1rvZ1QzbLDNsp9RLR9TIr9XK1R5+ZdpbhroCw2kbcQmNtxhoPIf1AhZ+TQUjQPKA3ce -opEsVMfg7B8LdF7sm6obOrUP4pPKyHKuQzQX8yJnVPGb3o/EKT/CH7FL38QHp+zMJrxIodr3W+Lj -jL9FXygbbLMvNLsRfvUShSq+Q59F33XKjw58U3hM3R187sUJ1XpMPeVH+NtS3zQXk+PmZkJ1oQ7q -RWjqoJhpLTqoTN5to4NmhCpCMz+YFKKUdYXnjOnJ39Ngq2UK1fg9jfJBfq9WqMadBFXwD1UEDUQD -AA2XIlQNEJVPg2GrRusfB+aZ7nI3IfbRiHNpV4wbaIVQXXpxjd0S26V2SyAedXC9Ex27kCt2dG5h -y8972R7anUOWZ0mZtrZbw9q019hOhYmzhOpGaR+w1G4O4qn9jcESP6rjz7KFaFjgm+ewtOxL7WCN -7RL2SHvG7iyhujRtWGq7Js455DP4WfiQfKm/kKM8dZnYN/RNLuS2yMea8iy1XRPnUvZI+4Rt+NVa -oZrxLUl/rd0S21PgT4rjjn6Liy387dhND9LS/gPfHPaF55JlWRLX1nZrWBPnGrsTtvjcaqG6NJ9L -7WCN7Qxci8U1GT6UfdxU3PSFGkdn+8JzWFqeNeVeY7uUpXFuYJfj5qZCdakOYn/qIInGsMmnTDfT -QQvfUZ0Uquw7JVTZLw6EqnyW/D4boYoYJQ4apDQQz2DHejYYdxdkG9uoe7Z5/FfxRCOqDJNpTjBu -oAVCFedVvu9wDvKf+2vq8skunOmY87BPcUZ82B6LcwkZPwOoBtLeiei8crDMvAEdHDaEY48tF3JH -TtBFRHlKmbPsuX9oC6Uuo+04bsoGCJPNxXWUZPmz3ufSVjnCNsszJOs08lkgnxPlXi1USx11+dT2 -lF2mnfWeaR+JE9+N+LA7t70zfgbF4j+v1BHFOtSDZclHDKi1v7F+6R1g8k85KE/UkSjpHcC+Yfsc -LXsX3rf5uXWUZH7q9jkV54FvToQnhM20+Wqhqjj7tIlzGJ6QNuHY5XkxFR/70g5og6HNGkiXi7gY -/OQ/5WIuPnVPWhV3IRoIr+zzgi/tptKYI8419Vmn6ijjruso052yrev90jrKsh2kLZakfaw8icKf -8qntQZzhVyuFKudYxJf5nLAJ6rSzfxnaRHlKXBln7h/aLoXzER/Df7Lv4iYbYcSbkCcEbbHNvq7v -487NQylPlD3LMyTzgC3ljjoSuX9ou6bNl5BpZ70fS7uweAxSnE/51PZEfPjcKqFKmsTHOUyep2wg -yjNz3ZH56eMUHHek3LNwHG1TbnaEv+FP+NKw/NQbfVz6ZvSFguu9jCtt16Ly9PV+sn3EXJvnvoM6 -qsLPhTjqONk3VeY67ShPsZ1Ccfb9EccMNEWOm7sJ1QkdlEI1ZlB53BfdwzGpg9iHDsLuYh00945q -6dsQmyk+s7+DnG2N/eUdVb4IXM+4ptC96v+oZgPhBNwJ6O8k4ByqZBqORkGoAo1B2GcqSvYsabBy -Z6EXqjTsKQccMG6ghUI1nFh5yBNjSJaP8ChPyRf7pmw5MSgf+Y8TY2CzlIyfTqrq3KKDG16cQQ6+ -hOfgu5lQVVkoD8vcP7QFlTfKPdt5KGyLOkoinyqz4gufOxVnlKdLe7bNiYf44Eibrxaqsu3yqfiy -DYc27It8lrTJJ/uOxNl1xMXu3PbO+Bk8i/8wUMZgyXYOqlU+dhOqlCP86Hi9xz7Csn1Oll1hxTej -zc+toyTzk/mci1NhB20+ZQOE1XFWdZ2cLVSJk/oahifDtNk3FR/76nq/9Pwl3RSq6Uv0Y6eEatrg -o5sJ1VKmY+XJuOtzMtOdsqXeo81le6rel5BlyzySB+I8lfaSNgfFeeCbgzjDr84RqulHp9JXWIy9 -kU9tHy2P4qDMEafI/UPbpdRjJUI0x0rCiDehz0uhmvbyvc2EKvVOHU3Z9HlQOG2efpT7h7Z1mx+L -cw0RJ/ksaRPnVNqFxWPQgjbH51YJVfLZpy2mbCDKU+po9jzPOMmn1o+UexaOo20uEar0cRlX2q6F -esffNhiD+n213ak4l3KQtmDfVJnZl20Op8Ygxdn3R2F3aJvj5qZCtdZBpJ2+Sf0jVNFBrAPaJ3WQ -8nCgg4qgPenTA7I864SqjskZ1NgW/UeVWCdc9B9TKtt1OMc+C6EaDUQF57PZVTgNQuXzjqqEajgk -4DhJ2HSNhJg96XwTjBtooVANlNacM6TNnB1gszL/I6g3lsPBkgGVgZJ817bajseZUlxgy13i2u5c -sjxLyh52E/uHYHNpHQ3JPC5Jf0l5Iq7TdquFKiytywXpB70d64OwtXA8wqEMliwPZvCxySV+WImG -8M087y+Bsqyuo4mwIUvjXMqafK7xtxO2q4UqZJxTYTUzaR+ATTIVvobq4iz8DTGQF3E1dV+Y/jbs -C8+B44ONy720LpeyJm1sFrW5OOVvqve1QnVx2rA4n8XuSD5XIT86uMkGU7NW1A19YREZYY9oYH/6 -ZNqew5LyEJ5MhddgE3FOhJ3L0vR7O9YHYUOwO9Hm+Nz6R38Xpg0n0u7py7PA9hTFR7jxFv6T12b0 -XeR16EPpm3VfSB9XxXU2a/xtqW3azdkuZWnakOnO2WacE2E5bm4rVCsdlP5IWKWDQoAynhFO3hIm -8lIH8ejvkXwfI8uzSKjGo79aP3jMVzC7GjOs+GDOomInm3pf7Ne+Z/Hob0IDxd2ARxVISxohOxbu -GnCHgDsJ3F1gX8abS+4GcrchGrE0ztK0xbiBXtDHlOioGCDptHJAnaJcyIUdy+zgzG6cJVSvHTpP -/IebHnlhdswOf8TfckA9Zms24Syheu2kH+Fv2ccd8yN8DBtsT9mZTQi/WitUrx18ph4rT/lR7Zse -U5uAz724jynhR+lvLPG/Y3bZx6VvTtmZTchx82KhmizRQWgcZrbZl/Hm8kAHDbTSArI8i2dUY+ZU -x+Ts6vA/qrEvt7FN+wwr4Ww/n/+oUnBVMlPf3A0o093xuWbuMhBn3iHIeEuhujsJsmHKnGM5YZem -LcYN9EKEatSPoPPKAZV9x+qGEwM7lthO2ZjNeHFCNfON/+BzOaDO+Zsv4JrwIoUqDPu4KRvKl3aQ -ds+53FdO+NVLE6qw1N9YZl+YPmd/2xV87sUJVcixMsfUYwx90/62GzlubiZUhzqIp0NTB7HN+6d8 -OOmYDspZ18100Nyjv2V2NGZWdWz/yK/WoRat/aO/2LIPuyJsCb/q/6gmaccdARqJBsmGkUjtH+fF -7iBO7YtKk+2Pxw4d378b0NudZtxAL2hGNTk2oA5Zamcu5kXOqCb2t6vjxQpVwI/sc1dF+NVLFKqJ -/e3qwOdepFCFNX5kn9udHDc3E6rY0W6pg5igyyVPlMbjvMXuIM69dNApoQoIzyI+Q5wWkRriU+s8 -0ltvD8NZ5qO/V/0f1QS77EhiFpXGKZA+lY1N0h+r/RoEaSBmXmP2lXUeEbZQNVfOixaq5up40ULV -XB3hVy9ZqJqrA597sULVXBU5bm4qVNMWHYTwRAtBvnOaNgdxan+Ix6110Nw7qrJPQpQW8Xkwi1q2 -2V+L1RSsvVB9Du+oArYlkzHdTSVnRWfY6DiF0XhpqwqM5bty3Mh+mnEDWaia/bFQNS2xUDUtCb+y -UDUNwecsVE0LctzcTKgCtpA6CMGaOijDh8fspoPmhGoKU8GHvlKIhihlXyVUh2GBtlOofnguQvUY -xHEqnqlHHFY+9jBuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bmwrVY5wTx8U6aOYd1YOv+ope -nFaiNISp1mtbyH1pk/+iRmM9K6HKsclUeE1tu8R+wLiBLFTN/liompZYqJqWhF9ZqJqG4HMWqqYF -OW7uKlQ5NpkKr6ltl9gPyPIsFqohSHXMwTuqov+oUllHiB48Glzbsi6u+j+qV8K4gSxUzf5YqJqW -WKialoRfWaiahuBzFqqmBTlu7ipUG5LlWSRU+3dUi0iNGVMxnDFlGTOusol9RaCGwBWEse9Z/Ef1 -HzNuIAtVsz8WqqYlFqqmJeFXFqqmIfichappQY6bNylURzOqWq/fWc0Z1n4b27QX9Qwr+/jq79X/ -nmYIx9XMhQ8Z2s8wbiALVbM/FqqmJRaqpiXhVxaqpiH4nIWqaUGOm7sIVY4ZssSmZmg/Q5ZnuVAt -M6YI1RCklRjthSp2Ws/Z1bAVQ6H6LP6jeoot4phh3EAWqmZ/LFRNSyxUTUvCryxUTUPwOQtV04Ic -N3cTqqe2dyDLs0yoAkKzEqMpUnMGNcRptT0MZ5mP/j6L/6gCtpFJoYHt7lOBH9wSnh2NOqEI/3ic -+KyzCnsQ/wnGDWShavbHQtW0xELVtCT8ykLVNASfs1A1Lchxc1Ohii2EDpLfooHQNeieDGfZRAfN -CVXZIzahniE9mEUt2ylOU6zmsb1QfU7/UaVxqGB+cPutoAp59b6EYxfhPxXW/dT2TmkFrBfCxkLV -XDkWqqYlFqqmJeFXFqqmIfichappQY6bmwtVlrUOYklctQ6SiO3DT+mgFLgLyPKsm1HVMSFCoYjQ -YzOsU/b54aX46u9zEKoIS1XsXYhQHf+ZdVU4glTrWeFxh+FB+8Qr0tEgGI2WfBTYWqiaK8dC1bTE -QtW0JPzKQtU0BJ+zUDUtyHFzU6E6oYM6QXqGDtp1RlXLg6/6Ijh1fMysapkCNUXqJ9kShm2KU45P -m6v+jyrhQGVS8d91nBqE9dhHhdNI7KeRVPHRQNq+e9A+7hi8lk2dDrOyUKczw7iBbliorqw7cz4W -qsL+1owXL1TtS1dF+NVLFqr2t6sDn3uxQtX+dlXkuHmxUCUcUgchUI/ooBCr6CDF3QvV3XTQCaHK -72mGYhRiuwjRet9ouxyXojXeUWVG9ZqFKncJaIgfqggtY5uOhTAajsag8bjTcCBUS+MkGecwnRnG -DbRCqJ6R3kmIb8s4cVbe802mbBLCP5TlVPjerCn3v6z3jdI+S6huXW7YOk78h8dUlvjbErtrYI96 -Xwppb5D+2UJ1adob5PEsso/Dl04Nzmv6wrVsXXbi+1f1CWva/Iht+NU5QnWDtHcn/W3Oj2p/O+Wb -a9m63P+yLmFp2jP5xOdWC9U15V5juyUvzd+eE0fKnuPmZkL1mA7CRgI1hGnqIITq9xSqlQ6q48z1 -hWR5FgvVXpRqGbOrzJBqO8VnEjOusmE932WthSr7rvo/qoRDNJAq/cfj/SuerWZbeQhoFDVICFVE -ajYQjUk6qrRu2ps7DSKOq9JYwLiBVgpVGO4/ly3jU0d1p87t7pPWARF6rPNSx3b3oXOkfyZW15R7 -yzqHNfW+xvYEZwvVDdLu2To+/OajykDnw+Mcx/wIP5Sf3X2Sjez/mb8tLfvW9bSGjdI+S6iuSXuN -7VbgR9HHFX87JVbp42QTPneqLzyHrcv9L+oyWZP2Cdvwq7VC9UR8I9bYbkn2cXN+hB3hGnvvtu7j -ti77v6pLWJP2jC0+9+KEajVWnhxTIf0N2/ey3aqPm6n3F8uJcue4uZlQ5VHdYzooJuykfaaE6kgH -yf6Mds/yLBKq/X9UBeI0hWiK0H62FTstU6Dmvnqb8Kv+PQ3hMBSqH1QQprOpfGX0QKjSSLwszKwq -dx+40wCs9y8QV2ksYNxAC4QqjkA65H3OKdJ2ifNgo4ZaZDsHF3AhGrQODJZcyE3ZZkco5wlbOrwp -u7VEeQpT4UlfbjEVXpN2W9RRsjTOPp+D/UMID/8o6xM2q4Xq0rRhJu0ewpf48BKIA7+R/0QndOpC -Dj9kQOXGSPrmpXngeIjyiOjkq/ADis1sfZbwpfW+lD6fg/1D6rSX2J6I8yyh2qcvpsKT3m6wfwg2 -5HErn6v8KPztWB9HWvSF9HH4HDfujvWFa+nLI6bCgfTznIQpm5oldb6GTH9JnWMz15ZhA8Vuwjb8 -aq1QreOcCq9ZWkeZvyVxzkEc+Bh93JwfFdHQ2Wq5RR8HUZYFdUR4+tsp24hP5Dl5ynYNEWdZToUn -ddpT4TUzbY7PrRKqxJXn71R4TaZ9Kp+EZXkizgXxzpFjavZdx/yIffhbCoO8cTe0W8uScidZ9jnb -pXZr6OMUU+EJ4UvskrBn/dA+x83dhKr6zdBBiM98kjR1EKB5tF3roJiJDR2UeV5OlmczoZph4Y/l -fdawFfhnbBfbEKrKB/Wg+r7+R3+L2OSOQYhOpRF3DsqdhLDNd1VrOL5vSNmsOAHGDbRQqOJY5Ill -7p+CPPOc+ZI7HdjhmBwzFb6ErPcyUIZTQAqH2oal8lRfwIUDYbuiDifJOoLoGAbhNVFH1KU41YEQ -hs2ldVRDvohvNk6F9fmcSTvsCkc6jNVCdWnaWe+R9owtdunDp9pnCZQTMSD/CfHJoAnsp0yJbGNm -q/Y31i8dVMl/X0diiR/ByTpS2NJ6X0rfPiXtU/VO3WFHW56yG8Y5YXOWUJ2JMziody1PlqfYzcW5 -BNJRf5b9W9/XfdB6+lqCbxGeNtjTF57K6xLwI8qSY9OUDfTtI+bqKMpV6uiUD68h6n1B2rC2zY/4 -ZvjVWqF6kPap9DNt6mgiPCGMOIE458o+h87H8B/6uPQlBAFhtb+RDn1h8bXwN9n3fRw2dbyLKeWg -3JRp0qYQdoUjY1BAXrFJH760jiDrPdIWUzYJdkvGoAVtjs+tEqrkjbQjzhP5JGzJdQf5IrzP5wnb -OYofxbUZ/lb5Uz+mpm3Udwmvx9/h9d45ZHnm/C3yUGxP1RHUcR5py1XU9R5j/yC85sBuRT4H/VGO -m9sK1QkdJH0zFKpdPR/TQUUvXayDTglVkH0/a9r5XbctWO9/T1O2g2qbZT76e9X/USUccIa6gXCM -dA4qn2ezmfamQ8njWNaNgD13F348qhIUB3Fm2AzjBlr46C9pZAdb7x8Szi6wm3Mc7CjLpR0cSwvV -ZZAv4putd4X1+ZxJO9scjpR7/YzqwrRJL9OfqyPiOuMLcZMweK4Vqtwpxt9Y3+Lub5Y7yjMRnhCG -DczVUcS5oN6Xkmln+8zlM3xzJu04L6o4J2wuE6oTYQl5XFPv2MGl/hZxKe+lfwtfYr25UBVL7mBn -HS1uc3FpHSVL2wf6Np9JO8p+3DfDryxUn/yNvvBfCdW5cocddTkT51Ky3ol3zo+w+2dCVXDuRh2J -KRsgLK875upoTb2fovhRL1Txtxwrc0xN26jv4m/1+LuJUBVL/C3qqJR7rs2zjqLNZ2yXQPkP4hyE -10R50k5M2SQRp8o+UZ4cN/cRqvhaSZv9lQ6KcyWPY1mV9U72Mcuq/ja01Fw7VGR51gtVUfyu20a4 -si+FatkmPG3y2F6oPpd3VKncn0Wosp3H0kBquAOhSqeT3BU4JhpZQlXLyxpooVDFyUlnztnTLmwn -wmuwTabCl5B1F52w8o0jAAMq+2oblspTvJ+KOK0v9ubyOgfH9+WGQXjNQR2JKRtYarcG8hX5m4uz -hC9JO+3CdiJcnCVUk6nwJMuzOp8ztnOQbhEOBwMl+ylTIlvenwl/yw6s9s1LOCjPRHhC2NJyZ5yX -1k8yTHsun2Ezk3bm8UQ+zxKqmfaSPPbpD8JrCJvJ52KIi4uzvMlG38X6O62nryXZF6a4wPcQDafy -uoSl5Ya0XVqfyZTNWjLtpflckvaMXfiVfG7do7+KK+uI/nbKBuq05+qyL/eM7RJ0fPgYYgBfYp13 -AQmr/Y10Kt8M/6QvHI6/qynl6OtoyqaQdmE7EV4TdVOYCl/LsN6nbJKl+azzeMQOn1v36K9Yks+D -PJ6wgwPbifClFD/qvx2S12aMlcRb+1CUo/gbvsn4q/7u8hsjgrijzteUe0PbJWQe+zgH4TVRV2kn -pmySjHPCLsfNTYVqr4OkdUgzj02h+kNxooPYj+7Br6HooDvlNWZVeXz4Yh008+gvvhhiU9RCNNZz -u6xjy3aK0wyPY7Xs/6OKb1+zUJVAjReDqVwajP04FM9eI1RpQO4uhEOWY+o4qrsRzYQqZPrD/eey -cXyjjylN2AR0cjnLRQe3hWhYy5pyb1nnsKbe19ieYLVQhY3S3o1aEOBzp/wIUYtgqAfUa+Vf1vtG -aZ8lVDdKezcYC1IQ4Eun/Kj0heGXeQNlyu4a+Jf1vibtE7bhV/K5F/cxJXws+rgZf8O/6NuW9IVr -2brs/6ouYU3aM7b43OqPKa1haT63pPhR9HFzN3Qr39xksiGZqfcXy4ly57i5mVAd6iC281h0EEL1 -u/af0EF30kH/z94bwsqTJHf+Pzw2nJU1ZPHIwGCMR0arIUcGGI3pGBitdGzIkmGWBhmM6S07aYjJ -yshavAcMTsZL/rJu8WHfPz5R8c0XnZ1VldWvu37v9cSTvqrKzMiIyMiozPp2VfdbiGroGBDsNWg8 -80Q1vnfqv+prcEJKnb6PamV/esreLFkrO1G1c2QlQ17jBxzrzRFVgYATfALM+9V8mkDAv7aA8I9u -mZxvrI5Jod4fO1sdr2wwEYblkbfJ+mNza3vVBD0PUfXYsniBvY2SduTutbgdxZFx3zNG4Ejcj8hu -4CmJKsg5N2oXJEfefaycm8XHjPudbD8lUQU537byaFbuLeBjxv2I7Q1Zz6tnJKrkzuxeKTkwar8V -9x77x4olOGJ7R5acezqiCrR27d3D5dzckz2Cnbg/LTbGrX3z1URVYO4yD+LHkXgAJx70PZxn4UFO -SMWDeEX4ITxog6hu/h/VVFadnqDmMkeR1vZ/VM0X8/ltElWHBVSfBjAhcXRAUhVwyfBLwHb0iWMi -zaZPkOpeNUEHiGqhcCNuIqqFwo24iagWCjfC8+ooUS0UXgFy7qFEtVAIaN+8G1F1JB7EAzr4jPMg -4zY8mKPd5NqTU6t3QvoQHrRDVBspBYmE5h9XckJKuZPJRJXXgt/0d1QzJMunAxZ4nwTgT01Te8jk -f0mTsXy6kGQncD1BRVQLj0cR1cKZKKJaOBOeV0VUCyeCnCuiWjgD2jfvSlSRRS8chyemjQdBOqNd -ss6DLvmPcB8etPPqb3vF186dfNq5fxeVc0MjrXbMrwn3r/7S/qb/j2qGZAnulxZkQcHOuqjjNWAm -Q0CWuoOTA64nqIhq4fEoolo4E0VUC2fC86qIauFEkHNFVAtnQPvmI4jqNQ8yqF2yD+dBW0QVQFKt -TyaqDoioynEuooqsiCrQE1X/MSXzw8f+pl/97XC0L/I32rueoCKqhcejiGrhTBRRLZwJz6siqoUT -Qc4VUS2cAe2bdyWqPeh7pP9R+QSNZ56omnx7ahrE8+opqmSiXWXJtld/f05EdVQ/gesJKqJaeDyK -qBbORBHVwpnwvCqiWjgR5FwR1cIZ0L755ojqqH4CGs9xomrwV36DfF4RVSuLnIqsqm8jqu/lO6of -EdcTVES18HgUUS2ciSKqhTPheVVEtXAiyLkiqoUzoH3zoUT1RGg8c0Q1CCbE01/ltb4OkdGAE1RD -e/XXzvsnsLTr1V841tM+UX1lIlxPUBHVwuNRRLVwJoqoFs6E51UR1cKJIOeKqBbOgPbNhxLV2b7I -vcaOQeO5iaiKcIqAioR6vZ1nourEtpPhf/7ix7skqsKoHczITOB6goqoFh6PIqqFM1FEtXAmPK+K -qBZOBDlXRLVwBrRvPpyo7vWXzGvsGDSeKaJ68e9pIKKJeH6vuiCn7YlryDii77t89VfydrOOs46+ -rcdI9iCuJ6iIauHxKKJaOBNFVAtnwvOqiGrhRJBzRVQLZ0D75t2JquQ/Og+aJaogCKjXQUwzIe2I -qvr0RPXd/HsaYIuM/8zyN8s/sPWfW1Z7lmdiaEMGWQverZN0PUFFVAuPRxHVwpkoolo4E55XRVQL -J4KcK6JaOAPaN+9KVJEFezxIR3iQ5ICttffjQTuv/v7s/o8qcuHgh28tCN//dsEPP9kgTBeTJBnX -aWWbHP9HuMghkyfzIK4nqIhq4fEoolo4E0VUC2fC86qIauFEkHNFVAtnQPvm3YgqcmCGB3k+D3iQ -P7S7Fw/aIqoAkmp9Np+gqpy+oypSC9oT1ffy72nMOYLsk/K9gYmKCfhEkyQ5PjWwOpfjKJJKW693 -AtcTVES18HgUUS2ciSKqhTPheVVEtXAiyLkiqoUzoH3zbkQVzPIgJ6kdD6J8Vx60R1RNXkQ1f0f1 -4ilqKouciqhyvPiOqvlBrMz/t0lU8+QAAm71H2zB8QmwujZJ1uYTRx12eESODmzN2utwPUFFVAuP -RxHVwpkoolo4E55XRVQLJ4KcK6JaOAPaN+9GVHsexAM46hMP4ige9EnmQfTFDnk+a6+DxnOcqBoO -E1XqDRdE9a2++ks7IPBGBj/89ncefH/vWgsLE/ejBegHq7eJ+2A2NZE+WZ/GBAm9jQlcT9ATEVVi -+aXhK8MXUbeCD19YwvAz0TtyhfvgaYkq+UO+kXejdkG5CTgfyRTuhqclqrN5JLmJtbDwenheWc49 -JVG1PJraK/NaWGvcw0HOPSVRtTwi37hHG7YLtcadBu2bryaqtAMeumUexPyRt7QFD/ow4kEQ2ofw -oJ3vqF78qi+w/qrrSek3Sdbl7Tx/R/Xrt/x/VBVUiCqPr5kgPjFggviEgCOTIqLKZJnuNkFW9h9R -grAyWRxv2AyuJ+hJiKoWrW8M3xpYvNbiw0L4NcljY/x6KQ/lCnfD0xFVcsuvWQP5Rt75tWwYySo3 -Qd3IPRxPSVTJL9a1vTzK+aa18Ow17meW355Xz0ZUUx75XrmVR/1aWGvcw0HOPR1RJWcsj/zJk92j -eR6tyfVrXOXbw6B9825EdY0H+fwvPMiJas+Dvn0UD9r7MSXLx0xGHZSjXm1ABFbl3E4/fkwJP940 -UfVPEizwv7VAMEFMigfc6pkUJod3tDkHfHGYSYsvEDuYYAgl5BV9I3sruJ6gGaJKAhmwxbHVDzAr -B5rsoG0WinvaUB3fxCKXdSNr5UZSbYHzBGJBfI0PAuMRRu0Cthi3X5ypvofLgVfGqIfb3vETe/Jz -dzwhs+HnTUR1xjZotndkL+R2ZPcQN2ZLHgX0oQdjEpANcvEBkG/KzV7nUWg8G3F3aB6nYmSYkTuC -i7gP2jOm5EJmQ/Ymoiqdo7aMHdsNR+K+B3RZHvna5jlkZUAeKdcEclBrIbLk5j1u5DRuH8+gPePI -uO8Rnwz5OaOzyQ7aMnZ0el4dJarZ9pZ92pADo/YMdAqj9iOwPGKtIod8rySf2CtpI88kh3/kZsh6 -vvVroWSPQHHZiHuDxqx4jmSEJmcYtd+CWZ0XcpOyozYDOXeIqOY82vXT4HJdfQ/5OCO7hcgRvzfL -+cZeid4+h1Juer5pLUy6bsZMfG6+J96RnYV0glG7cGjOJXfdpn3zrkQ18yBbN3se5N9VhR+t8iAD -T2PvwoM2iOrV/1EVEbX2/MSUI+VMTh3RlzZy+ov38OovAe2Iqgf665cJuiKqfJLw65hEwKcK/gmD -tdF3LwETridogqhGsvN9Wr5L2+oz8vj4lMMmeNMv0/nBktW/o3swyS6ATY4iAyRCwDdLLXLNvxcZ -lyeRtBj2umfhPtgYGLOPx9DqB4hY+lxuxsjaTObVMcog7qZvX6e14ScLBYvKUCaAHh9P6ByM+zBR -NVm37TGy8qqcAf+y7RVZ/x645G6db+nnJgyiavnjn+iysZJP+eYs/PANNecb5/cgqjnu5ErY25Tb -HLu1ESPN+a0xytD8sM7txd3aLuZ8JAOyzpXcPExUsc21hs7Na9LAOGR7zU9s+PVrcor7SG4W2IEM -xObX1jpeycRWwgfLrQ9+oxcy5JuIquRGNvYwMx7p1lq4GyPz0efcZLfiPgONDd/c9oZO2dac782P -tfu6uTIez6uDRPVyPdqwL9vIbsXSr1+TcZ2GVn8jtFfmfGOvpA29gtbCyDXPN5N/NXEgJho7MRrJ -CLQzbh+7ncu3Xo45tzl8iftA5ijQQV7s2TZM70E2dh+3r0dWHugj5w4RVWxiG2zlG23EaO+6wK9e -58q4d0E/0+d75Xcp38gl7amS9XhHe+y/vtZxvyddkj0K4u7rkY1lc34MzDkx4jiSEbLcls5ZoIO4 -o9Pj3rULHlOT0/zszKVfF23OL2W1bz6MqGK340GNqOpXgZ0HWR1zw7pNveRezYN2nqjy5NRh/WIP -XgiotbWnqypHu5eTPOfk6Zv+P6q0A5KAoGuCmDDqQExQe/UXaIKYSPqzCNEndPDJwusm6IFEdU0W -6MLYu4D2gE2ObIpa3EgKFrg1otoTh49FVLdupABtXCivjVEGcTd9+zqtDT9ZKMi3oUwAPeiTzsG4 -DxNV2daGsSpnwL9se0X2rkSV/iKqtlB5LgFtqsmP9gRf+cb5PYmq8ijsrcrtjt3aiJHm/NYYZaAD -Xaxfe3Hn2sxzPpIBWedKbr6KqOJn3y5gm3bZXvMTG8yJ5mdL5wywI6JK/oiEfmnn2Epwokpuaj1E -/l5ElbEoj0Yy0i3CtBsjfGXOTRb9I7lZaGz4thd32Z6Zc2Dtvm6ujMfz6gyiyvoykmE8fv2ajMsZ -Wv2N4Hokf1jjlEv5iaqATyKqyk+T/9kQVcZPXuzZNtxEVNE/0EfO3URUtV+MZABtrJWza2HWuTLu -XdCPueHeLOcbudSPH7uPIqo2nmU9srFszo+BOSdGHEcyQpbb0jkLdBB3dBL7NZ0eU5PT/OzMpV8X -bc4vZbVv3pWorvEg4g8BhQdxbrrbq7/4Fzr8WpIOnqxu5XQHjWeaqPIvZyCq+Ymp5x/nhkZS7Zif -ruYnrt7P8Kb/jyrtwCfIgq53s0kytUFM491sJ6k9UWUBAkxmfBrhj749sax+AtcTNPkdVfwUcn2P -WTkwKzcDFjNu5GLx8g11RAawh5zIqi1wfhPXy90CjWdmTPeWO4Kjto/IjtoMh4kqOGp7T3ZWbhZp -s/QPO0QGBnK6kVteETbcy4fZ8UhuT3ZW7giO6DwqtyJ7mKiCO9m+wKzcDFjjYt3yXOLGjLpeDnta -45SbI7lboPHsjWlWDszKzeJRtjdkPa8s5469+pswas84Kjcjuwd0kGPxoYifr+2prIXKN2TX1sKj -0FhmdB2Vm5GdxazOWTmwI0fOHf6O6lHbe7KzcrMgbzJJJedGuq1Orwlv5uYtmB2P5PZkZ+WO4IjO -o3IDWe2b9yWqKzwIcrr2HVWeuJLf7O8QVT2VfShRBSbfyGjk3RU5DRm15zJHvfr7pv+PKu0AUmkT -w0T4K75MmPelPiaI4NuG50SVCUKWT0WYoA8GJkREFR1nENX3ALsZ88UL8KSBOo9tB7so/FfltshF -YcEofjfgJqJ6J9sPAb7hP7nGDRqvYG7lETdyEFSg3HyreETcZ3Uesb0hexNRBbP2Z+XuCfLLckdr -3Cb5JDdjjWu5+TF8nsHH9usO9j2vLOee6seUADlmJMDzDSKwtsYp32ZyszCPjdwk5x76Y0p3uC4O -w9c4Gxvr1k4ecY/X5O5FUsGRcc/KPiKWJ+rUvnk3orrGg+A2vNIbPAje40SVMkSVJ93kN/u7iOpP -Vn8aUTVANleJapRFVt8dUW2wYPrgLcA8OfXvnlpZT0+/twDx9NQG4K8giKha+zIRBkgrskwen0YU -UX25wFjYgG7M+nlRHe2Sy+2Fh+AmovqWIb+VbyDXZ+R8q5w7BTcT1beOnEeU1/KNY59v73ncbxye -V5ZzT0dUQc6jtXwDM7lZuBvIuYcS1Y8F5ZHWrTXkfFvLzcJdoH3z1US1IXgQRDPxICepzoNMX8+D -IKrfWD94kOqDB7X/rzq0dQ2N5zFENdrfN1ENfMmAYgJ8suyoyaEdffrUgYlkQpBnIpH3X/41eSa3 -172B6wl6oieqR3B0vgqvwtMR1cKbxtMS1cKbhOfVsxLVwpsEOfeURFWodfrNQPvm3Yiq5BoPMk5z -wYOCdCK3xoM4Fw+yvf1C/w40njmiCsFM3ztt5BQyasdMYpHh/6jShiygTt9RBbwBgB9wrDdNVEPW -X++1/v50lUnyp6bR7jI2QUwkT1ud1SPPEVnD3he+B7ieoJ8xUfUYF85AEdXCmSiiWjgTnlfPTFTr -mnlzIOeelqiSb5VzbwbaN+9KVEN24UHBaz4aD9r7MSVIqcHJaILIqbdFOyQViJj2fd/0/1EdwZx0 -8IkB4HxNTjJ7sju4nqCfKVEtnIoiqoUzUUS1cCY8r56ZqBbeHMi5p36iWngz0L55N6KaAZfJHGeN -22SZPdkdaDxTRPVn9X9U3wiuJ6iIauHxKKJaOBNFVAtnwvOqiGrhRJBzRVQLZ0D75kOI6keAxnPb -E9WOgPrT1WjL7aMysnxH9c3+e5o3gusJKqJaeDyKqBbORBHVwpnwvCqiWjgR5FwR1cIZ0L758yWq -6SmqiGn+jmpuy09X+++o0v6m/4/qG8H1BBVRLTweRVQLZ6KIauFMeF4VUS2cCHKuiGrhDGjf/HkS -VWDy/oTU6pygQkyj3MipytEuGR316u+7+tXfj4TrCSqiWng8iqgWzkQR1cKZ8Lwqolo4EeRcEdXC -GdC+WUTVsEtU7fh0RFX9OI50bOm9web1BBVRLTweRVQLZ6KIauFMeF4VUS2cCHKuiGrhDGjffBhR -3eu31X6DTY1nnqiKmBoaEaWciWmUL4is2gzv/omqOTusF+yG3mV6jGR3cD1BRVQLj0cR1cKZKKJa -OBOeV0VUCyeCnCuiWjgD2jcfRlTBHq95KA/a+Y7qxa/6GiClTkjt6AQVRP3W/1Gl3f89jfErrlPz -/+0S1ZD9YIuM/28g0+FH2+S8PRaaD/rfQfzPII4C5ahb/tHt/GRdT1AR1cLjUUS1cCaKqBbOhOeV -5VwR1cJZIOeKqBbOgPbNuxLVkH3hOcaDgHOaUfvCeS4gHgR3OkBaNZ4posq/p2lk1OBPSFU2GT0x -9TrKdsxPVSXbnqjGv6fhOn3TRBV8YX0I9Pc/LfjB8J3p4p/XaoJop/7Hpa0RVM5/MFj9J9h/1QQV -US08HkVUC2eiiGrhTHheFVEtnAhyrohq4Qxo37w7UYUHwWkyD/p18KCQG/IgXse9Kw/aIaqZpOrp -KqQ0PzHl6E9cQ64h+oqovpv/o8onBJocyCkTYZPz4XsDk8QnCrYAffJ1TIbVI+MTAb42MEG/jf7I -juwMcD1BP1OiynwdmbPCq1BE1VD5dhqemqjif+XSm4Ln1TMT1cq3Nwdy7mmJKvlWOfdmoH3zfkTV -OMsXth87DzIuA48xvvMJPAhuI7IKkTW+c8GD4DuGD98YggfR72FEtf8/qt+JgAIri4jqaWqWFZFV -mfZ38e9peM/a+vgnBBDV+OTAXwNmkvh0gEnjUTZ1BErElQUIMCFM3G9/t0webb2dFVxP0JMQVcXf -32NPdf285LosW3gono6o9jmkPBqN572O8R3jqYlqxla+5bzM9YW7w/PKcu4piepeDvX5JvnKt4eC -nPvZP1GtfDsF2jfvRlThMI0H2VFPUOE8cBvnQcZ7rP4DZDXzIGywv0vWeJBzplOIKufWV2UR1dzW -nrja+bsjqrSDLy2YEFSC658CWB0LC208QeXx9o8WfD45oJ/aeh0iqkxQEdUlLsTyS8NXca549XIc -LfldDvncXngInpaoKt+UR6PxUEc+So7zXqZwVzwtUdW6lde4XkZ1yk365PrC3eF5ZTn3dESVHFMe -7eUbefa1YWstLNwN5NxTElWtcVq31tDvqZVvD4P2zVcTVdrBJA/yp6z0U5t0WNm/u3oKUQUmr6em -+funF+RU5Whv5DSOevX3Tf/qL+0AUsknCHpcTZkAAybI38UeEFXB5No72zbRyyvBVt/bW8H1BD3R -q7+2YH34xhKBZPjK/F+Li2+oIfeN9dlbEAuvxtMRVZDzzfKp3aD1iI13KjcLd8FTElWul7ZuWQ6J -PAzkrnKz1riHwvPKcu6piCr55nkEIt/W8sjqL3JzbS0s3A3k3NMRVfLm6yXf2p66ssaxj+6uhYW7 -QPvmfYmqeJBxGMoXPMjaRkRVIM97HtTb2oDGc5yoGsi5TaJqx6cgqv4pABNkfXnFF7tMiALPJGmC -pDcG5ZPIJxFMMpPDxnHgAr2eoEmiig0n1aluBMmBUXsGvnuCdvW3AF0scEoiLV4jOdt4P4kFzpNq -JHcLNG7madQuHIqRydwrRkLzc9CWofnhOGoX8nhWdB4mquiZsQ1mxyM/9+RmoHzTgkS+8TRh5C/1 -3Ozl3LzHjZzGA0btGUdiNBv3WaBrJoeP2N7ReRNRfYifyE3onAGkQTlkR1/HRnmkm70se68buek8 -CrmZGHksDaO2W4Bv8nPUnnFkzjfG43l1lKjK9l6M8nj2/GxyhlH7EZitD55HBtYs8m1tT7X6i3xb -WwuP4iExAhNyRzBrW37O2EZuIzfJuUNEVbbBqD1jx3bDEZ0zIG+4J+PeTGvcaO6tbrl/S3L3uI9j -PDP5BpCZjdGszlk0nTtxz/Oz5+fGeLRv3pWoNh5kPMb5T+ZB1mZoRFV6ObK2IdPzoGxnBxrPNFFl -beO7qd9bPyeigPzLxJTzIKOURU69zSCi6v+exvyIsbwPogrxxK4TVjvnaeqIqDI5yPrkGJgcEmrP -bofrCZogqnFR4BOP21t9Bn4A2hkLwOeRf9SZTt5L93Gu6ZyB9LNIiQwEfNFTjARL6NzuCcQmPLg4 -p+E+pHFbcr/UD2A54ONWjEYyQHP+2hhlEHfp3FrksB3z074/0KPFFNkYD+eDcR8mqhEjt6057GWo -cz/DNjGibkWnvyqiWN4639LPwki+Wf58Yjdyfg6ol0z4kTddzzfO70FUc9yJQ9i7AHWRR47NsVtb -xKjFfSg3CfmDrpm4c23O2KYt60yxFg4TVdPZ1qPN68KATeTwgbqRPupybm7pnIGP2XxU/mit4+k8 -thJenoKFDDnar4UjG3vQeBT3kYx0swb62E1OdkeyxN3n3GTR38scgcaGb4r75nVhtmfmHPTrUdfu -eXWQqDbbrnPDvmy7n4N24OMxHRr33h40g36v5JynXLShV8AntUvecq+tcbf64ONJcR/JNB/S2DlX -fS+f53xvPZoBNi7ivmHbML0HmU6uiTbnA33k3CGiSlzQh59b+RbjaX6OZOQP7Ro7/VbGvQv6MTdB -PnM+XY2fuLHG0R77r3+YwhonXZI9Cl1rfk0O2gXakFGMsNnbVR3tHvcdnbPw8UungbrRmKnrr4te -RjCdF9cF9wKpXfvmY4jqgAetEVXG3kjqPXnQzndUL37V1wA59fyzI7h6uhqyIqrU+dNVw7v8jipl -EoiLnMkafUeVJPLJYfIsgN8yOSYvvdnODq4naOaJavi4l+yAdjbJL8L/LTB2dJJoo/YZaPwjokpd -1o0ssVQ78iTRa4mqwJhnxs7Cy7gZ/5Zd2ljcPO5d22uAvhmd8nN2zsHKeG56oqoYjdozWtwn/Gzj -mdC7BfxjMyV/fCGyHGKjzJuqjtRrM5XsvYjqTL4pllNzaZiRO4KLuA/ahek5t/YdnYeJKphdj2bH -AzQ/M7Jb8NhE/viaZWU+IGGNYzwZ5FYiqZ5v/Vp4C9hzGI+PfdCeMXtNAp9zw6jtFmBTcR+1Z0zP -uWFjzj2vDhJV16VYbtmX7Sk/TWZ27Hswu8qhlk+ZqCa5RiyUb+QneaiclOwRMNaduDdozsFujFLc -R+23YDbfm23kdmTRtzHn5NwxompAn8do1s9BWwZyPm7DqH0WkSONqCrfyCXG3+dQIqouo7Uw6boJ -2PIY7cTn6D1xk9uRnYV0EvtRu8B4kPE5mvXzuk375l2JauZB2AYdD2pE1XmQ5bZ4kPWFsLYx7dnt -oPFMEdXh/1E1NHIaZZeh3Mmor56o6v+o4rON6e0TVb4ATFIwCbQxCSKqeYJMZvnSsLXRBx179lZw -PUGTRJUEElr9AN4+IeeYlduA4kActVmC0feykLUL17/bADl18mDn9/rO4OzYsYWMH1N9D7VLdiRz -C1zfhM5m2zBqF7xdGLQbDhNV0PQO2jIkN+OnMKN3C8SGzTKelno+iXxqTDpS77kZ+UZu3mM+p8cN -Qu6I7Kj9FszaBi6zJ5f0rei8iajOxBLs2L7EEdkNXOWblfMHIxnIkm+S1Vr42pzL497T5eMN2VF7 -hmRHbbfgiO1pH8G6rOeV5dwhoip9rrtry9ixfQGXmZTdg9nV9wB9rySfvrRz2sizLEtuWp61tVAf -jCgns+wsFJeZsWvcM3kkfegetd8C2Z/xU9j1VXKjNou3xfXwq78X9rv2jCbb1fdwPRP69hA5Qn5d -5JvuzfocsrqWb8hrLUy6bobGNGpriDELQ5nAhdyO7CwudA7aLxB292Sbzus27ZsPIapwGmySt7St -EVXL9QseBEnds7cCjWeaqIqkOvHkiWk8IX1aokoi8L+D/EvAFnSemNFO/a+tzAQ5GbUJQl4ThCwT -pE8f9uyt4HqCnuTHlIhHkNWrG7helkWOBZEbOEjE4MIs3Bc3EdW3DvKGGzLyrSepPfrcHMkU7obb -iOobB/k2m0d9btYa91B4XlnOPdWPKYHIo80PO3Q9kWfkWyapvWzhbiDnnu7HlAAfemSSOpIB5CO5 -pqf3I5nCXaB9825ENfEgflTJ3xyk3euDB1EPD6J+iwft2RxA45kiqv7dU4ip5aS/+mt9IaGQTiel -hkZa7fiuX/3N4NOE9I9u/XE2k8OkQVJ5/G5+tUkweX9n+6cgsXedoCciqixqLF7aULdi5LI7C2Hh -bnhKogqUc8qjzXwLVM49HE9JVIXZPOpzs/AweF49I1EFnkcb9wO6nvp8e+/X2RsHOfeURFX5trdu -0d7nXOEh0L75aqKascaDeK0XnuNPUxPXgahmHqQ3S4/YDGg880TVyKaIqpNTSCrgXOVocwJr0FNU -yfJDTLS96R9TEpADBN0nJcATVkiif7LQTQBElYlE5u6fJDwJUS28aTwtUS28STw1US28OXhePStR -LbxJkHNPSVQLbw7aN+9GVJEDIx5kxyEPQjbzoNOIKjB5PTXNRPTiKWoqi5z6U9Q4Qlr91d/3QFSB -ZCGcfCGYn2fmB5J4ksonQ70u6r4OWY6v+PToeoKKqBYejyKqhTNRRLVwJjyviqgWTgQ5V0S1cAa0 -b96NqAJkQc+DeJK6x4MgsnflQUVUr4GsQLD5ZIDJMl9afd8HORvUayYHXE9QEdXC41FEtXAmiqgW -zoTnVRHVwokg54qoFs6A9s2HEFVwwYNSfd9HPKivPwiNZ46oQj4hm4atV38baY02kVPJOmk1+HdU -zQ8f91smqgJ9eozkwIzMBK4nqIhq4fEoolo4E0VUC2fC86qIauFEkHNFVAtnQPvmXYmqQJ8eIzkw -IzMBjecmoqqnpA7ODdQdIqrGrxjDuyCqgH7CqF2YldvB9QQVUS08HkVUC2eiiGrhTHheFVEtnAhy -rohq4Qxo33wIUQX0E0btwozMBDSeKaKa/z2Nk874VV+IaSai4IrIguhLGyT2i7f872neCK4nqIhq -4fEoolo4E0VUC2fC86qIauFEkHNFVAtnQPvmw4jqydB4DhPVTEK9nImqyp1MT1Tf9P9RfSO4nqAi -qoXHo4hq4UwUUS2cCc+rIqqFE0HOFVEtnAHtmz9Lonr1f1QpW39/xdeOIqKtPp64Igs4f5f/R1Wg -T49ZOTCS3cH1BBVRLTweRVQLZ6KIauFMeF4VUS2cCHKuiGrhDGjffAhRpU+PWTkwkt2BxjNHVIGR -zUxG/YnpWplzyavN0J6ovpdf/QWSN0f9l65ALyNkGYG6kewOrieoiGrh8SiiWjgTRVQLZ8Lzqohq -4USQc0VUC2dA++bdiSry4IIHGUZ6HsqD9oiqyYuo5h9LuniKmsqZrOr47ogqsoCfY7b+H/jntWD0 -v4GYjG8sUMh18P7Sl/ts4HqCiqgWHo8iqoUzUUS1cCY8r4qoFk4EOVdEtXAGtG/elagiC+A430IG -gwvZGtraJftwHlREdQALrAXeJ+YHw/dx/M4AWZXcF6aXCbT2T6zN/9Etk0W/73/y4ycWSNd3oX8d -1xNURLXweBRRLZyJIqqFM+F5VUS1cCLIuSKqhTOgffOuRBXAgyCcHQ/yh3Yh8+EUHrTzHVWRU//O -qfVtZDSdN9IasiKnLhdl2t/Fd1R5YqonqQSZX5T6mkAwEZQj6PoEgYn70YJm7cs/uzVbthm6rG2I -/iTWBju0NcD1BBVRLTweRVQLZ6KIauFMeF4VUS2cCHKuiGrhDGjfvCtRhec0HmTHxIOckNJu94wf -vg4e9IPVQ1LFg6i/Gw/a+zGljmwKrRxtXk6yfRlZnqi+WaJKO4CAMhkEnU8I9MkBwecRM6SUoDMJ -fGJgZa+zSfwE8gjQwcT+9DubKJOlLP293Q7XE/RkRDWS2DFqF2ZkCnfD0xJV5dFMLlW+nYanJqpH -8m1WtvAqeF49M1GtfHtzIOeekqiSP1/EcdQuVL6dBu2bryaqtAPnQUE+nZSKB9lRvAcexEM55Cgj -C196CA/aIKr9/1FtT0ytXU9M8y/9ZnLqiL569fdN/x9V2oGC+9vfLROUg8sTVJ8Qa+ccf/wTAwsa -nyRIjklFhybzVRN0gKhO2pjGnfV9sAXrw1d2Dr68bLsAcl9awnxNsi/lodwjcWTc94w5OBL3I7Ib -uImo3sl2w731kTeWa+TRh68sl7byiLEiQ15+jHw7gnvH6QjuZPsmonon2w8Fr0FZHs3mW8u5kcyt -uHeMPmbcj9jekPW8OkpUN/Rd4YjsPUGOWf5M5RHtrIfsv/dc4+499o8VS3DE9o4sOXeYqM7aBkdk -7wnyjT3V7tE284h7N883k/3CZEcyt2An7k+LjXFr37wbUXUOY/wFHgSHgaB6mx0TD3LeIx7Ek9av -rSw9kNa78aAtohpkFOipqJBJKefDctTpnP+j+i6eqOYJYsIAE+WPuJcJ4rF2ezSuTx1sE2Ry/PE4 -E6Q6+o5sDnA9QZNE9cjGg+w9N6oZ+A2c4Rs7/9awtVlqIbTk+QT5vQ14FkfHPSN7dhxvxc7YH/pE -dcf2FY7IboG8sVzzRegby6W1PMIeuflt5Ns9b+Rmxz4r9wg8wvaOzpuI6hHMjmlWbgbcmH295JHn -21oeUedrocmRc9bH+/Zyt+DIWO459qM42bbn1VGi+gjce9zkGGuc1q61PIrcdNl7r3GzOHnOL3DU -9h38JOdueqI6Y/voWO4wHgd5ZPnjaxwPEnb2VOWmf5ByrzVuFkfGfc8YCbM6j9oeyGrffBhRFQ+C -HzlRhfdYPUQVjgMPgqgiCzcSD+J7rXfhQXvfUc1PTA0QTvIuE9ZWn2TzE1cR1Tf9HVXagRNVC+5v -I+gWYP/lKoLPBPm72AbKmrT4NGH5lauYHGQ0wSN7K7ieoAmiSuLii03abjIgJ+xdHC53LMGuoLiz -oGmBA5k4SIaj+eQk1WQayWBBPHIhj6AYzYyddmK5N3bakHHZDbkjcD8nbNt18eLnjm3ahZVxHyaq -s7b7uI9kBMYrnVvzM4O4MSN/PrHFx2/iRAgYk2Cy/okv+ahNlfN7bKp53FvjUR457HwkI9B+93xL -Ojf9NByZ8w3Zm4hq0zloE46MBz3afDevtQl4bCJ/WLtY6yCiPHVQrgnY9fYkz1q45esMlEczc0S7 -sGXXxxU6XxsjYdY2QGZvPOjYkfO8OkpU83rEejuSAfn63YsluoS9se/B8shzjDUuEwLacr5hhw9G -Itc831gPdR0hk/VOI8YxkxtHxi2dM7Iz6OM+khHynG/ZlpyPfdBuIOcOEVVsum10bvipfJOfIxmB -duE1sYw8yg8QPJe0V+Yc8nhbe8pNz7f+fu8WYGtm3B4jg9b3kYyQ5bbiPgsfPzo1l117ho9n0jbt -K2PXvnlfomocZocHeRl/Hs6DtogqsFwTGRVvcIIKEbXjxa/+Lnm5yEvWoFd/3/Sv/tIOCHo/QXxq -0BNV6viUwGTa5BA0m5A2SVZPnyMLxPUETRJVEkFJo/oRaEcW7PnFo3vGuKdzC4o7n66lGzNf4CAO -WYaj+SQZlyeBOD8QwyEUI8B4tvTRTixZFLYWDy0cM3GfBX4R8924W5v8ZJ6GMgHkdub8JqI6Y1tx -R24vRtK54ec02ABEVLVRctSmKphs21CVn5xrU30NJuLuII+m5twwG/dZaH6Uw1t+ekzD9uZ4DFnn -QOYmoopd1zloE7CtGO3F3cdjMi5nGMnMAjuJDLS1iw/ZlGsCuUW78g35ezzhml2PND/Ecy9GtKEP -vDZGAr5hd882aHO+YRsdmvOV68Lz6ihRxb9me8u+ten63Yulxo3OvbHvwfLXcyyvXeyrtOV8w07K -Nc83K7+eOMQ4iBFjGsoEkNPY98ad5/y1MQJ93EcyQh7Plm3mHDmwIkfOHSaqbhudG34euc5pJ57g -NbGMPPL8Id/YW3WuPVWy2LHcarmp/Zc1TrokexR5Dxq1Cx4jw16MQIu7HbfiPgvFvc1l155xIbdj -O/vZtWnffAxRNZu2to14kJdZ98SDONpYhjxoZG8FGs88UTX5RlSXvGvlK6Jqx8jNRlQ5vmuiqk+3 -gE+Q1Vvw/Rxy6q8CWx0XDgsQaDp+ZwFAh5VHNge4nqADRNUmbdcW7S5r2LqAwKzOLSjuI6LaL1wc -zScnDibj8iQRsnu+7qHFyMB4tvR5jGzcYGvxoE1yr4lRBn5NfVpqbfJzz7bLBVbG/dAnqjnuIxlB -Ojf8nIZvaEv+tI0SaFMVTNafqCrfIj9PJ6rMucPORzKCYrQnNwvND5sNOrf8JHYz89PrHMjcRFTb -2AdtAraRw/aen+hh3XY5w0hmFtjpiSrnPOFSrgmPJqp7+aH5EbbsumzofG2MBJ/HCdugzfmGbXTs -yHleWc4dIqoXOrfsW5uu391YohN5w97Y92D56/nDGqdc4oMR2nK+YUfEQvlm8ncjqnvzA1wusDfu -rPO1MQJ93Ecygmzv+ZmvtRU5cu6mJ6quc8PPI9e56wy8JpaRR+2JqvKJezPtqZLFDkSV9rz/3ouo -7o0buA8ms7EHNdA+s1/MAh1Np2FL54XchJ8+79dy2jcfRlSxjX9ARBUeZER1jQd9sD7+FUj93s/e -+BI0nscQ1WiXjI7vmqgSXAJPGxPEDycNiapNJnIfDPQJHacQVeAXw4Qd5IRR+xVM57TsBthU/UbO -zoEtdKt6WeQgq5ZAFxvqazE7dm9n3DPxROZOMWqY1Ck/R20ZyAmjdsNhojprG0zYf8GdYokO8sZy -zRciNlTKI93UBcnw3LwHaQDoEEbtF7jznB+B+3hn2zs6byKqjkk/Z8cjjNqPgpuofAO3lkfUkW+s -ceQcaxx9e7lbMLseadx7co7QOWy7AYdsg8nxbPjoeWU5d/w7qhO2HZMx0rindO4AHeQYa9xeHlFP -u8va8Z5r3EPGPRv3SRyyf585J+cOEVW3ie11nQ0Puc4nEHnkaxw5t7OnKjf9A5R7rHFuayI+wMc9 -G6MJuSNoOnd8nZVrGPupffNuRDXzIJFM8pa24EGrRBUOZPhgfRaiGpxpeowv45kjqpBPvmNqeQbZ -bOTUyv701HBFWkMWcP7uvqNKMHlkzWNtHllDQEkOJo7H2hBVPmH40gaIHBNGHY/ApYNPI2xiTiWq -QPb7+ltxZ33+j4FZvCCpW+TTLkT/1d8tcvFoHBn3PWMOjsT9iOwGDhNVcCfbDffWR95ACPgUmCdb -W3lEbrKZkp/32FCP4sjY7x2nI7iT7ZuI6p1sPxSRR55vW3nka6HJkXNba+EtuHeMPmbcj9jekPW8 -OkpUN/Rd4YjsPUGOxRq3m0e080HKvde4e4/9Y8USHLG9I0vOHf4xpVnb4IjsPWF5NLuntv23fvX3 -9dgYt/bNuxHVCR7Ek8yFB0UZospXaUKHv/4bPOixT1TtCEl1WD+RUxFUP482L9v5WhnZN/1/VDNs -Mvzfz/DOtZ6eMjmUCThElJt4/gEuEwFRNRmfxNyXej2VHdkZ4HqCDhDV9wAWNmHULtDOQrcnV7gL -biKq7wHKtZk8qlw7DTcR1feCI/k2K1t4FTyvjhLV9wLyZ2avrHw7FeTcYaL6HlD59uagffPVRDUD -LuPfM33hQU5SMw8yOX5Y1usgqms8CPuPIqqH/49q1DVEX9ro+6b/j2oGspqkeBfbj5DO/CVuLlZN -Bo+4+WQBcA6htP6azFlcT9CTEdXCm8TTEtXCm8RTE9XCm4Pn1bMS1cKbBDn3lES18OagffOuRBXZ -RlaN01zwIOM2koOYPpwH7b36G6RTT0WFVo42ABkFKvd9380T1ZD1LwN/ExMFRFKzLmT4RIGJkhzg -VWAmUHKTuJ6gIqqFx6OIauFMFFEtnAnPqyKqhRNm9Pi8AAD/9ElEQVRBzhVRLZwB7Zt3J6p2dB7E -26MXPMiQdT2cB+0Q1asnptZ/7Tuq35gsbcjmJ64iqm/6O6prmHl9IctkjGR3cD1BRVQLj0cR1cKZ -KKJaOBOeV0VUCyeCnCuiWjgD2jfvSlQzZnhNlskYye5A45kjqsDk9YTUCaohk1Mnsql88RQ1jnr1 -903/6u8a6CeM2kGWyRjJ7uB6goqoFh6PIqqFM1FEtXAmPK+KqBZOBDlXRLVwBrRvPoyo0k8YtYMs -kzGS3YHGc5yoBtn82RHVk3E9QUVUC49HEdXCmSiiWjgTnldFVAsngpwrolo4A9o3H0ZUT4bGM09U -MzENEnpFTLt2l1eboYjqPK4nqIhq4fEoolo4E0VUC2fC86qIauFEkHNFVAtnQPvmz5OoQj75jmmQ -TZFPf7LKuaGRWDvm77MCl33v31EFM32R6TGS28H1BBVRLTweRVQLZ6KIauFMeF4VUS2cCHKuiGrh -DGjffChRpe9ef8lkjOR2oPFMEVUIqBNRI5z5CanXjcpdXSOycf7lt++UqN6Cu01QEdXC41FEtXAm -iqgWzoTnVRHVwokg54qoFs6A9s2HElVwtP+N9jSeOaIKTN6JppHP9h1Va89PTDl6OeQaoi9t9H1X -/0fV5X9YflrZgrH8xHL8JLN06WiD8f8ThJzDzl0+2g/geoKKqBYejyKqhTNRRLVwJjyviqgWTgQ5 -V0S1cAa0b96VqCLr8sFnPioP2nn1t5FT6+dENNDK0QaQBSqLsEr2XfwfVeS0kNim5v+sln9wC/if -QP0kMRnfIGftyHL+a8oG/t+Q3exf6N/B9QQVUS08HkVUC2eiiGrhTHheFVEtnAhyrohq4Qxo37wb -UUVuiwdBSpGRvsyDON6dB00S1fbEFFCXztt3VO1cRFVPXDNRfRffUZWcBfeDTcqH738y2PGH5bhM -UsjxaYFNhLcZgfR/cGsT9OG7kGdSD07S9QQVUS08HkVUC2eiiGrhTHheFVEtnAhyrohq4Qxo37wr -UeVoBHTIgyCimQfxy7viQXCgzIPs6Dyot7EBjWeOqAKT9yekVifSqrKT06f79zQWUEgqQWZy/BME -BmDBYKIgilZmcnwymIgfrc4nDlJqgMzS1zZEgnjk8ff1BBVRLTweRVQLZ6KIauFMeF4VUS2cCHKu -iGrhDGjfvBtRFQ8SSQ0e5A/m4EFW5zxngwd9sHbva+utP12lfmjrGhrPY4hqtL9rouqfDthEQDL5 -dIBH2gSNm3avtwB9Z0FnEpm038YE8YnBB+sPmBCI7m/RYfaLqC6TzvGLQK4bgU9rkOM4ai/cFU9L -VMkfYdSeUfl2Gp6aqJJDWuNG0PiO5GbhVfC8elaiupdvQp9v7/06e+Mg556SqCrflEdbkCznlW8P -g/bNuxHVFR7EfH4wXfAeOE4js/AgI6uZB32ArAYPctmHEtUgmxdEVGU7XpTjh5VcXm2Gd0FUaQdM -CJ8CEFw+ReBCY2GhjU8OjJR++MGCz7l/ksBkWtD4JEF60MEEIes6XjNBT0RUWbC+DnBOHRjIf/jS -EuZrG+OXVp5ZEAuvwlMSVfLmKwP5Rh5RtzaePjdHMoW74SmJKvk2k0eMr8/NWuMeCs+rZySqkUe+ -V27lG0fyjHwj7+j3Xq+zdwJy7imJquXRh2/s/uwry7mtdYt81BrHeeXbw6B989VElXawxYN4Uho8 -yDkRxJUnqhBVnrKGHsitP32FH72aB+18R/Vn839UaQdtgiK4BJs6PmHoiKrLOlm1OoipvqMKw0cG -Hdg/i6i6nT1bkpnxyWQO+D6E4k6i24LlyQPYWPtFDlmrYwFkIfzkWztnQTTSurkgTmNy7Nhi3H5M -9Wt4bYx6uO2wP2rPcNs79pvMutxNRHXGtmPf/gJrn/B1CsROGyr5Rj7pRk5j0pF6z02wkpu34NBY -DshOx30Wd7Z9Me6x7G1E1XS57lFbxrbtS4TclN4NKN8sd5Z8s3K+Qcvoc1Mk47U5xxiEUfsFkBFG -7Qmub0bnLA7YRmZmPMRuw0/Pq8NE9aDtGdk27hnZHZhd3ysth3yvJPfYK2kjz7Ks5ddLbpoMpBW/ -lZNZ9ig24t7QZGbGbTJTsTyCWftHbG/LknOHiGrOoxkfpvxERhi1TyJy5MMXkT+6NxNZ7XOI3FS+ -kZ+shcglXTfDx70zniYzIZvlpmI6g6Rz2J4Rcru212W0b96fqA54EEQVfhNEtfEg5C94EDJJx4HY -ajxTRDX/exqRVNDIaZTbE9VORkS2PVF9y/+ehnbARPjj6gguk2KB9/ezCb6Iqj45MPk2KYBJ8qep -oeMsosqNjhIp1/egXRi1ZyDDo3wtMLdAceeTNbt508Lli5c+2c2yLHBqR55kYmF8jQ/C7NixRSzB -nl3FiPiP2m/BtG2D2zaM2gXyT+Ne0XmYqKJnxjaQ7T1Z2lsO78juAf8gn1qQyCdtlhqTjtQ7SQ15 -ZO8xnznuo/YMye3Jzs75EaCrxX3QLszOuca9ofMmooou7OPHqF3YsX0BZMCBNXoIj03kj69ZVrZ8 -8jWO8WQwh1oLlW/9WngLGAPj9rEP2jM07pkYPSLfZm0jMzPntG/lm8X9MFGV7b1YyjbY81PjXvHz -EMwv5dDFXkkbeSY5fGIthFgo38hPyKpyUrJHMbse5bVwJkYzOXwEsg1G7cIR28hu5CY5d5iotjwy -jGQE2d7zEzlh1D6LyBEnn8o3co9cwu8+h1JuuozWwqTrJmDrSL75XO7IZrnX7gPCrG3Gg8yMbWRW -5lz75l2J6hYPElHd5UEnEVWRTZ6qknPgFqJKnb/6azlLHMzn90FUbWPzySBBfII6ouqDiX4CkxmP -vJm0103QBFGNBc6/vEyCqT5D46OdMQH8om4kazo/2Jh9nGs6ZyD9LFJpcfPFKxMHQQtctHvivJao -ug9p3KDVD8BF53Nu2Jo72kzm1THKIO7MIzrJpZEMcNsx5+TmSKbFdPHTwflg3IeJasTIbWsOexnq -IkYOYkTdik5/VUSxvHW+pZ/FPG7efJPkHFAvmfDDb+DIt5D3c27iet1HkeNOHMLeBajrY7Q6dmuL -GLW4D+UmIX/QNRN3rs0Z27RlnSnWwmGiajrberR5XRiwiRw+UDfSR12O+5bOGfiYzUflj9Y6njhg -K+GDP00NIEOO9mvhyMYeNB7FfSQj3RbrZewmJ7sjWeLuc26y6O9ljkBjwzfFffO6MNszcw769ahr -97w6SFSbbde5YV+23c9BO/DxmA6Nm/irvpedxdpeSRt6BXyiPWRd3nKvrXG3+uDjSXEfyTQf0tg5 -V30vn+d8bz2aATYu4r5h2zC9B5lOrok25wN95Nwhokpc0IefW/kW42l+jmTkD+0aO/1Wxr0L+jE3 -8WFHzqer8RM31jjakdWHwKxx0iXZo9C15tfkoF2gDRnFCJu9XdXR7nHf0TkLH790GqgbjZm6/rro -ZQTTeXFdcC+Q2rVvPoyo4p9iNCKq9GHcjCHwwXjQaa/+Rq69vPoLqIvzRlrtGHl7QU6ByxjYs/ED -jmU+v+1Xf3/Su9lWdlibTYqTT56W2mRd9NM5ixAT5ZN80o8pRYL4Iru1UQLaGSM+rS1c1HFhsNhI -tpc5CjZFLW4kBRuqniLIN+Twj00UOV8UrY8WuFvhumMceTyjsQOLy8u4Q3YE4kfM7xUj4HEPnegf -yQDazKbL7s05MUafdA7GffyJathmXjWHvYzbXvxc4h51KzoZxxJLK6NzILML6UcH+aZFiFyirFgl -H/xTYtpzbuom7jVQ3Bn/Sty9jjbkdsdOe5rzW2MkyB90zcTd2i7mfCQjZJ3Y6cZ+E1HVekS8+nYB -v7Jt6kb6qFPcfX669luAf8ohrV96FTPBx5HXQjsO18Jb0K41w6hdupucncvuSNbjvuTcZtxnoLG1 -+Qmdm7YX+7u2rd391Hi6ds+ro0TVdLlt+TmQcci2+zloBz6e0CWdqu9lZ4F//mEHR8shPpDLeyW6 -ATHhQ5Scb8hRn/UdRYynxX0kIx+IC+P2GBlU38sz5xdxH8gcgdsOXa4z6ka2DdO2+zkf6CPnjj1R -lY+GUbuQ4r4qK38YbxuPYWXcU2Cc5A33ZLFf+r1aP/fIEUdk2lpoZda4LHcLWtw579p6tLHbkXH3 -Y1ddlsvttyLGfzGXo7hTpzkHW+Oxtovc7O5LtW/elajm76gqf/Ax8SBI60U/nbO/Wx9/qvrwH1Oy -o9Y2J57W10FdOp8hqrS/C6LKhBgZdKLqwbU6Fhba+CSBCeqfqOqIHPBJPpGovhdw0xELXPtuQy9D -HYtQ+z6N9bnXAlJYxWGi+tYRedTyzfLJ82qUc+QXN3I5NyvnHorDRPU9gBuJtm5ZDol89nKj3CTf -RrKFu8DzynLuqX5MyfLFiTx7pPJttG6RV31urq2FhbuBnHu6H1Mib/zDkZ08IjdtH91dCwt3gfbN -uxJVeJBIJusKeUtb4kEXT1R1RM7gHygED3rsE1Vg8noiSs6JeDZymv89jR0vyGkcIa30fRf/noZg -mq6XV3ytbHXLu9BLvQedicz90B8Da7+C9b3JfkP/JLeD6wl6EqJKbEh2FixtqIpZL8eRBZBP7zjm -9sJD8HREFb+57sgf8k0b6tp4lJvIcT6SKdwNT0lUQV7jtvIt5yZ9tmQLr4bnleXcUxFVQN4o39bW -LfJK+caeupebhbuAnHs6ojrKo5EcyGsh55VvD4P2zVcTVWGFB/GVBb7O6PVHeJD3T3I70HiOE1Xs -JhI6JKrR/q6J6qcWUB5ZG8Fc/rGtgYA7STX45KRPEZDl3XHbAP3deU0O8kzO2uaxgusJeiKiypFk -VcJSp/osN5ItPBRPSVQ5KoeUR6PxVL6djqclquDWfHvv437D8Lx6RqIKjuSbPhTJ9YWHgJx7OqIK -lG/Koy1kucq3h0H75t2IquXpwoNsT4YDwWfEgyhDVmd4ELIiqTP5EtB45oiqHZ1sGtqrv3bu4DzK -F6SVsp0PX/3l39OYH4zr7RLVgC3oyyQtnwhcfYIgOR5vI8fE+SRqcmwSNdjexgauJ+iJXv09AsV3 -1Fa4O56OqN6CyrfT8NREFf8rl94UPK+elaiCyrc3B3LuKYkqIN8q594MtG/ejahqfnkyKrKaeZCt -oxdyazzI6u7Dgw4QVT0ldXBuoK4R1WjbJKrGr/D5zRJVIFlbZPwdbILNUZ8gZPjrDUnOZfk0ItqP -2DVcT9DPlKiCg7Er3I4iqobKt9NQRLVwJjyviqgWTgQ5V0S1cAa0b96NqALJjngQ5DPLjngQ5bvx -oA2ievF/VCGd6Ynpxau+KtuxJ6cc26u/b/n/qA5hgWZC+knpYYNpcjPyG7ieoJ8xUS2chiKqhTPx -1ES18ObgefXMRLXw5kDOPS1RLbwpaN+8K1FtuJEHUXeTvZfxTBNVkU0nniKl4GdBVM/H9QQVUS08 -HkVUC2eiiGrhTHheFVEtnAhyrohq4Qxo33wMUT0fGs8UUfV/TxNPUf1VXusL/BXfOHdSGm2j76hS -J9L6bl79/Yi4nqAiqoXHo4hq4UwUUS2cCc+rIqqFE0HOFVEtnAHtmz9PogognhBTSGcQT0innqg2 -chrlEfRE9Yt6orqL6wkqolp4PIqoFs5EEdXCmfC8KqJaOBHkXBHVwhnQvvmzJ6r+uq+hEVCV0zHX -Z1k9jeX/6PPqMrEqojrG9QQVUS08HkVUC2eiiGrhTHheFVEtnAhyrohq4Qxo3/x5ElUIphFNSOeP -v/3sw29/99mHH4K8Av6t6G9/Wtogojw1/d7aVUc/rzM9yBIru24/gWPZPXAR1QGuJ6iIauHxKKJa -OBNFVAtnwvOqiGrhRJBzRVQLZ0D75s+HqMZ47Pryf4cDMRUhhaj+aEevM3CuOggqUB3y9PM6O1IP -CS6iuo3rCSqiWng8iqgWzkQR1cKZ8Lwqolo4EeRcEdXCGdC++bMkqvxv19/9/rMPP0FGg5A6CbU6 -R9Rd1EuWctR5f5MhVnYvvBDVevV3iOsJKqJaeDyKqBbORBHVwpnwvCqiWjgR5FwR1cIZ0L75syOq -XwZRhWQCJ50DNJK6gY9BVP/EBNkA2Yx80B++W26O3jrw0/DF1z+OiapNEKTi3Yyn8D5g+cRNG4SB -TbXdxFWeFR4Bz6vvPvva1u3lJu4nv6nz+g8D+ULhNSCnLLf4MOTbH37nZBXSWntp4WGwvPrU7k35 -MI58g7DWnlp4DJb9lPu23/7hhag2HmT77HvkQV/Cg353zYMunqh+b2PzV34NenJ6C9T/YxBVfzKE -PEZtot48bJLw9atvRFQvP0n4+jsbz6dLUg77Fwo3gHz6pV0n3MRBHF6um2vZQuG1WNYvI6q2KYio -fv5lbA6Vc4V7I/ZVPVEF7LHspe/m3qDwvsCe+rkRVSOoTlT5MI771cq3woPgPMiI6hUPsn32ffGg -ZV3+svGgnqjGm6W/NC709a+NXG7Af9H3x/ghpUG74D/IZMQXomoE2H14NFHVgLjx/soMfGkbFJ82 -vHl8BX787Nvvf/KE+4MRVcbCuH73xz9+9l1ssGy4w/6Fwg0gn7iGeA2TheHbH37y8ki2UHgtfP0y -fGd5Rr6xrvG2CJ+gjuQLhVch9lU+EPnhd7//7HsDe6znW+2lhUcg9lTWNnLOPxyx+rp3KzwKrGnw -ngseZDyCN+XeFw9a1uVv4EE2nj/k8UBUv/udcUBeo//6sw+ffm745YJfdqDuq6+MhBoR/RLZrv0C -pufrb0z2x88++dJwBlFlYL//0zJJPDr+kRtwjm8dP4Hfm99/MP//6ESVMTEezpmkH23R++G9jKfw -LqB8Ir9+98f/8PzrZQqFe8HzLdY5zzfWNSOsrG0j+ULhVYh9lacL5Bvgu1ueb7WXFh6Bfk/1e7eX -vbZQuDfEG+AM4g3vkwct6zJr9O//r/GgGM+QqH5iBPPDh3V8+WUQVSOsn3wylgG//NSI6rePJ6o/ -2WIg1q2JUvk9QmPoMZItFO6ByrHCmcjrWuVd4QxUvhXOROVb4UzkfHuGvMtj4FVmPvT5xjihE9XP -vzMC+o1xwF8aCR2RTyOx3xhJ9Vd/TfaLL6x+QFY/tbqvjcjy6i/ff33kq78MIA9O5xrge0IeR8Z7 -HU/h7UO5lTGSKxTuhcq3wpmofCuciT7fKucKjwT51XOG98wb5LfGQN3vnajyHVUjlfyngG+NA0JA -R0SVp6iQ1J9+v/xQ0tc8gR0QVYjud0ZokXkkUeW9f/6thr8+VigUCoVCoVAoFAqFdw++uuH/PvHr -H40DGlE18vjhOyOifLf0009fiCdkFPLJjyTxa76/+8PyL2h4qvo5pDaRVc4htD+YHpe5M1HN4H8+ -Qla/+Iovqy9fWC8UCoVCoVAoFAqFwnvFwu34F2PwPXjfByOsH34wsvo9T1e/fCGfkNavvn4hnwKy -fA8VEitZiCsE1v/Fjcnc+9/T9NA/iS0UCoVCoVAoFAqFwpMguB7/xuaDEdgPPxjB/BECasRU5FNP -U/3/oxr51BHwb2o+Tz/ApKepPyFXRLVQKBQKhUKhUCgUCkcRXO+CqEIyv4sfS+Jf0PB0laesI6LK -d1Z52ur/qoYfW/rW6kPHGUS1UCgUCoVCoVAoFApPiExUvxfJ/O3ytNT/xYyR1kxSBZHV70wOgspT -V4grda7DZIqoFgqFQqFQKBQKhULhMC6IqhFUSKZIKd9DzaRUJFW4kAMhx1NV6ouoFgqFQqFQKBQK -hULhMHqi6j+EJKwQ1AzJuFzIFlEtFAqFQqFQKBQKhcLNWCOqIqEipFvIJNXLcSyiWigUCoVCoVAo -PCmCSLwLGMdYkOsEq7+oG8mu9Y/6Ud1V/2hvclH/afRX+Uo21TV9qX5UdyGruhXZVk51w/4qC9F3 -Te6iLsmqb667kI3yJ8txSFTXIJk1/BTHR/4f1UKhUCgUCoVCofARkUnGW0dPgiCHbSx23uSifCG7 -VpfqR3VX/aP9yjZI5QvZrs71qT3qm41U12Rz3YpsK6e61f7RJlnJXMiN6pJstqO6C9koF1EtFAqF -QqFQKBQK87AbfG7yeXVyB59++eNnn37x40vZ+nmd11Nn+lLdovf7gZz6d3072dzf+2Db7eO3kRLQ -6qy91Uk21dGn1Q36tzrkov+Fnb7u14vN1j/kZAf/pZM6H0+qA+rb/KSvdKoOuVyXdKp/8ynqsJXr -ZLvV0X9gJ+u8spNsyw64sB0681zIjtf92oiqkcbvjKzqB5FGBLXBCC0/oLSGaP/k29D/UYiqs3Az -HEz8qk3Isp8meF/OQ6bv39rTsZcTZGtG15Ztye7J3QJ0jHBU5jXY0tu39ciysxjpAUdkwYwMGOnL -dbl+TX6t/RaMdIKjMrdiT+9efa6bwVY/tfXtuX7Ufitm9GWZLdmRHBjJZqzJ5voRsuxIfq99DVt9 -cttrMKOTtry2bskCrcVTsh1GMh8LvW9r/s3IrbXl+r7tCOg7s/9dyBlGMqDXt6VzFlknGMkA2cv2 -1+SaTBy35AXp35Lbk8ntI8zKjPTN1B8BfXOcRjJCszchewSan1EbULuOfj6Qy2i+proB/P9OdnUZ -V/+nssOozyq+tBt8bvRXAREw/PonJwC//P73n/3yOzsCCIGVP//B6uz80+9++uxTZCgbOKfO5ayM -7CKn/rnO+oZs7v/LqHMfvjF/vjF/jex88qURxa8s5pSp/9qOX1qZ+q+tHd9po9zX0e+iP3XSaaCM -LH3UX3KtzvpiUz5l2+ovO5u2VY/OTtbtGKQv95cdH3v4NPIdefVVXe/7lZ+GUSxHvjedBrdt8+Rz -EXWcuz/0N6L69XcLUf3t7/eJ6ndGRM3GJr423V+Z7o9KVH3xifO+LR8vzq2PyoJkhL5tJJPRy6+1 -97Z7ObX5ucYWx17uKHqbfflsHLF9Tz+3dI3abq2jvCY3ahvJvha9nZHdR6K3tWZ7JHeLn1v91Na3 -r8m/Fmv2bsFIx4zeNZmjPu3J074ls9d+NuSPfNrzLa/Be7IZR2TPAP7c4tOoj3T1bbm+bzuKGT0X -MrG/jnAhl46vQda5pU9tLmc3TH270Ovqy3uYlRsh28x1Qt/WY62tr5e+LV17uNCxMecgy3Edj2Ru -ga8JW/poj3P5oPIrcQpRRe5zkzcS4aRAr1OKJLTyQiY+MWLx+e//47Mv/vDHDn/67Iv/MNjx89// -8bPPqaNs4Jy6Cxnqct9ou5KNuqXfHz/7lH9n8v0Py//T5H9u/tpID+cZ1PN/NjkiC5CjjvaL/hCm -1LfVd7Lfhmzfl///qX6ql23KbjvqVG5yIZOR+yOr/rksOdD6Um9wP3vfk77c98J3a6M8si0/Z2z3 -48xx6/vr/6CuEVXy7gfLOUioXh+eAdfr2USVR/8YcmM8OubCik+4/NWAr3/67NOv4tWBr0zum58C -dv6tHYGVvZ3B8mQW2ECaPDK/DuArj66xo4tdR9g6bRxVD1yX+YlN9OGvbBs+xXeTYfHwVxok57YX -WcksOrcWxhXQ90uz8dUAfFrmj+NDZihnsaWdGGlc6NWRR/v+eL9r72XQZYnlkE5v37IdkJ+K7x5W -xuyfrHwRMvINvZ1cD5cBVzqXsfg5epNOHw9+t7kz2LnG2cajPpyjh/jwSZDHKNrUn/MZoAsfmp8J -+JTHE/40xBzRduE7epuvgZ05d93DOTc028mW97M6fKcOedVn9DaB+tkYPvmSOjtK7kpn1Hs/A3PY -4h7tspNtzKDpXew1m327zW2LdzsO5pzxoweZDPSOYhN9P7U2rWOsQS7LuCIOV/pA+NL8DR/I7Q9f -r7QzTnRmPR0ucol+2EcXOpGx8+VTz2iX3CFYf/mB3lF8Yjystb4m27r+qcXnk8/NfrT1spwva3iK -Yy8L8F1xbePp7H9s4I/7SOzNx35emFf8T/BxaL6bnri2W5tBOsJG0537zQA9ppN5WebH9j90eVvs -fxH/T+3c58b36d8te2Vqb32QZc7ZfzXn+J3lZqE+rjP2dtnudbptg8WL9uVewmTtumxxb/I2Zu5p -0OfjifGP9AqaT7+WDKOYt7wMGeaMuqxLemLOr4BefACjdl1v6EKv6Wv1dvR+2LT2lzWauugzA40f -eJzSnKNHbb28tfk6aHLE95DNHtKPTubT5upqTQA2Rr+Xizn0+fT1Y8VXEHFpc5RiBl5IpuUx+lmz -or7pMLDu/9Ly8guzdY0fP/uc+92ZGKDX7H/4tfkDMeD7fvrOn5chEnb8wdoNn1j5l/9hRPVPRh7/ -KBiZhEj+8f86OF/KS91L+aV9aYv+qf1F9gWfc/wTff/02ae/g6iav98F2eGYCZATpzhX2dujTF/1 -d6idunye2sCFLjt62eC6VE7nvXwje8hwHjJtLByTbsqyTZ10XchTF2WXsXavj3KznckjfdUOOFd/ -w4Xv1EU525ZsLtOObZeNNtB0hS+uj2OAel7dHZHVTFT1nd8ttJxmLT6bqNqF/8VPdmH8ZHpMnxYA -FgN/jcDaeHXAFxRbKL74rcn+zpLf6j//EfzB6/y1BPeDi5cbmJ+87XPaOAKz4QPDDgusAuDE9vtl -IUIP7ZDmDxaQIM3opu8XfBqEv9IbR/r6wsfi+53F5SeTw8/fWTu+yi4LV2y6fSw2gb98KsYXlAGP -y0GcL4/xTYaNDDm1G1H2Ml9EJj4s8ujT2AH96O86DKrPciy2tJse1xtHfwXAF+OBbRbHsN38tE3P -ZfPY1uA6uUAudX6CHtPrREb+4bdsY6vZfqlrMWITod594tyOxIk6ZLS5YNttWt+cL9au/q4TPyOH -vBx95PPiq8kwJvr341wDfpg+99N9DcR5Gw9+auwA22Hf54dNGH3yP8bgC4T7ltplm3PGQ6w6vS9z -bu3Jts+LxkmMVK8YZRv0RYf0yK7JOdEkthbji3w03W1c+C59a3GnDv2yeQSu1/raNeP6GDc3HlkG -3Yo7djnniKzmBrmIY/MPuSZr9bpJyrpZI+z4y69tHfM1z9aQ736/yIU+jdNzUTql144XOaf5UB5l -/1q71as96+Tc6pb5iD4ckc+ykmGs+HlL3Ikp849OIF1qR6cBcsHrZB6XWGPz/tFs+3G5yWWdZk1m -r/Cb3SwnENs+p5VrbwUee+KzxN/9y3muduYGMCd5vgU+uCDWXGtc0zkm5Dp57Pq7flvQ3mb+cHPP -vHzx+5gf4k6uu4zB91aTs72dOWEuv/i93bjaPC0fPJhd/0pQyJtOn3P205j3X9o14Tfs8nvk0wiu -1/LIYvK579dmmydBdq3ht8cz27a8YI/3ewhss5/Hni/bTi6+tZsn8gwfuT8AnCNvdjzvel+wxfXM -fHL92dx5XZZh7vy6iDllPvkwLI8ZX5h3dOg6Bir7XmAyzIH0gHT9ot9l0GU+KD/Acl0vtj58Y23U -jXzdAjGN65H7Ot0nEacWz5ibBnKE2Mc62K7zkf4ZoNPnKvLT0M8lY8KO39/luezu9xb/EmyeXmJp -sfG13epD7oOP/3sjmj999s2vf//ZV99YThhZbQQ25CCi35j9X3//hwF+/9nXZv8QUcUnkQPg5/G0 -CwL09dc2p99+9onV//IPFhMnmC9oTz0hmjwV9fJS93k8Qc3tfV+1v8imdo6QVTv6E1UIDk8NnVTZ -uQiaPw20o0gR7U4Qo10EyfulJ4feTl3IOumyc38aSB+1x7meEjZ9hm/WbEe74EQtbNPm7VaWn62/ -5LGdzkUcf51lpY8y8nb+LfWcc0Se/kmWNrfPudVx7sQTGfobdA6abfpTH/17264z+rX+0dbiZueK -8VeWV99+a2XlXyKsmajaNT7M3xF8PT6ZqKLjy//4v5999X//ry9Yn3IBclNhF+SXlrxf/d//Mmes -3uogqyxUX/3pv6zPn2zjM9vuw3989iUXg/mCDE9h8QuZhSAun4b5ZshFYvBNAx/iOweMAd1f8qmQ -kVE2HL/IaTe4T6brqz+Zr8iwYLGQmQ9f8hoDCyiftvHprNmi7kvzU5ufk1b0Wp+LBbGLxxVCxj+l -Y8PQ4qIFRxPPBsNmxkLJIqkkYG7YBKn7PsnJPr6wiJmsQyRE7S5jQAf9fcOzxGKjRCc2/CYnbLMB -hm9+o2MLtd8Ao9vr0sIN+vH2YNw+Ho0TP6yML9ok3ccYhy4A6+M+s9G6bYs7de6n1aGTTxZ/tDr0 -8Kkxda4Xv5M+g5fxh42GcfIlb+wwxphP/xQ1fPP4YBudOa79+EZQXPCV/sM5N/h4zE6OO75iC1+o -w7bLLT4uuu0cAkabxzTaZVv225wbQqff4DA+yvSxMfn8yjYy4bvHyDYezwPNk25C6Ed8pEe2iSVz -rLHSrr7kgnwmvvRBHj34yDjx2cvhJ3IxPw76zACbihF5Yke/6ZAebhJlF1vYIUeUR8hHXP2pleJG -HXradWEIv91PbLMQ/zLWnB9tTWLNsXWQNYebE39lDH26Jsjf0Ol6AOeMIcbittxX80ExV1wBPuI3 -MeeHC3w8gVSvcbbc4nqGyFDH9YIMNpQH0s/5FiRDDLFHTLBFfre4LHCSagSFNZ81dSFDtgYbIfL1 -NY/L+imOvnbzyT03pla3rP3EepFroD9+xJx5DsqHj4kYkz9Vixgtc2++pZthPyc3mR/mzfJzya9o -F8gLzyOT4dolNyN2vpaRW8ov2c/9RyCmFivfl9lPmRc7sv/5Hg1Z5UNg7auQVNtX/QPgkHPYHDlZ -9TGbrF1v/gHwxZybTs7tht91zvgHkGMdsrH6PQGvOsquI/IIWfy0I/clPh5urLmn4Lt2XBtNxsbs -9x2LjOeY6dB9B366r9x3dPnpucX1yJyxlnxneinLV4PPOXPVzzkykmM+aWc+L/aLKHNd4jPXlOYd -6Br29cjKXHvIoU95YP09P7Bp8H2YdZHcwtc8ni2YzHI9as6XWCnuxFlyC6mNezMjtF/aPeKX3A9a -X4/ZjL0RyE+7v8Mm6yr3mcwL9R9ivj1/8Y/7N+4zzS+fy7ivdF+NZF75QXxYC4mPz1VcUzYWkdGF -hP7hsx/svva7H2xd4r4R27THmHly+p3Z/fGnPzl++O2C5fyPn31r6x9PXJ3cyvYItDNfeT1QPnhO -mF3IxKef2j715Wef2Pr9S64HxthgeQt5Ja9bOcC51+e2LLsQ06Uu63uBy4qo4hNESa+oQoBEeq5e -O7Wy6jIky7GXpZz1uY2uf6unb8g6UU361vq3ejtvtqxMHe3qL1KX+wLvTz19ySFkk23XFzIipxd9 -5VOW7Wzv9qeMbZOnPOrviH6KEzrlO0/o6ff5F3a/8ktbR75Z7g1+4jurln++phi4T/J7BbtGRvk7 -wscjqkZI/8sWDLuJWD6ttKSG6Fkd9WxK+kWpz3/8/bK4WB996uo3LX+yRLekX768zYZm/S35KWtB -h0j65oYd8/tT6u1C9g3GbDjh5ELiArKFkYWJcX7gyaotNujVzQ42/RNUGzv9vM42JPzhU1MWQV9U -7eiLnG+yptvsM2b/NIxFZGah4agbOG6c/AbCziFZ3FSSAGw8bBjaRJQEbEpOTKwubjw8MXwBs7Fp -cwQsZLox883UwPiRYfPClp17G/6jxzdWAxubFkTZQRbbbHj4Rx2bIjf5/ThHwEdscQPMAua+h130 -MW7akEGeDUKLsW4uATLEgo0W24y732itv+vCd2Tx3cvhN/HHBhuJybtt7DAeYsyNxEqMlpsPqydG -xGN2zvELnxkTwDd8wT/miTZsK+6ac9nBNnUGjxk6kaWeOXO9HA2uS77Z+JKME3mNB93UA7cT+vBN -dtBBjIjd7+IGR5/+x0bs+pAlVhF/b8eG8tc/SDAoH5nfiMEH1hz6MW7mkJsw9NEfeL3VaVzSz3EP -Zqtt7PjwOwNx8GvpRcbt4Rs5SZl699/GTb7SBxns57H6ay5mg5yJPHI99OdGyfz81ORZB1mrfB30 -GzRbB01/I6r+fR7r57kZ0Pi9bHKMxXS7L3Zz7fNHu2KhI2shPpLPbU5CV7ouiIvHNubBxy491sdz -3W827Cg7srEFyeAffZVzMY6l3dZWSCpPYrjBYS3lxt82Kp6s+Y1lXl+d7Ju83djyASR7iX8ganF1 -osqGNyKqxAIwP+6Lybq+JPMxELEUafHrknnC116Wa4U1guvIbniWvOtkyA3Gd3GtEXdrs1zQ2ud1 -yF/0Jx4pJuGb74m/XvZZv8m3ufL54V7B9vZWZ9eS5sZvZpkTu6aduMaHv+z7etXzRWfMuc2f76ma -c9ubnaxe+RkI/5qfJutP9Mgj88tzJuukzvZtvV7uxBif7P5jeU006eWatTI5xdi4D8j3RIzhS9On -D0mWp8rRV/6hz6/VmFu//kLGsDvnyHH9sc+wJnCtxjXq1y2gzX23/lzDzDtzrGsYG8hRjx1sYIs1 -yj9wfBm35w3rIraUW3k8V/mxXGd5zp3MG9nzuPGBgeY87utc3mLH23ZaBw8R1VE7+WnzyvzyIIE1 -AZLEvDM2J6omw/2hrxXkFv5Ef/KQ+0r68qCkfehyYcPAmsxcsvayjgVR/fzL3/qTVAjqd7aec+Qp -aSOroQuiChml/XuTg5yK2CLvRNWui5uIKvuGk1SrE0n98MH8/PKzT01mIY82F9wHB16IJ2W7Rw+Z -F7lMTl/6vcgtx2tZ6lTWE1XWJXIsCFEjUnHMZIlzEbK1sssHvNzJenvUtbLqdG7wvqqP/lflWd/t -iK0LX7Ft8KelISPkvmvE0c9HZYPrtqMT0WhzX5OsfBeJzXBdSXYzbgZ0Qe5/+fmSW05Wv11yUPnn -ORj3JHmt2MPNRBVBLgjf+DnuAbkFLBp80soC5OBpKYhPzzjHGS1MbEgsWiwgvrBKB4uHb4LmvG0m -ughYZD58sIAZnEDaQugbBkTV+uP78kSWvnbx28LiRNc3K1ukvrVgEgCD2zGdToDtnEWFV4eQXW4k -zU8C7gvdcpO5EGJusJbFj3Ght21WLEwXscmwNrWzGXDzYJuKbxJBMHzjog4QI3xlgWSDIglikfRY -UWcLld+QspiiDz3cXNIfGXTTD730ZfPzm0+rY6OiDn/QiT1uiFgEWbCtfrn5NjsGv+FVArGoUmbc -2G5jXEOMnXii3zdcbYoGv5GyOm209DHf3FfqubkUIWYMjJnNA9uKEYs2m7f7YxebdMp37CrOTgZM -TkTV7Rg8/gaIm/VdNnOTRS5i5E/D0WcxcN+pZ3xXY456zTmxRjdzE2TDfWNs6PTxLH413/Oc4yd1 -tiD4eEKf+46/nKMHYoheboSYR+IY4/H4SB9gPmI8zCl++tziG+PHX+LZYmd1/EocsWNMHNFHHuAT -8syp2hmP8pf5AehlzCkGTlTpG/Pt7X3caQfYQ7fHdSPuHPGDuOG/csk/0DAbjJd2gC30AvmOXcpc -Qzzxtv5LviNj8GPIGfxJKzaYH2Ifen5pfZYnPctm7msb3++xGyMfl8n5dZo/aNE4si92bNejYoQf -kpV8g3wMmdDhOYMOi4HnMbEhLugkB5Wz+EbesAFxJBY+1q24B5DDB+LF/DNv+CEfgJWXDxu5UbR4 -2DpPrumDRH+LhvX1p1hfE1GFkPie4v2WtX/xyXARgwD2GA++MGbNnfuyM5a749KezylzSYzyWpp9 -t3gs17CBJ3T4rxgLyHiu2lxynZFPrHWsab4mWJtfa1aW3tTX8y7WU/ngT8tsj/V5sPxlz/X5sblj -f/U3lmyv9becIIrap+1eAv+QZY79CWTkPE/ZXKf2bVujXKfZZi6xxQ+0+Pdgez8F6iwGTpCtvz8h -JSfydRX3CG7LrjknTRZnJ858EG42IKDs+04o8ryYDd/nLSb+xNTKkvP7DvP7haian6lf848+XGvM -LbFVvcHX2Jk5p51rEj+1Vlq/ZX+3+vDN9xTmnTnGFuOhP2VygRxAjj3Uf73TrnXt/ybf9odvrUxu -ZX/wD52y5f6RA7a2GSHkibPH18iW5yRzzn0Yc+7XJw8m0GvylgvcN5EztC3zFV+ByOMfgXbmxOLN -HHifz3njze7vjPwua4IRVfIvE1XLD+4Jl7f8bL7Mtw+f2D2kgfn3NZn70hFRVRzwn3UScG51n5us -yCdk9UvT+9XXP332nRFPQNkfnpgevr8KWf3S2r/+9oWwQmi/+MquD6tHxonq1nrkvlke4ge5AEkA -EAte9/3UCAREAnz51Wef2pyKXF6TTXBd91K+rsty67IdUYVAO+Exv50YAXLO6gRvi3PG4gTMoLL6 -5z4N0mvnjWDR3+ouCFfItddo1Zb7d2WRPdXJx4zW12TdV7Pt5BP9uT/H6OP9ot6fWtp58xVZg/uS -yo6QcVg5E1W3jUzETbadqAL1C1CntmY7fL/wBXkrQ1R5ovrhkyW/IKvfQFbteuLJKuC+yvdi8pU8 -3shlh7XH9cZbENNE1Td9BFGCgnxjsQaXW7CQv2WB8huyWIx0c+YLk29OtuDgnDY7S3A2O78RoS4+ -jfuUDTA+nXMZCCWbGovhl7bI8KTTF0MbIJuV6V5eEba+LGaf/toXNN8AscNCFYFsRJX+ZgN5/6Q3 -LkZf7EwOX/0JLXL4zgKJ79bHN3CT98XPZJcNbxAjB23R7ossR+vDouObjE2yNi4myuVIlq6dBU0L -lW6e2RC5SSZprK315yaIesBmxYbGORsVZfxATjc94ZOfG3yj5YYWW9wEYZc6bbyCj28LS364bBsv -vod9yBK+Uy//8wbKkZtM2U4+MgbfvJtO60+MkGes1tfrerv0ZdzYQW5EVPsYcXSbgTy+dt7Xa85f -4OMgpswp4836fDzy9WXOfY7bnEcZv6lDB33zeKjnZojYthuR0NWPxZ9ML2W/cWbOGT+Ei7ihD7vu -i8m1vqYLefRjT0/5RI5o11gykOXITRS68R/dnFu9n6NfY8r2FKcc2ytEfRtf+KkbebO/+BkyGdLh -OcS4TVY5FDFqQC/5iGybD8az1PkNm609flNs6wUfvPmn/7YeUu+fuputhajapo5fHuOlv+tmzMTA -7LW8IUbMjeSE3j+B8bgOk2FMPld2JI/ID/fbdDIProd6jcfqySP54WvcWtwD4a/HjPXLc9z6Zxn2 -nLQGLzeXIWM++Ns27B9BKJY+y1McX+t1I2w3qVNE1XT6daVrwP2YGMvdkeyZbz6nxJd5H80fclzT -fg0bIKryP8sxPuW3ri2dC56b1l96gdn0D7iUd6mNr93wFtHygUHsqb6OL/cLPnfst9xkxB7r8wVR -RY/J+u9WmI4v/oP9c3na2fZt9l3Igfthc+4kkj112eu9XvtG9tnsQ2T5ug7kxz/wtn3YfbH7B3SJ -VDox4npzv8wX89NJJmWz4UTX5Mgh90U5KHt29PuVkHEd+I8t91+5FH3Uj7iydjK3XMfJ/0uiOugP -yAXB7C7XfcwfdU3W+qd1oc2j15k84MNfZKknj9j/WdPwj5zhOvfrwmR6P6yP5yhrBXbd12VOlvu3 -JQf8+sUX4m7rHtelzyX3XDZe72dj/dTu2/x7xMwX94M2Hxcx7+H2lnPWU2wyB/qg0T9QsPigU/ec -yDhJxBdy1cpOpCI3/QMIA+vx8pUD7j/T+pNtc2T+WDuIl+n9pRHkb4wgf/8jT0X/4E9WZetrr+fp -qo2fe2npMkBG+R7r0s8IHaQb/7Ere1vrEdeCtbsffHgKOeC1zPwk1WFkwojqJ5Yv+6/+Wh5bDDL2 -ZS91XvWNe/qXV3+DEEF+RKh6gpZlenibHbMsoOz6rG6tL/Wtjf7Rlzrpk0yTU1+DbFN2W1amTmWO -kpGckP26kDU02yHT95ed3F91jMHLIaf+6pv7qy+grLpGZCG7yFOX4P0hvfS1HKP8+Ze2rgZRdbL6 -ueWikVXWHc9Fuy5ZJ8hhctXzdZDHAu3wOct97SE7RNUuIt9AbCOxDr5o20LQFvAtSM7gG8Yfl0Wc -jY1Nwp+IsllwY8HCBNmzCzYT1aXekt8c9S/ks6Cw8Jl+jhBXEp8FysmggVdMLogqGwg2KGPD+rKw -svngg2+2XDxx0+M3SXZh+WJq/XxDsyMLKK+B8Emcb3amZ5Wo0tfk/bVlNgEWQMVlBOLEZsiCHov9 -sujYuJhkNhmfwJhI878RVcAmA5D1Ty9Mj/nTdLSNDB8NZlM3m/5a6xpRBeZLO4+yb1DIh39+jn3s -+k2rgRjP5AnxAW28Ng+e1KbDjr6hUo//+GKxXG7OrC7k3X6OEXKMn5tvybHxsqlErDymbDTY5eZN -dumLDuz4GJd+Lh92W4wAviuu9AXk8eTYl1fTlwvX40o8mUfGq5gDH0+0Mx6Ng/kG+EdeeBwXP5eY -mV884SWW4b/3y2XGwzhkizF0x4Womjw5QgzCT4+7xq4+lImfbpB9PGbTfbF2jQU9+M48yRdeNWM+ -sIX/6Pd5MLkY40XcKYdNv7nsY9zD5sX70oc1A1/Mt+anySz6AvgraEzknB1bvmQwB8wj4wOMy8bo -59afT/61brSnThAsvp/FRm5t/sqq+2V9FGflL+CataPnr+zpOvnOQD+XMz2AG9IWJ0MeEzFkbvAR -0BdZxooOYs8YOKfNx2NALzlu/S9u6tdA3LGFfSs3oprzDtg8+hpsazI3mOwdEFLq/IYUokqc2Ati -zfZNzY740dZkO2J3ubaWNfUK9DX7imvLCzte+X8GIp74cPVENfsN8J058uuGOUqxzHKMj9whNzwP -kTVwjelaI3ewI72AvEK/51jEJdoaUVW+QgptbvwY+7ITtrjJ4PcpeC0WYtBkf237v/XlGrgkqlZG -jvk2OLnh5h5SOSKqfm7g+ucJFH0hHRa/xRf2btNp+zK2XcauOd/XuR8hjyibn/4BCH5z3wCJ4UMk -O3eCoxulZNt9RK/lJPnm36EmHviomGXQl7h6vnH9XMZ1iqgm+SuiSpv2P9ZaXxdoNzDn5AHXLnud -X3uhh7yhra3tyFgf/FRO9X6Yfy/5Ee1xnfn9G9ev3zPZXHD9+pwvT0d8LkVU8Zd4GpDxezXu+yzu -LQbZLqDO54A5X0iqzxH3W+pDux3R6fnImoAM7db2qbUh7/eXNm+eg6HHPzQ03/GhzXtvnyNj17po -MeBp6q+/+/1nP9hazqu7EFXs/NJsioj+YHb4kSTp8vtWG0dPVP2DStnZW49i7fX7E+YN8nFFUq+J -qv8yb4OIpsjnC8l8IZu5Lcta/FyGuqwv97U61gs7NqKaydQF4bJy/+SvEaV0dHnKJkudCBe6qFNf -lw00PanN+9t5tiX9TSbKF/3jfNN3ZAMuG0fsqS9H2VbZ9ef+Ia9zlddsS0Z2s57cF9D3on/ItD7U -By76W95z/kVHVEF7srqsQdzjtVyNfF0F7dxT2Prl6y/XJtch1wPXRf/HwsOi65982gLDRczi75vB -HpBzWVucuPBZnNgUrA0H+OSKjWN5BSduLHDOLkzsUOf15iTOsnh4f9PZiGpcFJtE1f1fbDjhZmPh -5tD84gcW/IbRFipfkFhUkLcLa6lfbPonrVbHq0S8huyvD5m83xThIwsaCyCLlYiq9WMh9EXa/9Fx -F58M4oRsLKK+gLH46eZ5dBORiapvPpxbbGyx0gbUdLCROSE1WOza0xPADdoeURWi7DfGyId/unHl -5kr9fH73xg1sLojl4qsd2USdABhMX/NTMWBz9JuzZJuy2kdEFTn8o8yNPDFCno0Gu3GzdoiosoEz -XuvvkD7DMueMb+da4eJFj+bcdLiv2EAnMcAfxR1fo9198/OYc2ybnL/+hc/IUC/f2FA1ZxaLXaIq -yDZzQX9ipe8f0B+7xCv307nmz8djNhkPbcwN80E9PhJHZNGHfoAt+e5jjbFgjzrOBWJui5vfBG/G -fFmT/EMp/CPuXZ64LxqHxgL49Wldc+ZPe0U4jxtYHH0ezYaDMQVRxU985CZIPyznPtmasbzq+l++ -briP6Dd5n3P04CMgbujkZpJrGhnsaW7Jb+Tc9jImb9PTE/ns82DwviFHLHXto1c5g72m00Bd2Pbr -3NZFX8OGMQ8wJmKLTa4zYom9nHfAcgR9/mGh7Rmsp/6khScU3748oWNPWW4il3WfvsTsZqLqY4fs -xvU7GsOjQQwj/5wEWMw914nZyHdkmA+u9y2i6jI2v1z3nlc2Xup0rZFL2JHe3D+DNgNx177txAwC -Yuud3x8YIIbLhzBWz35I2eZrmUsD9XYjvxBVu5G1ewv2gWWftjk3Hcgx5/qF3Xb/YHOz+BJz6v7a -nJlPiiE5SduSR4sv6HDi7LZNNwSFeq5B7gf40NryBlLNB+PocjnzyeX4MNrzyezFuuF5j02uX8Zi -/nneWb1fR4qbQF/2bvKNuQ0/FddpoiqYP1pfvZ/6eLudc22rnWuW65xz3+tiLAA/WFd8bQ+YnPuB -ri0fMmJOWg4QD4vrkh8G5t/i7vdtxIlczETV5pY+uqe6HE9C1Pmce97ZdcNakGMWa8Ky3l4TVXzN -RNW/045/mkvr80vzYVljwm5n3+MWa8cFUTVdPDnlKaq/+mt2vrF6iKhe7ZUu7ifxaZWosh4pz1bB -HmJ5719FMV8gqZ/0JDXgRNX0cd1xrUEoE15Ipl2vJrOUTQ75nsgmLPVL27XsUufndnSi6uTQxgjR -aSSJcjo6eaOMrOFCNvq7TNRlUuWyPPmjTF87NtnOduujY/SV3tY/9PVk0mUo65j7I2uQbZFDyYmw -et+Qpf7qVV30SQb50OcylKOtydKW9LVxDvpTr1/1ddlkm+NF3FJ/bzPZL764JqrAyeryA0ufWn4u -92bCKI8Fa7frhZxmfeYazV+lMN2Xf1SyOPuCzULPJ4dczBz3EBe9X/gQxz9yE2YXvy1Y/v2rT9J3 -RfguAAuXiKr1cbJnie2Lvi0I/joGCR8bgfvEYmh1e0SVmxr/NIdPzhiH6cJH+rsdWxwhz76wmXy7 -uAiYLbIsXCx2/j0XX1zTE9VdomqLAgHv49Ng/lgf7E4TVSOiC1G1BU038NxEshHpppSbFnRoo+LG -hDKbF5sS9YAb6KNEVXrxjZsfNj18ZOGOfk4cIs5jMG6bH24sbDFfxotf6DM9bLR5I2aM+GA22o2X -Hd0uyCQTH2wMPs4gPe4jN22Kk/r6WLLd0IEdYrJFVK3ObzpECCIWvgFafo7HDWLs5DZ6NOfoi/H6 -nBID/PHxWDu2GLf5fz3n4ZPG43KL7x5bzTn17meKo8YTc+c2hSjnV39dD6AsP3NfP1pdmr82HtrI -L/yRj+QN/Th6zNFvR/xiXNQxP+hAhjhpPMBu0ljI/Fr3uMdaNQALoRNVbv6JO3li/TUuz5M8dkDe -BEltxDq3Sx6gl/EFfE6JM2Mwu36Tz5poa4f7ib/xdGf5ygBrpPnIOtN0vuhrcyx/0U85crC9jkus -TYfb5hrA7zzH+EY/5Q+xpZ+Px47o9bjH3NGXdulkfqi3WLD+MqatuDPO5WaSXIp4Sq98CtvMo99c -srbSjzXU6uiPjZc12/StElURC9qW6+sK2GNu4xrBN4iq55GPZWM8d0XYYqxsyBaDVxNVjj4+i/co -B5gD5pd6+jN+9evt5HOD5zCkknlgP2Z+rM7nyNZz7Z+c+35IbkNa2E/tGnBZ5Gyf9n32gqja3MV+ -CPzpbZtz02m23JeYc88XZIgde0mbc9vLbe9229hwnWaXdQJbcc2JqPqTPmxQJq/8Og7SZT5BlP1X -gGXbjv4hI9eEAb8892I8fv1GvC7iR/6Tb8yt1r2QuxtR9T52bnP6spYuZd/D6MPegTx68MPzKGQd -sZYoX0Z+9HVxnfmPnlkcWMuIO/nySxuTE1Ze/eVezOb6JUYxX8Rwj6i6vMnaPC5zbiBeyX6T01pC -DrAmmLzH39f9uFfjAxDm3OQ05/7BGP4bPKc8Br1uA3HT/kq/z42o/tqIKoQ0vqMKKYWw8oSVH0o6 -TFQtRh43rRHD9WOBE0BI0JeDJ1xCIqrz/55mOe/b+75qH/57GvW140JUbXyQHaEnQBfttBk4hzR5 -O+Usr3LXpjIymXC1dvWPcj53UA75RvZyu6GVQ/aqTH/J5nbKyHTyHPtyJqrq2/e/0AWSfIub2jl2 -5WzbZa3McRi3aKeN76iO8o0PS/iOtK0nn1p++psns7BrczlfOIL/roqumf6PC5KLyG8CWWzYZI7C -+vlGwyeVfhNmScpFmH/UYEBU/Z8DW1L7jZEtLL7gsKhY0jsBYgMxfSQ/bS/fUb18JZe2hajaxeO/ -8rtsZmxaLseiuUJUl8UrFjueeFBniwavUrJ4+Q80xaLK9xC4acKvl++oWn/zB93D2DiWTR6fDhFV -FkhuMOxmwxdM6+t92GS4IYGsennR4Tfj3NiY340Q+QZmuiGqnIuoMhbsQNiwywLOYs25fAu9zTeX -W/xA3p9MEOfhmAHjto2fcTPHPl7zwfxwwuivq4Ydbqas3W3gvzZVCBe+Xdg2RNnJjG7QqLfxvIzd -fEenjyXsEkeNm1hxI5eJqpcjRmHLCRwkIX2XkPH4DdFw3OBl7ItfMefyDRsaL8AnG+cy59YOUTUb -bh//8cvn3OqQifH4+POci2gSN304QVnjUX55/AzMecS3EVX8g2SgD/3kH76m/Fh0WJmbYfxo4wkb -2KKvj2Xxsdn2+cCO1SvuriPs4A/jVqz8hxle8miJu8V3GHeIosl67EyP37CYLq4NfGH+mBONA+Ab -MVWMyU2NUR+KSFZjoP7DAo+98pX+VnYyhJ8GX1u5MeIGTU9ZzX9fT6QrgzrmlLFzvRIv/BNRJUay -z9iYK64Bi2HLb+lQPjB+vQZIX+YHvYoJ/bJOxuOvby3x8Lj7/rAed8/3iHsjqswttuQTsHFDHNrN -pd2IugzxtSNrrT+NtrX/haj+2vraDZ/5mYmqXyPMcb7JzMAe80P8Ird8v2M8jMXW/eFY7g7sGL6y -GPmGbD4RI4iCxyh87X1nDj23yE2T4xpUjpA/xO1Li7fPl81l5J/LmI625gLGL72yQU4gj321GZyo -8jYSP1Jj8XYZYmxH/2DX9lr2RfKamw32bf+Oqu29ix6bK9PhHyj7vszNCCQl5s72/0UnduM7qj7n -ppM92er1400vT7/5wDPG4HMOYTKian64L5AUxuMfkJtOiCl7N+Q3E1U+vbe4tR9n5D6BV5etzT/k -IhZW7+M1O03O+viPKeGnjcPX9ohXi2ece9yZW64r1RtuJ6o2f76GW13rY/3bNWztrFu0a91UHXrw -w/PI6uMDJPeDfEGv/MxAF3NETFUX15nIPXFb7peWNtY6J6oeI7s/4vqkjfkycC1cEdXI1azf9di6 -wL2WrwE+buZ8aV9kF3Bd+VqCTtYSa/PcMd/5saWv/st8sTzEF80laxn3f56Llr9OVPEx6+ZIXGLt -6Inqd99bLtua9zl2zF9I6/eWW4eJqrX5HrG1Hpm/HPnFd59XnsaNXscE+TuqV0TV6vx8IZpOLoG3 -JbJ5IZvbqUtEVYh272vHl++oQr4gQHbupMjO9TSvPXW0sr/eG3CCFEcRKJc1UHZCZueQW9pcJvWR -PfV1vfRHFtt2zE88XQ5d6q/65Lv/wBF+UjZZ9fdf9jW4jQTp5bzJhj6NpdlGPvro/MI28sjiu8oh -3/rHsdXTn3KyLd9V57LdkTbkJNu+ozogqv6vkL5a+llO8rtCvlYfAXltub/c68Y1xzXT/6lBC82y -EExC8gbdePjCBTt2Y9dEVazZX6Vh0yCpcZQFxxY8J6pW5xsgi2FHVMGLTltkIKpm2zdA68urHCxQ -2MaOk0oWVNusWPBYGHhauyxsIqUsjEZ+bQH1X/3lqSqbgOnx11h8MTOiymJGnZ37hscnhm3BiVhs -xinF2iZluYlg4bFFTRswbWpngaQd0oJt2tmE1Mf6L6QFGfODjVM3m8TASQqLmtVDWuJmpv3qH3aQ -J0HY0NAXdhpJkG+SpR05bYp+wxDYGju+t/HafHt/Q7LjN7XYab5bHTfK9I0baPcF4IeV/QacGzST -15ia78QNWbdrcthl49FY7LzFiM0a2SBXHiPsIQehtovKb9yJB74zJo19a9zY0rzmuGKDONKmdh+P -YmTt8tXseR/qzI9GVPEdn/OcixxyA8Kcq8x4pM9tLXrdB+rxjZuiIKoeC3JQH3C47aUuj2fxbbGx -jCdsEDvZxk+PmdXjaxpPu2mLmyi/aUKvbhrQYXH377m6/1a/F/fsX/jieYIvjCPfYHITxo0b9cQM -P3N/xqsx6zzHwOA3n9xA4L/56zHAx4C/WcL6EmsWN7vtxmikk6NiFHH1vNDcEGfk4nr0G8+Ic5tj -dJBr1KODGEs//Zgf9NLuMYl+0smcEXdi4jf/MZ5R3D3POTe55r/Zw2fPo9AtWHz85pIbVtZW1lvm -CP22P7Dm+lcxuLnkJhUbHVGFLPh+QuyJsez3wB7jwRdyi7g3X+hzIjxOYdt887WgkRb5tLQ13228 -Q6KKPDF2SMbmkvkiB5Cx/u36pc2vz9DL0XQ4WcY+etVmcKLqhMP2Q9vn9LsNzBMkxYkp+7Ttpf4m -lO3TgO+l+n5osnxg5PsyN7ZGBpBtHzL4Ph06LR9e9lTm3HxFh/ywewV/A6HlcMTRztG5vEJu9x0t -j9J9B+TFju6niKqVF9Jiesy+37uYnOuAGCkWcfT8ivsO5d6y95s/+BG+LL4tx9OJKpA/5Axzzr5I -H/QwFnKE/V/XQcutqOv9MRnPUdaGtj4xX8u92nJvxv2ekUPLN59zux/yp+Ye53jqTC4yz8Ta9q8h -UZVNg5NUmwdIJzeybU+J9gZ0Wr2vJeQfOvHF6oZElXu1uIf0XOQekFy0/MoPEByyx/xZbBpRtZxd -Xv3lf6DavSc5zL2myUNa+Vc1s0T1wpYD+wNQ776Z7z7fdh/C3EIgnKze+mNKIp8Gzr0+t2VZEdus -M/pGf5fl/t2OQ6IKwRKJUpljI1F2niFZjhey9Kec9MlGRqtPssP/o2poRC3g9cjaObLuu5XddtRx -9L6G3BdIr8ieyybbri9kVm2rv2Q727v9KSNroDzq74h+TTb0cs73T5HpiSq/Ms3r5+jSAxyuFV+b -A30ej3AhH9cD10z/d3GxxGJy0XkLSd4Xe7vol8UiEVVbPP0VIja82CC4aH1jsgXfNze/qG0DtAXA -P6WzxM9ElY0O/dq8/NMwPqllkTE9y6L5UvYFthHVZXNa7NjiYKRjIcT4uuhl7HxvaPlemflpOnja -4Z/K8gNP6GWzMz8ZGzdYLLZOyGOh9Rultdi1+peJcB+5eWbBYaPwmwjTldvjJr0lAfXamHTDqptU -NiY2KhZ9SAubkN9ssqDZuE2fb47UccOrjQmbutEBsSn5BoVefPMbBOrx2eqx7f3NjnJgbewCOumL -P/RHP2CM3GDjI/4QI3zXRqt67DN2+op04Y/HCJ0mFzFyvfRHL763mMX4iCN2GDd1tDEeYsSNATGi -3seNrNU3302n3xDYmLbG3eqtv+zhm3wxXR5DzTntxIj5bHaszf20Oht3m3OPAz5aHT5qzsmZuBlp -N6z4Sl2ec9Dm3OqRwTfZwTfs4JfmwhYjnyNihE/hr/vWxmPtjMfb7Ki5IZ74Qj2+qg/ATuSm+wjw -R/pDB3nr41HMN+Nu/dSfI3GRL2bTcyJk/Gk0/jE+YgYpo82vC/OJfviIHGXyIMrL3EU9cUM3MfIY -vPiz3LTHmsUTKj5c83hY+5VOs43fxMPn3PRZm+cN84CNHGf8Yh6JJTHS/KAHHdRrzMoz5oD5Qeeo -3dboZc6t3mNissQ1buzb2NIYL+KOHnLXY0d/0801RH3Y8CfORnB83ba1lO8Vslf4HpDXV2x7H9Nv -R14BJI6ZLLAnuF3GJBvAywbGgy/Kf8mPxvJI5BgZtP75tebj7PwHjI9rIq6j5YNek/O3JayO/uhR -DjBf5BFzjI00x55j6MS+9GPX4nPx1Czqlw+AbS5s7/QnW8wPN/jkMXs0TzjNHyeFtmfq1335VB1S -6U9auXmlzvLf55y92nT6nNtcu07Vxb6veXOSyptVVse5+6X4hZ/Yxg/2d/ziia7/WyjTjb6l7iez -Y7ogqp43Vmf6lDfYbE8HbZz+Sb/55ATGzv1rTMi1a3i5H8D3C388twx29L2dudE1HXK+VmjOifto -zgWtA8wd808/5a/L2Dlrh+ZX/rAmsKbRx3Pe6phnzyOLr68fVmf1fPix+u+xyAnPjWsfGbu/FUdu -+L0Rb44wF8w5c8GHA9jJ/loMyR/u6XhwQV6gWzZtbD7nNnfkG/Fub50kHQ1cU9bH7z3Npt//kafE -yK41v8+0OV+egNucM5emx+eSe0D8tD48NPG4cn023RytjvHH+vxCVP/QfkypJ6rfx/9JhZSiZ7G3 -+MK/p/nxpz95O7Lup2yBtfWIetZeu7Ff9gG7J4QciAzyVOvTRCKsvP7vaZa6hWROfEf1ou9SN5al -TmUjqvhHbuGfkyFIEuSHssGJkdqiXoRsrezygVHfXNfKUacnn812yKk/pOyqjHyuoxxHP6fNjti6 -8BXb0V9EUsh914ijn0smlw2u245ORKNtzXcRTvX1/hyT7Fbc9CNW2Mr/noYnqZmk6t/TaN8hX9dy -uUeW07XHddP/5cbXgMV+k6jq1V9bfHhFTJ9M+qetfGLKxmALly98PHFAzhYWf6LJRQEhZRPik1E2 -RTZQFkNbpJbFzy5+FipIpi0u/okaCxWvFEFKrd03LGtDxn012y9ElV+OswXGyKv7Y3JaVPXk1zc6 -xsKiZ/4uNw02fhaZFIspxIbVbp7ZhPKGwAblm40lAkmgNt14iLRkomp9lgUP/ZZE2qy0wbHwut7Y -mOgPuMnhppgFmWQzW+4beuUbulno+WTXdC5PHM2OFln5PQJyjBc7+IPv6KeMj2ymPr7Fto+R8SDL -jZfbNrSbZzvHT5Et12ly6NFTROmVnM2z68Rvk1lupMMO8aDO/TVZxZ4YoQNZYuOyVmdjcdmj826+ -tDn3GJou/FN7Px7sej22qbM+MWfuC3WUNefoV3/ippjSv805sD7I0R9/8IE5Z37py5wTc2xTTyxE -VMkjzTn6uSEjTxgPNtFFG3IaK/1VD4hv5Fa7eTZ534TxEz3UE2f0E4usGyhmW/AbLeuDXsarsSnu -Bn+iRBvjIz7KDcbvfbj+rJ4YptxyHcgwFuqIpfe3Or/Wsh+QMrups/XGiSo36ba2uJzs05cbO+zz -L25cp4F8xU8QdjwW2MFP8tL6OoiZ5ge7+IZfjNnbrE7xk236EV8fz1KnmHt91jkTd8kQX/zFV3Lu -y7CfZSwu/l0xSIoRAF//07ru+RWy/gEnaz9xtDVZ/2bE1+pY11vcm36rUw4xTq5lyXxM4J/BSQvx -GcUIcE7uMofkrs+HgbknZ6iLXPAc4nqiTOxniSrrAzHJdkOGG2knq7ZXO7G0oz8ti7i7nRiLEwzb -H31OTM6/isNc/mT7Nh9EI4dPn9v+K2Iqncgx/9w3xPyI9DhJtb3Zvzcati78BOaH54Xp8P8c4H6+ -5BGv8kJ4nJBiC7t2X0De+A85kXfch0CcLL4+Fmt3ORsTez2yqgP+hBdfs0/kK3NIzMk3riliRFvI -NaLKPHG9k5997AX0cQ0xd5pr5NWObs07OUIbdqze7WvOWQe5tj2PLMbYpb/ZbR+Mmrxf+3k8+OXz -AaIuQfdSivUy7y9xdzl0EX+bQ+pcPojqEsflQwi/vplzKzvZpA672Z8M82vRafLcz/GEnzXBfKDO -c8bzN8/5slb4nNOHezrz1X8jxecy3SwTM+JJrJgD5tTG0RPVz83v5a2A7/0pKq8Eg0xUOX5hupAX -Uf2GnNL94wwiju5HzKMTBJ6uQizyd1a/+HKSqKpORHNd5kVuOV7LLnWSWYgqexY5loiRSFA7py3O -L8ieyiHT5ANe7mS9Peoy4fI6nRu8r+qj/1V54Puwvx3dFvKSVTnJjPqKhPa++/mobOAczBDVtf7U -OYE1rMaNMvJW5ik0/44GosrTe5FUz0GDOIqvTeO14igWdpr+RkK3gIudBcoXKS58HDayyALkv7TG -RmRHXuvxxSNtUGxmvmGx0NkCwmbhelngeIrJBkibbSS+0CFr57qZ8QUTGWyw8LHAB1H1hc/7xsYk -fRBiq6fMJuiEmg2LOhYR85NPc90/93MZG3abjdECugdfcKwvNxcsgGwosam1p320syCxCXHDyGJJ -HW3mpz8FY9PihkVybDjqjw02K+lns2LT8RsFSwL1ycAGY5KN3jeAHP5YndtGJ/J70HhT/yu7iqXN -ZbuxlJxsU9bNM+MbxVCyIqnygQ1FbToKGgs++PxYjNAd9t0eMhwBcZ4dO9Ccj+Ka5zzHyNrafDDW -8NUXA8l5fysjw9yavH/YgG7Gz2aruKpPBrFXjBQfbFtbq0en+2zXGmOnTjrzeNTP/aGv9TN52pwE -aY4B/bBPP+KKrkFutrgL8kn290Dckj63Bzhn7Ogk/uGn1zMegTI3BfhPXvrNy8tcXMgZrvIi/PSn -OT/qSaFt5KxHPI1Cp+dap1P6IKnKD3T1OZxlGQv+JbtLnOMmlPZRzqKftSP0ZJ3tuuj7bEG2mW9y -UbqYS82fYDd4/pTN1tNlndX6y7q+tHtemKyv2xAFa29rMufEktdKbb32vMN+9PHxs5ZcjKfz4WMA -Hw3Lhw8pRj6HMQZAvJS/fX6qjuucnFSs/bq3fsQAG6NrDd29Tz3kg80/+6bHmicmtgc6keA6l0zo -4wnYkufI2l6svZyYJ9k25+hE1uaTsvvNPYPJ+17NPms627z2PgLptWtj0Wl5ge12f0A7ecS9CHZt -LLHPcx/gez5jsvN232HwD0X8noK2pd39Ra/p8GtXtuVbnq88F9lPyhfXhdmkTjoka0eRWp877Qkp -h5e55fpe7gf8w0D6p7zSutnWQdYCrkWua9Ye6tHPWkN/+Slf1hByft/EhwSac4sTc/eprl/kmO8U -T58jXe/E1ubNn2LbNc6c+Zzj35ofrtPm2+7TPv8RfQb0gZij5R5tiT/fndO9HT7k80ZSexvETGsH -c2Bx5Mk6/4aG/6P6a1uL+AEl/j+qiCpPSSGxQP9HFaJKPtP27Xf8KvAf/NeC+T6rXv8Vmd0EMpov -fXDlCKIAqdDrmUZU+VD/bfwfVREqixPkhvP2JJGcJM6QoqjLcNkgTPn1WYAu1xd1biP6Cb1tfc+T -Oi/TP9XlvqrnXLYot7rsO0h91R+oL3Vux6C67HvuTzm/ouyydu62o05y3j/1BfjU/Iz+lKlX3Zpt -74+sATnWHc4hqpBUnt5T/9vfL3mnD9EsJ32t8Xu/LndvQNDTl7+R0E2wC9s/BQMseNT5xcUnXz8u -9WxutsBzdIJoixPwRYqNiTpkWDjoC1hoLAC+iIWMH9HHAo8dbFBGX9z8eV9ro7z4ZUfOAXKmw+v1 -qZbbQW7RoX7LApp8VB/5R99bQIy4SczQjWbfrnECYmO+eTv1gHPAuJucQTrUX/6qj/T3NjjPbSNk -+Rn09no9W771QEbjm9XrcUvtenpEXc43gTrJIoO+BmtH5xHgL32lU5CuPF/A/era5Ct6chkZ9znk -cv88HrUJ6JF/2Tf17W1n+b6PMNKZ9YHsi+TxMfdRP8oac9YxA/kufT1cv8mge1UurjXFeU2ny1hb -7wMw/b6W2I2P/3oq64+Vm0582NO5NodNzpBtApc3/5FhjH27yxiO6JxFr9f1dTL+ys+yRr+s76yv -JqvXgSIvlnWb9pDJazJlxtn0xhE9eUxrMfhY2IvR2txkqA9HgbJikHPm6PjRYXPgxJJY2w2/79GK -tWzEuT+5ZG9nTthfuRHHvut5kXNZn3PNX+ikjZv+0ON1ff8eqW3RafqC7Cz3B8ojAzKMxa/FxccX -XztbJrdcs5dyF/cdvU/9fGkujsoI2JGcZLO8t5vfbY2KetkAyPQ25X+2kfvPoI87sVHctW5EzNGb -86Jdv7YeUvann6ZjAX4Ykv4hTMafqMaa4Pd06KSMTvPD9TD/NsaruaQPN9ay1etnTVa8Usz8h0DN -T0gmT0SX/5G+9KH8xZe/dUBgpYt4LH0EkyEeeR72gA2ztUpUIQwQEZ6sfv31QlSNVH7+RyORkM7A -F/8hotkRzEQ6X8ip9b/o+9L/WpY6jsvbh42oOhHKZMjORZiA2jkXgeqR5Z2EdWXpb6AdXamNcrMN -Qi7LtL7Ip3bO/ceU7DzbVtn7he8ui60493Ic1Vft0r9rO/tOO7aivdnWuYBsyGOfvv3/o1UftxdH -1005+lKGqEJQv/pmKTO3/jTfcu7dEVWwtrioPrfluh6575bsSCbXrbV5mc0r1a3KDZD7vBZbOu9h -7zX9R/Zf45P6qv+Wnl4212W5rfrX4hE6wZa/9xjLa/pv+TWqB/J5JLPX70j9ayD/tmyO2tbkj0L6 -te68Ru/Rvq+x9VrM2lZMtuSzTI+RfMaMzMfCnm+jcfblPRyRHUH29uzOyoFZuSOY0ZllMm6VG2FP -blYP2LK71dZjTXa2/xqkd02/0MsJI9lZjPSBW+UmsfYU1L/P3NW1NusjjNo3QZ8RUW1kIchqEI5P -rDwmqiKWEE1rd7KZiWp+ijrqu7Rdyy51fi6iKlIE6Wkki7KO1MdTPcgYcEJlcJnoL1Klvn1/zkWw -mmxnu/XRMfpKL/IQOenryaTLUNYx90eW/iGrsUiuEWP6hiz17SkyZTtO2U6y3pb09XHL/am/+BdA -yTbHi7h1/b2vgafI/kNegacjqmrryyNkmSOyo7q1NpV7+a26jNxeKBQKe6g1ZBszsckyPUbyhfvh -SKyPys3IzmJGZ5bJuFWuMBefLJMxkp3FSB+4Ve6tAl+3iGqHT376/cWrv58LV8R0oxwEdcFLeSSr -Oj//Q7z6K1IkZALkJCnOHUGQOIc0eTvlLK9y16YyMplwtXb1j3LTFW1ZXyN7Idu3e/+wlduyvlaW -PDKdvNpy2cmnyqGr73+hCyT5Fje1c+zKV35ZmeNa3IATVMurTE4FcpAfY3tXRLVQKBQKhUKh8K6h -p4NrTwgLJyJI9S5R1dMuI6qf/m75LZYXIvknJ68ilE48g3A2JCLqT2Tt+NJ/qdOT1aX/Uq++n/Nj -pCb7Kb5BeCBfF8TTzjMhcxIVMk6QAl5v8L4AWQNlr4uy6zPkPoLXI0sbstRhW/2RS/0vQH2WNbht -6qJM/76v24rz5pfJejnGKX3Ndob6ImtoviOL7Siv+d7qs6yh2VYd/TKiL0Cu2TZAVJVXV/kW4Hvu -X5le5eorPwQKevryNxIqFAqFQqFQKPw8UUT1DWGWqApGKiCqV0TTiepCNteJKuf/cUFqc/9LoppA -2Ykqr/6aDxAgfhQoEyTO/YeCoky9E9Woy2iEif4h64SLOsoGkTJkr/qjk7527rJ21I8UNdvRn6P6 -qf6bb5ejbMkfl4n+vW23RX0ny1Gy0ifbLhc6mk9mm3rXF7JXcYv+6qv+1DVZyxfkZUf6iKnqsm31 -z7J6orqFRxPVD7/4zZ8XCoVCoVAoFAqFN4q//ac///BP//PPP/zPAOcj/M9/+/Nf/Nv//vO//N// -5wJL3XX9Nf73Rv/Lugv8n+X4C3z7B/z9h+X4G2C+X9RZmfp/oBx1V7B69c2yXg59W305btoGXX/V -X8h2tlr/Qd9e77Bvrks6LvoaXF/ITvtudVe+UzZIn+Ryf29L9U3WMMqxjN8Y/sbkRjl7C67+RkKF -QqFQKBQKhULh4+MvDXtE1QiqMCSV/9aVH4Rf4IOTngQnTDoPbLVftIHcns5bXT7v2rO9XpfqrsqZ -xHW2r+S79ou2wFp7jyvbquvOc/vo3NH5OrK92251yqurfAtwTm7+Alif1+LqbyRUKBQKhUKhUCgU -Pj62iGpPItaI6klYiGpHeiiLFHHsCVKW9/atcjpXudd30R7oz1v7QP6i3NnO7V7u23M5kNt1flEO -cnrVHujPW/uGLdW1817WymvtuW41zwKcF1EtFAqFQqFQKBR+hpghqiI7dv4LXvGNV3HHGBHZI+R2 -XbYR1QsSFNC51ydy1pOkUf+rc+vP+V5fr+uOzTZQ/6Qv9/e6dC5ZLyOb7Kuc5dt5lu19n7GdZFVu -dUmm7+/nWXbNVsjkuiZv9cq1TFjfFVH9m3/681/8g10cwN9VNnz4zZ//4i+t/m+jniNyXv63F3nw -G8oGa/tgfZre1t/aJONHq9M70dkG+rmgzbbXyy/ZVjnp+MVIB5Bd6kZ2bwXjQwcTmyG9fbvXg9QG -8rmXV2z0barPoC737dt7IJN1biH70iPb3ZMVaN+Tm9UrXVlW8r2MdDDu2bELW/ZH7bLpbQbKqpNs -lul1eFvUq026s41Re+7b65R83yf39VyNc9Uhm/upLdcD1ed+GVl2D8hnfT2kf0sGSM51GlZlku2E -lzVH64mBteWozi1fpSsjy3M+8o+6kU63bejlZ9H7OtLHGm3HJT6D9TXaL2QaIpYXfTr92Mtj8hgY -sszHRB97zrN/nOf2EbxP6BHWdNw49pf8jdj/TbdHS8788LxGDtj5ms1rnSHX7hnAev8RWo5c5MRI -Jvko9LaQy2MRVvQ66E/bxdwYLmQMezJNNsmN5K/0pH6UJatyL5t9kWy2P4l+ztu6Jlj5QoYY5rmn -zewLU35IJ/0doVPzn/1AVvaaD4vMqi3qFS8Qctwr/qX5+DfWl6Pfa0Yf7P2NXRsgx4Bz9cm4itMe -sIUvIgeORBr4XuJf/qXN5d962Z+oXhHV1xLRnf7tO6rm0wXRMTSSxHlXVl0uZ/Tkyctd/9YWuKjr -+6fzHrm/zrOtvpzR5DN62105Q30uyhO2R/okO9O/tfVlZMmrv7G8MlDv+Zfy7j0RVRYCf//dwLk7 -zKbDxfxP/7a02VGLS3tXnuP/tOR3LOetv13ILtvaDf9k4ALk3BYcFlg2Ti+jj0WIBUBE1cqy4YuV -2W+ypsf9wX/T4/XUoQM59ZWf8pV2kx/FYQr4x6TyBWQmmGP+MnLfTjyoow0ZySMjORIq+8Q5/ZRA -LHIeE4N/shI6BGTpo81r1M4RfVmn7G1hbbzSO/I7y/a28R+5XidykpWM2w+91EtO56AfC+fSrXaA -TumdHbtADOSDxsF589GO/XhGc8559k39I9/dP8VIPvbjEYgD/WnnSHnkl+rRQZ2gPshf9Asg774Y -NBb1kw/SOcpN2jLk0yyQp5/0yUeAT9KpmHk95WjPfZDr45jlAG2KeYKvT6xbrCNaS7SGjHTqnGs6 -60Q+y+3ZzvKj9ma707vVZxbKxawLfzo5X3e1PziW9bfJkhfIsR4TQ1+jUxzZC2JfaZtk9HEdV+O5 -9uGjwf0zrPmX21t+BlRHe5bjiI4cA+oB+qV7FqZr2UMjf4m5zcMS706OOcrzY+e+l3cxf5nzkDVw -j+DXg/nN/u17NdcIdrr+I1zkUdj2+wP1RS8yjMV9NHCUv9lXty+50OXny9H9wtfOB481MVa8NRdZ -hrLmyuckbGYZAX2S0/znHFF7XqNULxvIZ7+QdR0mp+vf+4dctj+Bdl0Sc8UdEoiPykE7J7Ythpqj -NE/ocTDvM3PuOm2ORmtC9sHG5Pkr2xwT0DG05TEkLgHiZPXo/Fvr8xuzyzGPE/L5m9/8bwfn0oUM -5X8wP+gHOP9LPvAJmSnk+epJKmTiF0ZSP3wwOSMUVjd+9XdUt4Yb+q8R1Z4gqXxFjDq0tl6Wcq+v -w0XbqH8qN7nAVV1v60j/CdteH7iqG9jOMq0+cFGHrbX+ljMX9QG1tb7KrV8suYWMrbXvk6jahfc3 -lqTANwjqP/zDsjnYBfM3/+f/LQsDnzYFsXR5ktvkLxY85Kysxc1l4mbE5bjIY2HyTQM5O3fbbsOC -xeJhCwQ6ZccXQav7heoM1Ln/5hMyriM2TbeFHeo44h9j4Ry72EkxOAQthJrkflOjnQWSZOAoW1qo -lBj0y2VkGHvrb8AOugEyefHNOpCjH3JZL+fUI0vZddr5kZtY+tMnj9cvBM4NPj6DfJQdjV1+e52d -UwdUhzwyspP1qm8ei+SoU1/8zPaxk3V6nYFyP74Z5Li2GCZd6MWmxiM7HCkD/JF/KiPTzzlHdGs8 -lIH6y48859JLf+ml3nWy8dgx+9v3ye0cKcsXjQWoj/z3mybkDdlP+qADuV7HDKQXXT6G0KW4gxaz -dJ0JvW30UEcZHU3OIDkfj+HCj7hZ8vXF1kFbP1hbLuyrb7NjyLYl5/6nOo0tj0t2aaMeuF9RH2vj -Vd+s86KfQTpngR78BNLRrRe+N0AuLC6s28v6uqy1PRnwdVtrv9ZprcPsC67/Rd5BWeNhnH18Pjbw -TX7hI+UcI841F8pdybFOUKa/xqcy7XHz3Pqrr3Q3G1bn6OoNHnPiHXPic8R+zLnN1cX8MDe0J7mF -lAQZiBsYdGoOXTb21OX8Zc45uu08v2Grx5JH4Zds86G3lT2PGEdvV3rxGx8iB0WUWi4yTsnRnzpk -Q++FL5SB5kPXaZah7NdFyFEexN6BLuSYu9E66u1Wp/lVG0flAzbQT53riXXc+xvQP9Ktdo0p1wc8 -Jj7PS+yW8yibvva00Y4+nynWbR0k3twTmo0jc45PLm/9yZt276Y5pB2gb2XO/b4yfPAx6ppx/Qbi -Qfw0V1b3lyb3D0ZE/8nyiyNPStUPMko9+Ju/Jedf9CEHOVV7I7lJZhf4hB+aR8A5xIInqZDUIKq/ -sHof96lY5haMv6MKrO6KFKmMPOQJkqRybh+g1yvy1coZst21eV1fTrYzJCt5ybq8fFc5ZPq+V3XI -d3VZxhEyQhsnkK1B3ISLvgnNdva9a+dJvT4EATxZRUY56HOt9QNY22tx9XfRGMh1W5C8gYtd5I8F -YWkfEFUuThYQFhfkSWq7wBmgLyr0p45zYOcimc0WC40tMC8LU8hZGRK6LDoE1xYI03FpB19fSLXr -pc78XBY785PNiYDjuy0q7hN2rD/yvuihj3G6rRQLxt1j1EY//Mk3IHmSacc3LUaeBFavhUp9tJFJ -RrY4J3nQjx7K6ks959pIaEMmb1a9HffLjpwjh136y14/PiG30be/4ZJdjowZOeqRke/SlW0j02Jk -QH7N91yWDD5d2LFzyrKRY6Fx93MxO+4M+YJNznMb8cSPfjzyE5Azec7RIVvEA7/pL//zeDjPMe7n -/MJOV8fY8xwJrZ0+BuVwnptsAz97O5Q5Us6ywHWYbdVrrEAyGaP6Pk80NoBOdMuGrgm1IQvQkcvZ -x6bDgB1ikP30dVBrVloHRzaoyzck8veijA2TybapV4zU1utQPT5hi7o+JrShg7ockzwe6cn6+np0 -EAt89XGF/oDvC3GD6TeLIedrOXHK62vfT2s/JCiPt5NtNuWLr1lRtzaWR6K3Scw9RvIrfMvAd+WW -z0XIqF79Oc85IDu0jXJAQBbkvAdmp93kMxeQTZPzvdfy1+ctiOVlne2/luvI+t5LHfNkMi7b6pJO -G8NoziUvgnHlY8j0eeR1cU8gO81HESR8jBi5T7ofCFv4pPMXW+kadh19PClHnefbEocLGcqaM83l -FjR/WlsuYmBteX5li2PrE3XY8jyy+KLH6wzciCq3el9pp66vN3g8g3T6ehZz7rGkjrl0fy/7+lxb -m9bBi3az53PO3GketmLk87fkDzZ9TbB+Hhdro+/FnDOX9KEt97H8cT8iHy7A2Imhz9c1UXX/4l7T -n6gGEe2JKnKQU9p+80+0my+p3TGyr3q1+XybbuaROYZM8JRLJCKIxBxRfSGW23Vr6GVniOrgvJWT -/LAc5ypftJnsRV1XznD5vrxhu9UNzr2Mrdy/K2dc9IUYUkZedZTTeV9ustZXbRe2u3KPC12gtz0o -Yyt/EPKLJcdcjrVD9wlcK8rVtVzusSZ79dcL3IiLBcoXC6sXUdVikRYmfx2YRQL52DRYoHzhCB0s -Oi3xWfRiUC86rc2Ovvg5GTa5RlRN1o7+yRlyWqiwnRYp3yypQ6c2IXSw2NFfdqzOFyUWKzZG6cR3 -xnoUbAJ5k+G8bxchIQkibld9sox0MHaShjratNBS1uYlfchyRB6ZNTuSpZ0y8vhI/Qz68dKfGHPs -7WCj+W6gTraRd9sB2rXRUkZWdhSTK7uhj/NRjFSODc+Pbic2h6yD4xFkXzjPbT6e1C47HFsfg2Q0 -PmQ0HvzMbXk8io+APDLZjuSpz3Mmff2cX/hm58SKes2N+sqG/FQfZOiX7UoOW9TnhVBtHFcRckL2 -BUiPtxlG8ZwBfmg88h872X7ILDe5ac2iz9Y4cozwCR+HMYo2+Z/HhlzWoXr1y+3qpzZ0Ke6a8y1/ -HckG+vALG+jr5K5uLrERtkdrtm4G+7Xf7ez5pRiB3pc+nx+OZJ/YKP/X/GB8mnduGvBf46VNaDKG -HJM8x5xn3dhU/y4uTkTSB8yLXW5YrI293uLP/DmpCKKosutg7286IudtrK2c92mTH895XDdmD5te -38XJ7xm0l7c8yvcdoRPbKW8WghE2yEWrlw5vQ79sSc7qX3TGNZx8uYDmtpeh3OZ8o7+AvObP8ze3 -WznPr2ypz5CoRh1l5JQ3I38kA/q4W5zavRlx9xj1cU/rnGLoORHXfZcDTTc60M9c+JybTGpviH7I -XKwlarN+7sv/wxfzM+4z3Q/yQX0sf1s+ZP0A/4mXx0dEdSGcL0TV9NlcOFG1MYGeiCIHeYWk0n79 -2q/p4djF+Qr4AlH1fOgIhACJsPa38erviFBZ3QVJQkZ1CRf9e1nKSV+zkXDRN2SH/4s0cNEX9P0p -G1ym65/7XtRHX68b+NPkErxuJLti2+USJOv16k95r79kVWfn/xTlqzz7xZJn9GNNyevPPXD1Z5Vc -RFzsXLw3wfq2xYkkbYvFNVF1W9bWyJ7J+wBj8cg6fLEi+SlbW1tk/KbvZbGjjUVxefK59NV4mhwL -FYsN46U+68UnO/abLb62cWEnFpPsuy+k5s92/MIfYDrbgpg3mfCtgQXLFyVr080HfU3fRV3WQRt1 -V/Wp3DYvYDbki9uMOnDlmwGfksx+3jDuGHvvE2V0cpRfbsd0U6cN1PQsNg0cfSEPOcq00xd5yoyn -9/3Krskgd2En5HLZ7aV6EVUrM57ZsTe/sJt94Vxj8fEYRnMu+6rLOlSnesrUmw+XZTtHl2xlmzpH -x6g/4Pyqv5XpI1+Q6eeGevojpz7IqU8fd7cT/SUXhMl/YMX0LHG32LZYD4A99BD37AtovgRkK49V -48jXRw/apF/+Ywcf5acQa1lbi/CRflmPQB0+yl/8IU45RpL1sRl0DeSxcZ79yvY0ZtlANuukn68V -S9vhuHPU3Mpug+ky2YubS2QCjbRofZXPdkR/W5PZT7ATbatAb/Ml1i3WbB/LznjuhrBFDPFH1461 -ya+h78hoDrlxoO/FeK38N3bUfHGUjMatOcZO1g10rXX28XMhlUFU8cPlmTvLZYs/c+B5Hfuhv3pr -bdK16LA6zZX59kJUTQ6dbntrzmOusBP7uutXPlid2zZw7vqoN93YdNtxvV3kDXIQb+5RaItcRMfy -Y4zWJh8kh5/SIRKGTA/6E3fmtpeh3OZ8pX8G8po/+l30sXP0qB1Z2lVHLsg+x5ZHBvnH+ZovjIP6 -mM8Mnw/iYHFr5JB65nwtRhZL5YS325y7/pjLDJ8/8oz5sD75R4suMVhLqEen6XZfGlHFVswlfqiP -5eKSD1lvgD5p7XCian79E4TTiCrkUz+U5N9B9Sem1Ftckx70rxJVa/Mxsj44OB9jmUN8snGMSCoQ -UWVuGB/Hk7E8UbWxiTCJ+KjOiVHUS+aCJKktlXsS5TkcZclctOdyIJO1i/au7JBfgeynlxNy/5Hu -q/5ZppfnGLIqq07nXg5c2A60/iu2sw4d1a7zXF7LNZ7m006+WW6OcnYX5D7XGdegromrPy4iLlou -Hruo/XgLfPGxTY0FIS0WvuD4wrVOVJcN3OSoI9GRswGw6LTEt7IvJK7zcrFzWRFVq/dx0NcuFvq6 -HH6x2DBe5NHr/ZfF0PVRh+8ETHbcd+QW332xku+uM4KNnhyPAXycodvjow0FhG8NLMwkmdo9me3I -QgV8Yjsd1CNHHbK5L3W0+82nneekGCHrRRdlgN2QYW59wxqMNcM3fxburNP1hD7V0Y5u6vCVOo69 -bcWPMeCbbtAkozhlvy/sho5sJ8dIZfRTxzn10mn9lvwcjzfD83ZzzkG03XXODf349uY8ywuU3U9r -1xgarJ4+ks3j0dxIh/tu6G3Id9VxjozGo3qTE7kYxTnDf5iFvsQKn7MvAP0X40DGgC+0tzEP5CSL -TkH+R7+2nrIWuU/mM2uS1iLWM64J+dHr0/jlL+eyQdnHZuVs2+ujrvmZ2sFFux3zXCBHmXbVg6if -iTvj9Ouc8aBDc5t9CtvMT7659DXU94Eldnl99T6Rey/7ibWzT2DnQvcA2G++mA4r+/WLz8zLxRge -DK2Fij9zGX4NfUdGc8RNw1UsDfRFRh8suIwBvarXHPd9V+DzIFJJnH1+Yo5Y89krI4+1b7ffbkCO -/rRRh2zoyES16duZc/eHvRjdQO3UW3+3jQ3pRB+2mFvq0RnlbMP3L8D1afXo8P7JdpMBXAPokK3R -XADmg3wzXT5/uY0y9cPrYgDkmTu/vg0XfewcPa3dzoHyxeMU8uhRfYbrlL55tBxgfokF8TRdPuct -ztfXp8+N9VlyIIhqas/wmKc5H5NV5qX/0Mvqfd23ezUr+3x5DpgedBrcT+oNPudrfuB/WjsuiKr5 -z+u8kFKOeiX4MFHFp8gtB+0raK/UQkZHxAG8JaLak6AROWpthlZWXZQlMzyPci97UQ7o3OtF3oD0 -ZUJHOXBxPpJV3agc8PKoTeUN2yq3+jXbqouy6vw8y1r/oWzIqE7HNaLKk9W/jV+ZZh0Y5OwuLOe5 -xv1a0zVx9cdFxALDgsNFwqLABc1xD8iF7MviZElKGwuLX4Bpg8Ahu2CdqJoMdap34IMvKHYR0xcZ -JT51LD6GNaK62F8GTZ371epNRyweLm86Vc8G6+ey44GKT93kO3ZYzFisbEKyTkfYWwVxQg4d2oDz -JtPfRLDYklhqb5sMC4Adae91aEH1xVXyqV43LZTxI9vrIXnp4BykZNL8DsebgQyxz766HgNH1dGO -bupGttUuMIaLcQayPDIcdbMm/5mDbCfHSGX6IkM94Jw6wzLng7H22J1zEG19u3zRnMv3LMN45Rs+ -N/8N/fjwI9vqIXlixUbjm03okf89LsZj0HiwpbmRDmSb/qinTvWuw86RlbxAzBX3UZwz4lpzP/A7 -+wLQnccg5GtOPg/lrB6f0AmQBfS1PthebpxePrgDy5oVdfiocdJPurJO/NC8YU91bisg22DNX+rV -lz7oo97jEm2g1xm2W9z38l1jIo4aV8zdpU+mz+y0m0vLb79xZNMyHRyX9dXW3DyPdvSbzLyfrI05 -Q+N0X0yHxtP7fwZs3M1nj5GNL/y68lsymjtuIK5iaaBOMuijj/rpWqMNO33fFRCfizgzL+a/3x9w -tLlb9kvmS2TA5k9z6fKxr9PmOtI+HbqW/Xs5LnO+7KlDn2xMLY6Mj7rII7dB/jTbBtn2683ijp9m -w+Vpc/sG/DYZztvcxLrhPiMjuTSWq7Vb0DqCjPQJlKkfXhcDIM/c9deuw87Ro3Zdtypn27KrfLgh -JzJaDihuKT+Ij2Ls8SSWAc8r6+NzbfO1FwPNOXo9L7K81gSrb2sJcsikNp9r/OTYzaXfXG/FwONm -8PkKomo2/sl0LYTUdNn9qMiqiOhNT1TxfQ/mr68DkINfGEkYkYdpohr5vFu3hnXZRqgvSFAgE6DW -hqyhlVUXZdVdnSfCpTZv7/p6XXdsZA+o/xqBC+hcsl5GNtlXOcu38yzb+571UQ70/a9kVZdk+v5+ -nmXXxhkyzR5Hk119omr1tJNvo3zdgl0vHHVtwuvaNXH1x0XEIoMgHVkYuHAA51uQHDCDvliQqL7w -G1gYAPVcMNhA1myyoHhd1PviYUdII7pYGHwQSnwWWS12Q6L6YqMt0GYLvV6Pjlg8kG8LG35eLFwv -iytH9yn04jv9r3RSz7gUlxFo9/7hG8ibjBbLWGDbZqd2NiBPtDj3hdPQ65ANs9k2LS20lNmgKLtc -2BpB8tk+QG/MQ7vpy+McAVu9r1bvdjiqjnbVjWyrXUAneSE5zuW35NHFURuz7OJ/tpNjpLL8Fjrb -82NP/bAhfznvdLpdteML5TwedF3pCJi95j/HXJ6Z8ywvHbJx1dfKyOf4+/UVcv1YkJM+3ShRRje4 -sBX9hWTzUL6pH+fZT5N50dnBZQ3Iyb88fy5joB45P4Ys5fCh3Xj72sWizA1TWgfxIdsC6EFf1u1j -CXuSy3FDLuxe+dkQsvSTn8jSh3PVN51RBozHfGigvAZ81fxb+WIMnT95DfZNixu1QFtf2Qs8p6xP -rIvYuFiTsXOhewDsK07yxcYvny/G8GhgTz5Yuc352twhwzz4vCMXfTOoQ49yQ9B1przBzkU/A/pB -p9fnIe2pPje27/ox7/Xs0eQ6ZdtD21wi6/cVJoMcOihLZ55z9vOL68V8xQ/thenc9WJT/e3YfLG8 -ebHN9bbs6Z5HlJMNv28IPd4Pfdbvyl7Y8Keu6DNd3Cugr81jD+Lq+Wb6iG1uu5jzlf4ZyPfz1+Ji -ZerUzpyjW/ni+R6y2FJOSNZlDL2PAn1oA11++v1bxN3j43G33DZ9xMnjTJzoi78B5FoO2BxsxkBz -YDp8nvhOKP6qj9pNp/uivMJXbEVbmzdy0PUsc7nkjNWZTLPZA//bOpaJqn71d+mbv6MKif1b/JQO -fLF+q0QVWLvWhrZWZER9u86B/xrrgKyKqMY97SVGdWu4oX9cXy/fUU1oJInzrtzq0vlFm8nmOi8P -+uu42z+d93V9fy8nW305Q33VnyOvaqvsdV05o6+nPGNb/XJ/yc70b219OWT7H+wCiaQu+ZhydS2P -hSTDNejXZr4Or/78poHFOgmyAMQisAnJcSHaBaTFYsH/S+cL3Bmcs76+0FFHUltfd5g6kl0bAZsD -5ZBp3y9ANi12tPnNDbLY0OJhtnxBCttakLOv2PRFggUt6ljMRv0pU39FVK1uKl4AHQ47z5tMLIQv -G4uBBVLt+D7qQ/3VxmRH2qgHWY6NyuUMmj/Z8/EBO7/Qa6AsaAwa0x5kI/uOHuo4qo526tAt29n/ -Zjv0cY5vkvP2ZEd9R3bxifM2xpDLZfTnGMkmkA7Vj6B2xTb7Bjj3NslZufd11AfIT85Hc0Ze9uPr -x4Nut2Ho+xPXbMdzIPrJV+SpR0Y5Il9oxyZ9hXzTDOg3HI/1v/DT6hxRVv0eNL6sH7ifndxFOfWR -j9RdyIQcyHELWa1nywdhgPO0ZkkfcWNTT30baNdYaZP/9HGZ5IP8GqHpiP4aE7jwO+TyeGQrz8cW -JIMOXVPq3+TYcy6JqttGxmS1vvqHllpfrZ1zv9HU2u1rvelueleAfXzRNTCS+RggNsQ3x74H/mou -uGkY+e/jCxnQX2cCdi76GYif5ii1+X6ofGU/pt3ncLlf8PmxefAct3xWmTbXYbIXOpirTFRtjl90 -sqfGPus6zNfQIX8yPA9Ml/LB8yh88XHoHsFs+o0zeWQ6mw3llcniA7LL9XgZAwf1ABnGw/0AtriG -t3JPc9vLUG5zvtKfeXG7dkQ+z5+3BfCXur697+M67Uge+f4f9VwP1Lmf6OtAH+TQ5/Ze4PdqFkug -+yWfc5MlX5Y4v8TI40z8WAeZL9othqsx6KAPKDw3vE/MXeiUL/jViKq1X8y597U2g/vPPBqW9WfF -D+rbOmY6ze7fOuH83xeEE1vLE1X+R2quF66JqvsPsr016FrADxvvy54xeLK6SVQfDGJtx/ZEVaRH -xCef77bnsslelVP/LN/3HdVttY9kr2xN+i7Zi/aunJH7zpT7uqs2bK35bnxK9bk9n0u2J6qNpKZc -jGvdc3Vl7W5IMtpDfE1u7f1fbDy+kWTBg3i58YCg9iR1qcOGFgTfYEhqW0A0QNeBPAnvzi+Lmic+ -vmWiyoZBf/y2stfZYNsmov6mvx/bi6+xeFnAlgV28dUXUDYB8/XCDovKwLbr3ZsYAXkWG6AbEKDF -0BdEA7LU0YYcddigP3VsOC4bdcgA1xHnKtMXUHZ9dp79pg05YqF62QG0Zf+Rk23V70E2pNPtjOpC -Vr5iS3ZoZ2zZNjEiFshTx3iyTs5HNhTLZifksEeZGOGHZK9iFG0zcL9Tf/miOALZ4tztG6i7mHNb -EFw26vAROenJY6EvaOMx0Ad9w/EkO0B25E+u07jkB/XeZmhtdq78ZX508yzZpjPqmu8G6Wl+hpz8 -nAXyGj9QnmQ96KcMNDbZpa9vuNGHOkFykkUGWfqEbl8vWId8XXmBry/S4TYM2S90ho52TluOkfIv -ywryUTYko7ijp58H9CMH6KfxcOz1zAB9uqbw9aKvxcXmU3FhHXUbJrO2vvpvGFiZvUD7i6/z0b4J -dMsXjeUtwGMUc5GvqwzNhc9b+N/Pg48vZPprTdegyrmf+g5icjEPNk8e58gNEQOvN7ue49qn7dx1 -MJfW5vssbVbvc97PrXQ2W1bPtd75cwFiFX5jo+WRrqt8j4BtbGHbfGh+y8ZVLOO6tf7un2QYj3TK -zyAjQ3jsrV06BMrUb805MvgnHZo/9QPSy7naqYt4epk8YP6Rwxb5o7qI38uaEuXsh/cb1+d7KOKq -a/ziutZ8EFOT13zQtrRbv5HNEfCf8YU+jv6KN6QYnf/P7tvs6PlHe8Thcr7wMe4h8dN9We73mHPv -c2XX6hVzzq0O2RdSajllurxsBDWTVKAfWoKkqg/gfKlfCGuztwb5pvlmbQY8rRv8n8s38+9pRsTH -j6k+tzl5MlC+ehLZIdc3WfpT1/V1yDZ2Uv1FXdge9ff6wEUdsvSX7yonuSab63pbR3yXbFd3oS/B -6wNDWfRR1/WlTH7lV3+dpFpbzkPWEa1Js/CctmvUriGt0S9t/R83DVzwveBBoMMXIFswfGEwfSwA -vmhRx0JidctCowXE6klqFmDqtJFQZ+faAL2OcvS93JziEzR8sAUCm20BtePFuLR4hZ2st194vQ59 -bsd8t6PHSeQZveF3jsMumExtDrqh0BFowhkT+tXmdQbqJNsSw44sYNIrPYw7+5dlSHzpVH22TV9s -A+mhDRnqfGMLvTOgr4+HxRWdVs52OZc+zpFxOwZst7HHRZHrLmJk/RUj6cXXLIMNcoHzbIcyoB/1 -qsNO9tV1gPB3D1mn7MkfIJ1rc45t1XG+phfQnz4jmb3xXMw5ddGfvv9mcc+6tXFKF31dT6pX3LPv -1Eun2zFkf7KcdFDfj2sGWS+2/s2A/t5PZNDPkTbmwW3TL+UrbZJ1HQGNB9BGXcTHb25ZB1lvtA5a -ud2cZBtuewD0cdRYgOKmcQhZLl/jwG0ZNB4gvznX9Ucfj5nmPOmfBTroCzhXrKJ9WXNtLbV11dd7 -1nGT9VixvnJjSd/os8TR5BVH1mnWYG4KlYs9fNx2bPEwUDeS/RjoYzSKM/U+FwaOHstOJs9tnl+N -nTL15EPfdwN+M28x9vkgZ82G78nMWeyHyz5p6PZFn0s7Z09t8+Rzzp4qndbfbHjfpPPQHKEz+8Te -jQ3pxDY23M80nqi/sBX3Bz5u8hAZ0+UyUc/rzS+51/uJnB2pp5+uvyzT2gycb825rk3NqaA8wC+t -sdRrzmmTLO3Iqa6/pjkfrYs78OuRuBPLmLcWtxzfJr+0+X1XWgfdt6R3GjEf2PH7SK0J5pPrjLmU -TXy6mEuTc18MnjPqc2HDQEw1X0kG+UY84weURFq9b8hBWv3XgK2d14UFEVbarvNoBfLH5xHYWNv+ -lJ6s/mUQVfKfuVhFzNVu3Rp62Zfy6hNVkaB8vlpO/bfkdb5W7tG39fJ+3tu28kV7nK+W89gH43D5 -AbFsbQm53GQhltF21T/bBgP77byTzbpUzh+E5Nd9lX/kImsSuZn2+CnYdeTrSLdeODe9+DPFfsPQ -Cx4EOhrRC12+OeBEX2/wT8KszomqXbAuZ+1+cbGB2cXbFjeSn75c1PhqdS5nYPHxVye0gFiwXA99 -aGdMtLV2LW4vPnmgsh35nscUbc0uemPsh8Ci5DceaZHRpHNkQURGcmqnnkSgDmjhbLrtXIuY5C/a -A9LrOuwcyA796YMM/XvbqtNNz2iTXUMbj8YZZfkhXzlSlh3a3bbqrD9+5Bhpo0Ymx4hzZDjSDihj -h3zI/XO8VA/QCTiXjVFct4A+9A/nvBuPYuy2DBqPyhe27XyzPaDxZJ0cqeOcPr1tyrmv4i79cT15 -GR1AfVRPrNrcpL7IyQ79vI8dOceW/FLcs5/SPwv552MIXRd+GrJdbFLu69BDP8WIo+Q4dzkDMp2f -flPH+qI1h/VOMvRRTmTbGZLNY1mzR5m2/ppQP+o4UvbxRL1vSIFWZ0BGuo/AdYcO9PV+Gtoay5rq -67XWbYsPfXt508FekdfuTaKKTY0bsGZ3Oj8q8E++aZ7ymppvTPO89esuY/I8Crkcu9yf89xvCx5T -i7f2S9/30v7HXowN5AzK8aX9Rc5zPe+V5rsTSdou5py9PHRmP/aAfevj9w/ZLiA/iIXk7NjGE/u5 -j0P+9WPOMnHfscRhxVfKzGm+pihnGW+nzTCac4Ae2pmzi/0iyrShR7rULn1ZPzLoUx60dRw7BmRG -6+IE/HrkCWKOOSBmec4Ve5P3uKZ1sOXpTdBcLfp8vmyuXKds2libX+Qi88Zc5vnF194PYkPM/Mbd -2iUTel23lRey+n+cdC4kNfSE3EJUL0nqC4KozsZAPrVcADZezxGrF1l9U99RFaEy/4DqRJKcFCGj -uoSr/ioj2+lzmQ4XfUN2+H9UB/0v6tWfssFlcn/KHVp99PW6Xl+WS/C6keyKbZdLkKzXqz/lvf6S -VZ2dk1f0g6AC4qd1RPuNjuSm8n8WlvvnElXr6xuVDWC5ybB6LlYWJznCRWlyvni4rIFjBjLcfLje -WIjon2Wi32InBQZ7ZtdtoEft1PvCEfr4tLW37eXFP5fzRTjkMpDp7R4B/XwBNB3aTICfG2hjwwBq -u0DIjOxrIVtrzzLSJTBu+oBV2wb5jMyajR4XOqO/4L4m2WzbL5oM6+ubhp0jp3E0OXSHfsUA3Vln -9plz9Ze81xtcd+jLc5P7z4I+9EWP4ud2k158zH42dDIj3YrFqN1lDK47dDWdBvpfxIg2O0qXfHd5 -O47GT3/paXXRT3OT+3Gu8WFH/TjKFlCs3G7I3AL5km1etBtae9iUD247ybc4cuyQx9JBH7qxhrDe -us6L8YaOC0R99ldj6XNn2G7HNahPi02Wj3Pqb4170xtwXYaBnL/C19bXWNfXNjzzW+s36/NQJ8Bv -xohtxSrH6S3gIvaGPkb4m2OoOPbjUB7165h0qO8t4zddy55pc8ONr+KedcVNuRMR7ZlODNJeHjIO -dDLn2nfRyTWxNudbkF7XaX4225FHORYup3uVl5y7ktOYNRb8lK+hd0guqPP5Mn3kXD8XLmOQDHCZ -1O4yVkc9OvJ1zPy6XgPxz3M7guao15dten20j8a0B+vzcp8Uc+77ykDW0HLJ475x/c4C+xc6sZ10 -Rrv/24w8l8D8dl9Heddia+2K5WBMEFFe6117hVdkFkI6wvSrvwD7+JKJaiMNBp/HICRW/wtIZRDH -05CJ6hUZMv8uyuncy6ndiVOct3Jut/O9dp2r/aK8196dX5QnbG/KD8p+ztxRTm0qqy6f5/Z23ukm -X9baOQq5Xee5zIcgHMk35Vw7jyNryNE13HJf6+xyLUb91R+kLG4ULgSPggXBLmbQFgptYN5mF060 -O7hAQT4H3tdAXyC9WQZYXbNzhWgbbACbtpNO99nr1K7yQO8RyC/09FCbHwNrciPdwPt3dT1G9pvO -OO/bM9w39Nix1z2Cy0ffK10D2ZFchmxvyWa9WR5kW9LRtwHp4gLsdR5C9JW+DLdrbfJxJAN633rM -+NbrzzpzG+dNn8qqs6P6bEF9XN9KW0PXLj9eHfcM9AiDdupkN+PKdzCSM2S5K6S1R/KuC4SONfS6 -e18v2qOc23tc6evam1ySOYzo33QFBrLL/vCy3q7JCS4b+8mo3TGK66vG8yBkHznPY+/9z3IXOgKr -bVF/6/itb9sHRzYS2r4p2YEMuJhzw5bOWVzm0Y6fyfZY1trRJ5lN2QD1tAsuB7JM4EIutTuoS+09 -aNO8jtqB2w59vZx88DaD5HOfI7B+s/nhcTUZx2tsZtgYpPNq7N4e9l41lwMZx/Y4PIcMfFd1BO87 -6DcEPkA8RFIvAFm1YyIS/vQT4rgGEcu9ujVsyP6CrwplEvQbI2EjktTIGW0giLbaLxD9L84lG/2b -7mjPtvpyJoZNfmD7og/HJNvKUedI57lvg7V7ffbdjs22ypyv4MJ31XHMfvSgLWz342y6Uv8L/VYf -edVyrOVaHN8FUQUil6O2HiO5rb65bdbGGujf61jT2dtdkysUCoXCbTi6ttY6fD5m5+jeckcgnbP2 -R/UZWWZW788RbyE2e/Zz+3ucR4gqZKAnCheASEBa7Z7eX4XvYUQSgslRdZS9bkNG9a2tk1VdHK9/ -TCmIlcPqdX7xKi71lFOd5FaxInthu6vXebOd6oevBmeM+iOb6vtyhtcb/pay9T9iW305X7Pd5FL5 -on7Qv5cZ1fkHIQOSCjJRzR+8kK9raDKzRPUv/vHP/uK//+uf/dX/+Pc/+4u/+x9/Rvl2/PdB3RqQ -HWEkC47I7mGkC8zKjuQKhUKhcBuOrK21Dn8czMZdcnuys/qOwPR9mNU7K9NjJPdzx9H43DuWe/rU -njGSe+P4lYH79AlwX+/g3Mv/48/+int9r3+pa3Kp7krO60d1S33rH3AffqWj+fxXFu9fga7O62NM -a3X0y/0dIet1O/2v6gx9nddv1QHVWbuXkyzHXqfkVNePnTbKe3VbOr3OsCkHrC7r9Loku9YfMJ// -418DnK9A874Ht2dgnbbjX/1j8E98VJ5f/VmlJ+BdiGqhUCgUCoVCoVC4O7jJn8Bf6Dz1o+6iXudd -3ZVcqu/rLjCq83ojJcDLxjOu6kZyqhvUt7oot/o1OdV1tnN/yaqc64b9Q6bJZjnVRX2u8/rcf0Xn -pu0VWZVzXZbz+lxnOptc6q86SOUsUZ3BPxrgmdgoolooFAqFQqFQKBQKhWl8iCPkEXI5Ip23AuJb -RLVQKBQKhUKhUCgUCofwSKJaT1QLhUKhUCgUCoVCoXAzhkR15jVgyQzkiqgWCoVCoVAoFAqFQuFm -3IWodrJFVAuFQqFQKBQKhUKhcDMaUU2Ek3Jf17AlF/VFVAuFQqFQKBQKhUKhcDMuiKod/87I5a9+ -tRwbMeUoRPm/h9yv/s7OTU8R1UKhUCgUCoVCoVAo3AWNqBogn3/xV5BII5h2dAJKm8hqkFHkIanI -/cVfLGQ1txdRLRQKhUKhUCgUCoXCzchEVeRTBPTvMgFNRwgtRFayf2X9/tF0nUFU+Ue/vzJFvzKZ -QqFQKBQKhUKhUCg8B/7KeB58z7mfiCpPTzP59CelHQEF/jTVCCztTdb65VeFzcbDiCok9R//9d// -7H/8e6FQKBQKhUKhUCgUngH/ytHIJITVuR/k8b8bIKWZfIqs6qmqccOFhBr51OvBGZnUou9hRNXa -/vU///3P/vO//svx7//1n3bMoL6ve6t48ZVxCJft72k8hbePyqfCmVhbwyoPC4/CWr5VzhUegbW8 -qnwrPBZj3gDeS+5drsvLOJa6f/3P//yzv4NMwv0gj5DP/DR1jYDm76b2gNTySrC/Gmx4JFGFcecJ -KhQKhUKhUCgUCoXC+wWklaeqf2ec0LnfX0EmB09TMwHlVV/I6hahBZBYXiE+i6iKgcO8/8e//vuf -/aOx6fcG/Mb/PEmAMdL+3zv5QuE1UD756xX/+e/v9ropvA+0fPN1bnmtp5cpFO4N8o1cc9QaVzgB -Ld9ijat7t8KjMOINz8KD9HSV66gR1Q88IV0hqQJEFpK69jRVEKn1V4kNZxDVPCC+fPtXGOT41vFX -4B/90XYejxLuvxO0X9lY3st4Cu8Dlk98z9s30X/91z/7u38cyBQK94KvX/9o6xm/LbDcvLGG+9o2 -ki8UXoPYV8kx3QSxx9ZeWngYtKfaPRv5xpFy5VvhUeh5w/vlQcu6vDUe534f+GGkvzJCuQF/UhpE -dU+W77SeTlSNjbMwuAxGuz5vEvhpwG/8X8azvKvN+H5FEP9ikRn2LxRugeXTX9jx7+yidNLw3q6b -wvuC1jlbt8k3NiT/JT+v72QLhdeCnLLc4iaINe7vtMZFHg77FAqvgeUVe6rWOO7dKFe+Fe6OWMec -N4x4kLjTe8m9PJ4BD+J+weV49ZenpRDRVZicfhnYMZIB0U6sdC9yGlHNE+Sb1VsHfu4Q1ZAZ9y8U -boHlk10nQ6I6lC8UXoNY52x9HhLVQuHueCGqbY2LPBzLFwqvgRFVW9MyUa09tfAYxH4KbzCeIA70 -rDyoEdVfGSCh/q9l9vCvgVEbiDZi9dGI6juDj2eNqCJDELs+hcLNsHxiU+UmjteUyL/2v6oKhXuD -zciO5Jm/hslmkDeCQuHesJwjxyANlx/GdXKFwp3gRNXu2ZYP4/41nqheyxUK94B4kDhQ4w1PxoOu -iOq/ioSuwWSG9T1MjlgVUZ3D2gQVUS08BEVUC2eiiGrhbBRRLZyMIqqFMyEeJA7UeMOT8aCXV38N -/kQ1Ec2GjoBe1a+UiRV6i6juY22CiqgWHoIiqoUzUUS1cDaKqBZORhHVwpkQDxIHarzhyXjQC1G1 -I+eQ1fYKcJDOQ3WmS/XoK6I6h7UJKqJaeAiKqBbORBHVwtkoolo4GUVUC2dCPEgcqPGGJ+NBL6/+ -2rH9QJIdnYAaIJ36kSQRUK8zGX58CWIq2VyHDLEqojqHtQkqolp4CIqoFs5EEdXC2SiiWjgZRVQL -Z0I8SByo8YYn40GXRBXYef7l3ovztbLq4hyiSvlXds5/VkF/EdVtrE1QEdXCQ1BEtXAmiqgWzkYR -1cLJKKJaOBPiQeJAjTc8GQ+6IqrtX9RYHcdhmfMoN+SynSP3K/43qx3RX0R1G2sTVES18BAUUS2c -iSKqhbNRRLVwMoqoFs6EeJA4UOMNT8aDrl79FTHVU9FGQHM5kEmsy6cy4Ikq/58V/e+GqHKzLuy1 -Z4xkD2BtgoqoFh6CIqqFM1FEtXA2iqgWTkYR1cKZEA8SB2q84WPwoJHcQazxoPGrv0JHPK/KK3WQ -1nf5RJX/0UN/AUf7CaBMfZZz2U7uINYmqIhq4SEoolo4E0VUC2ejiGrhZBRRLZwJ8SBxoMYb4CQD -+SncyoNGcgexxoOKqAoEmL78CpRAQHoCqkn0YIVc/uWoLHsAaxNURLXwEBRRLZyJIqqFs1FEtXAy -iqgWzoR4kDhQ4w3wkYH8LlZ5kCHLncyDrl79FfFsr/7aub/iq3JCfk1Yr/5m2Xfz6q+CnoOt4GuS -FHyOyAt9P+pumKi1CXr3RJVYzMRDcjfErnADnpWoHsmjWbnC6/HMRLXy7W3iWYnq0XyrnDsNT0lU -j+TRrFzhLhAPEgdqvAEuMpDfROYz8A7Ob+VBN+bBGg+6fqKaiKaf57Lq1tqj3J6oGuSD+fw2iSrB -pI/+7w5BVz0B8f+7k+oztADRv5+kXnYHaxP0rokqcVAi78VEcjOyhdfjGYlqzre9PMqynL/3sb91 -PCtR7fNoJAMq387HMxLVo/n2d3GsfDsFT0dUc77N5lzl22kQDxIHarwBHjKQXwXzRR/xGOZQ9W+A -B22++qsnpld1KqtddXF8V0SVwBNYW1g8AAQ3B17/JHakFznQJjPp6GV3sDZB75aoEgNiqyTyx+up -fSTniHIvV7gvno2o9vnmC1DU97LU55xby83C/RBrKnn2NESVnJnJtyO5WbgfLOeeiqi2PAKRQ2BV -rvLtbDwdUc35tpVHnnOVb2dDPEgcqPGGEV/ZAvO1xoNYNz8yD3odUQVZJo7vnqgKOOpthjW9D56g -IVFt/sVR9SNIbk92Vm4W0qUkWlu8KNPmsHNk70Uc5AMYtQsaO8ctu5KT7EjmKGZ1ZjkwkhFmdB4l -qjM6wawckCzHPdk90F/5wyLkm2vU97Lkl3Iu52YvdxQaz97Ye7kjsiOZo7i37Rm52PTIsymiesR2 -lh3JCLM6ZyBdnm+RQ55Hhl4WOeWj5O/lg/zY0yW5PdlZuSN4hO0ZWcu5Q0RV+vbsz/o5KzcLdCjP -PJ/II8NIDpu0t9yM+l72CPJ4wEhGyLJbdnu5LdlZzNoGkt2zPanzEFGVTo4bOmdtO47I7oH+LYfi -HPR6KV/JGvAhy90C9M6MZ1YOHJGdATqyzpGMMGt7Uk48SByo8YY1vrIG7LxhHnT1HVXlmn/nVKBu -cK685VzfUc3tWkPhWObz233114NLAAw4hw4mjiMTBLLTmjxHkpOOO07QKlH1JLY2jqofocnt+JV1 -3uD/FTwuwPwWqOt1U24ydk4CcX4XH2LcYNQu+NhDbstultuL+ywO2QYTtmd03kRUw/aU3ISfeTxb -OmdA/5Y/cQ5Gekf5tufrDPLYt8aT5fbGfs8YCbM6m59xPpIBLgdCdiRzM1E1mdfaFlwWuR2dM5Bd -5Y/nG3lk6GWRazlp7fSh7i4+xHj2xp7ltuxmna/1T8DmjE63DSZsNz/jfCRzE1Hd0Qma7R0/sxwY -yRwB+jzXgJ0r90ZyjKHJhjx1vewRSK+PJ9WPkMfO+UgG9Dq3ZGeRbe/5OWs769yQO05U93VeyE2P -Z0fnDOhP7mi9Ui71et0/g2S11u35OoM29jgfyQD5cChGdtzSOYsL24aRjNDGY7jDeMSDxIEab4CT -DORXgY03zINWiarI5hVSm/L2oi2Vn4KoUq8JQlbyPZAD9LnjBA2JKsAGPu7Zks8zPs3qnIHHD5jf -wkg35SZj5yQQiXMPH9AhjNoF2vFtNp73ipFwb9u0C6N2cJSogtlxy/aeLO33iqV0kUfk0Fq+AZcL -SPZePgij9oxZWdrvFSNh1jaYsZ31rckeJaoAXdgftWXs2c44IrsF+nseKd84j7pdWcNMXPcgvTO6 -aBdG7Rn38C3jiG1ksD9qy5Dcls6jRHVGJ5DcrJ/CqP0I0HGRb+SRYSSHb/fONyDde7poF0btGbM6 -j2DW/hHbkh21BY4T1cCefcnOyAmj9qMgv8gf5RsY6aYu59vaWngLjo77nrKzOKJvVpb2nbGLB4kD -Nd4ADxnIrwI74jEcex6Uiap8R66HeBDne+MbYI0Hrb/6G/nGkbKemKquPXGVDIi69urve/j3NEyE -fwoweOSNLoJOO+d50pD1uji6jji/4wStElVwg51d3EsnejxeAc7XdNOWF0TKI7lHAt9mx36vGAkf -w/YtRPVetjPuqRNd2lC3PuygfjY33wI+pm/3sn0LUQVveV583TLM5JHLpfXtXuNCz71j9LFjPmt/ -T+4oUQVHbJ8dJ+y1fGONi7pd2Tgfyd2CR4z77FhmHLG9I3uIqAL0zdo/4ue9gE3PI9auyLlNObCT -m0fxMcb9VrAzdvEgcaDGG+AhA/lVMF+ZB2n+fF6pNzQeFEfxIMq57uFE1Y6NfOqo81F5rc7ga6MR -1Tf/72n6CSK4WljQlScIaCI0CGTRQd8HTNAmUX3r8CQP7MWERBG5uCF+hYO4hai+deD/dL4ZZmUL -r0esqeTZIaL6lkHezOZRL7clW7gPLOcOE9W3jpZHsVeOZIQ+50YyhbviMFF9D/A8SvdnIxlQ+XY6 -xIPEgRpvgKsM5FfBnB3lQYA1FTnQdBjuzIOuiarlIshPTPmAJJNQwetD9uKJa7R7XhvQbz6/3Vd/ -6aOJINiqJzgKOvWSA5Q1QU32/l8iftdEFRCL2XjcELfCjXhGogqO5tszjPk9IDY98uxpiKowm0eS -q5w7B5ZzT0dUQeXbm8VTElUwm0eVb6dCPEgcqPEGuMpAfhXMGX0aAU31zm0MHKlXWXLkN2iy9+dB -16/+JqLp57msurX2KLdXfw3ywXx+m0QVKPgEniOPg3OZdoKO49QxSdihDnDe14/sbGBtgt49US28 -TTwrUS28TcTNGnn2dES18DZhOfeURLXwZvG0RLXwJiEeJA7UeAM8ZCC/CXgO/UY8CLB+co/4EXjQ -+ndUDXpielWXyhdkNY7vjqiCPEkCAcnO5snwiQxoMjkXqc26J7A2QUVUCw9BEdXCmSiiWjgbRVQL -J6OIauFMiAeJAzXeABcZyO8ic5xbeZDLh+xBrPGgIqpACwmO0V/IjgpMUi/X5KO97zOBtQkqolp4 -CIqoFs5EEdXC2SiiWjgZRVQLZ0I8SByo8Qb4yEB+E2+YB119R1Xk1L9zGrgip6keIOvyUVb7u/iO -ag8CLIzaQZbJGMlOYm2CiqgWHoIiqoUzUUS1cDaKqBZORhHVwpkQDxIHarzhyXjQ6o8piWxeIbWJ -qF60pfK7JKofCWsTVES18BAUUS2ciSKqhbNRRLVwMoqoFs6EeJA4UOMNT8aD1l/9NV7kJBRY+eKJ -qaE9cZUMiDrakPur9/B/VN8I1iaoiGrhISiiWjgTRVQLZ6OIauFkFFEtnAnxIHGgxhuejAdtP1HN -50Iu9+1d+aYnqp/98yef/f2/fPLX//y/7PjPn3z47DdD/Ddr++f/9b8++V//7//75P8LUKZ+JP/W -8dcX4/l/Pp5/YTy/+ZdF5sN1n0LhZlg+ffbX//zJ31t+/cauNa6bz/56IFco3AOxfv03W9t/8y// -y/Pury3/ruQKhXvBcu6v/5utcf+8rHGcq/5KtlC4A9hTfY2zfGON+8zuZyvfCo8C923wBHGgZ+VB -f2/XFNzwA/cMjO3v//6TD7+xPr+xc45/30FtXjZZr0M21anff7Nz3fua/r/m3gT+qf0CXP0VUb2Y -oCKqhYfA8qmIauE0xPpVRLVwGizniqgWzkQR1cKZ+PkSVZO/IKN9OZFTtUtGR7X9tcnKhyKq2yii -WjgVlk9FVAunIdavIqqF02A5V0S1cCaKqBbORBFVQyahPxuiys06wXB0bU1G7R1GspMoolo4FZZP -RVQLpyHWryKqhdNgOVdEtXAmiqgWzsTDiOob40FTRNXrom1EVHv5d0tUWxACONpPUi+TQduNN/tF -VAunwvKpiGrhNMT6VUS1cBos54qoFs5EEdXCmXgIUe05jvMgw5ZMBm135kHD76iKbGYyKuQnpkNZ -qxNpfVffUVUA/tnAYHTMzjIYl7GgcRQ8AFGX5Q+giGrhVFg+FVEtnIZYv4qoFk6D5dzTEtVaq98k -npaoVr69SdydqIoHidQNeZCdu4xxE9o4b30ew4MuiKrbNHknn3EclZ2s7pQ58kT1XRBVBR4Cqkmh -rImgzEA4MnEiqvQD1COb60d2NvC0RJW4CaN2YVaucB9YPj0lUT2SR7Nyhdcj1q+nJKpH861y7hxY -zj0lUZ3No1m5wt3wlER1No9m5Qp3w12JKvsx/aZ4UMd3APXIPoAHXRHVnnQ68Yw2L+enqElG8P4h -+y5e/WXg9NHkKLi5nqMmTROkQbAIKXi9jgN4OqKqBeu/BbYWL8mRNHuyhfvA8unpiOqRPJJs5ds5 -iPXrqYgqeTObR1mucu4cWM49HVFVHu2tcZVvHwVPSVRn8g3knOO8cu7huBtRZS8Wv4FzaG/O9RxH -PIj8Bsg+iAfNf0e1O98rc3wXT1QV3H+JiaCshYWygs65yidO0CpR9YVgwo4WjJlFQzpnZPeADi1w -YGuRy3KSHckdhY8nMGoXXGZy3iS3p/MQJnXKz1FbhssFRu3A8ukQUZ21DWbsC/eKpez1eTTSTd1s -bh6BfJjSRSzvOOdHIJ2ztvfkwJ5srF+Hieo9/XS5wKj9KNAzk0e9HLibD4z7zmOXzlHbLThiG0yP -Z8dHy7lDRFU6p/xE14594DoDo/YjQMdsHlGf5e66xk3EyOUCo/Ye03GfxBH7s7ZdbnvODxFV929f -p0Nye35qzDPjmQF6ZvKIupyb9863UVsPye7ZnZU7gqZzx9dZOWHHz7sSVXEY+lJW3lIWt2ENVRlI -DmQdd+ZB60Q1zkdobXq6muuizPFdfEc1B5e+lAXKCjrnKoM8iJGObGMCh4iqkh0f9mzR3jBoz0Df -jM4Z9BuqJ4Shl8OnXg7s+boHxWhm7LRr3GAkA9R+rxgB+bmrM9pnbNPeMGgHlk/HiKrpmrINZmWT -3JbtGdB/lHMjvbNyR+HjmBjPhZxhJCPQPhPLWeBX1rnppwE5l+3aMnqdI5lYvw4R1aZz0Cb0tvdk -m5xhJDML12Xo82h2jUNuy9cZaBxbcQfYyXJbdn1cSXYkcxRuUxi0ZyBzdDwjGWA5d4yoZp0bemlv -GLQL8nNGdgb0H+XRSO4ha1yMYy/u4Mi4s85X+2hAR7Y/khFmbUvOZQftgWNEVfoCIxmgdsmOZIDG -PeHnFOiv3Mn51Ot1uwa1C6PcPAr07o0buA8a955skuvHcgt621s6L+QMIxkhy47aDQ8lqgLl/F1V -L+/wII6Us40JvIqoXpTTq79ql4yOans3r/7isCZIE9EmJEBZdZBHybS6qKd8Q/IXUe1wQwwvoBjN -jJ12jRuMZIDa7xUjgF9TcY92yQ5lAvLTMWgHlk83EdVd2yDkOI5khCy3ZXsGHkfDTB71MmtyR+Hj -mBjPhZxhJCPQPhP3WeCXdLr9rj2Dthnbvc6RTKxfh4iqbO/5eHQ8LmcYyczCdRn6PJpd45Db8nUG -GsdW3IUsNxOjGZ2zcJvCoD1jxjY6aJfsSAZYzj2MqMr2XiyRbejaj4L+fR6BWbnX2s97EMehTODI -uFssJ2RngI5sfyQj0D5jW3IuO2gPfFSiCiS34+cU6K/cyWtdr5dylhVGa+FRoFfjGbULt8RIfUYy -R+Djzzq79gyNZ8Z21jlqNzyMqGI3H2/hQSM7OyiiuoYjE6QgMRGaJC8bkOEcmZGdHdxEVAXVj5Dl -ti4gkGVH7UfgF6QhJ8nI/kjuLjdxII1nS9+FnGEkA2bljqD3cyTjSDKbcoYstzZuy6fDRFUYtQvT -4zFkuS3bM6D/bB5Rl+XAa+2D2fFcyBlGMsKs3CzwK+vc9BNM2O51jmRi/Tr8RNUxaBNuHQ8YyczC -dRlm8oi60Vo4kj2CPJa98VzIDtoF9yvJjmSOIuvbG3OWHbWDWR8t5w4T1aZzQ2+WOxLLvbHvgf45 -hwB5NZKbXQsPIY/FMJQJZLk9u0dkZ4COrHMkI2S5LduTcoeJasZIBszKgQvZQfsR0L/PI9DrpQz2 -5G6B6z467jvKziD76OjaM3rZkYwwIfdRiCqy1MFNAOdeNjyIB02/+rtVdvk4z23vh6haEDRBlAUc -pV4T1Catk+WcQQNN5MjWBg4R1fcAvyANSorRhiogpwXxLhtqYReWT4eI6ntAzjewNh7qs+x7H/d7 -QKxfh4jqWwd5k2/ktvIo51utcefAcu4QUX0PmM0j6mdzs3A3HCKq7wE5j/bWLdpmcrNwN9yXqK7w -IMoiqpyLByGvsuQexIOuiWp6YgqUd8IFiR3JWp1I67v7jirHHFx0qd6DE2WQB5F1IJN1TOLpiCpg -8sHMApfltmQL94Hl01MS1dk8mpUr3Aexfj0VUQWzeaT2vbWwcD9Yzj0lUZ3Noyy3J1u4C56OqIIj -+TYrW7gLHkJUL3iQHdGles5VBifxoAui6n6Y/NUTUhBtra4vp6Pa3uW/p8E5v+BSPUfqVdYEsQAB -BY9fDuZIeWRrA09JVIEWr72Fa0amcD9YPj0dUQUaw8xYKt/OQ6xfT0dUAXk0m2+zsoXXw3Lu6Yiq -UPn2JvG0RHUmj2blCnfDXYmq+M0b5EFXRLUnqU4+o+2qLpVbPQjZd0FUgSYDUujOBzQ5tDNpOO6P -wHO9gXr65vqRnQ08LVEtvE1YPj0lUS28TcT69ZREtfA2YTn3tES18CbxlES18GZxN6IK2I/pB+fI -PIgyR9o/Eg8afkfVCaed7xHRtTr1exev/goKgA/ckN/HbjKGLMO5kCcz651EEdXCqbB8KqJaOA2x -fhVRLZwGy7kiqoUzUUS1cCbuSlTBGg/Ke/VH4EGbRFXnPfq2pyCqoAUhQLm/eaeOAWS5LJ9lD6CI -auFUWD4VUS2chli/iqgWToPlXBHVwpkoolo4E3cnqoB9mf7CaJ+m7kQeNCSqI+LZykY+vS61S0ZH -tb2bV38zCIQwahey3Iz8DoqoFk6F5VMR1cJpiPWriGrhNFjOFVEtnIkiqoUz8RCiCrgXnOE1kskY -yU2iiOobRxHVwqmwfCqiWjgNsX4VUS2cBsu5IqqFM1FEtXAmHkZUPxJeRVSv0LWNiC1HXv2VD0VU -t1FEtXAqLJ+KqBZOQ6xfRVQLp8Fyrohq4UwUUS2ciZ8vUTVyKeI5IqsXpDQ9Xc11majq3reI6jaK -qBZOheVTEdXCaYj1q4hq4TRYzhVRLZyJIqqFM/GzJKpOOE1ecOKpsrWp7HV9OR3VVq/+zqOIauFU -WD4VUS2chli/iqgWToPlXBHVwpkoolo4E0VUDZmEFlF9LIqoFk6F5VMR1cJpiPWriGrhNFjOFVEt -nIkiqoUz8bMkqozt4tVfO2Yy2hPRp3z1F4dxkIBs3Ugh5zJxPpI5gCKqhVNh+VREtXAaYv0qolo4 -DZZzRVQLZ6KIauFMPIyoXvCgri3LODZkDmKeqJr8BRkVcjnJgl5e5+/ux5QUBB9AYDQB1Kl9TeYg -iqgWToXlUxHVwmmI9auIauE0WM4VUS2ciSKqhTPxEKJ6Cw9yUtu134BXEdW1p6hql4yOantXr/62 -ybGAMADb3PzcJ6DTSVky9Onbb0AR1cKpsHwqolo4DbF+FVEtnAbLuSKqhTNRRLVwJu5OVNmT6Qvv -eEM8qIgqg8ch+rnjuRyT1U8S5/9s+Jdof+AEvWuiCvHJGMkIs3KF+8Dy6SmJ6mweZbk92cLrEevX -0xHVI3k0K1e4DyznnpKozubRrFzhbnhKojqbR1luT7ZwF9yPqJr8Hg/iPO/ZnJ/Eg+Zf/U3o20bE -luO7+I6qBu6fHNixBburz5scMtSd8EnCuyeqSoqthYu2/2bwpInySK5wP1g+PR1Rnc0j6sFMbhbu -g1i/noqo5jxSvq3lEvW1xp0Ly7mnI6o5jyrf3hyejqgeyaPKudNxN6LKXkyfLR4EKVU9Oc35STxo -k6iKcGaMSOy7J6qQwRbs1IajmiDp9QkyaII4PnCCxkQ1bAqtfoBZOTArN4O8aHkyRF2O70guy2a5 -WzA7dvcLuTgfyQC1S3Ykcwuan4O2jGbbMGoXmr4NOcunw0R1T6cguT3ZC7kd2T14bAw5j1Q3kh3l -Zi93FBfjGbQL7tcNsqP2WzBrG0zJJX1rsrF+HSKqW/oyJHdv2S34vBhyvq3lEXX9Gqf+vewR5LHs -6cqyo/aMWblZPMK2x29H1nLuEFGVvr25mbEtNJ0TsnvAbp9HlEdyoJfbGtMMpHdmPEfG3WQHbbdi -1v6F3KTsqC1wiKjmWO7ofZHt6nvM6pvFKN9GPlDXy+75Ooup8aRx78leyO3IzuJC56A9Y1a2yQ3a -AnclqjM8KK+h3ifaHsyDLogqti5IJ6/5Grw+14XMHpF9F6/+Xk1Qgk9QQHp9ggy0MdDcr9d9AIeI -avZvz65khZEMYEy0z+icQb9ogdEiR7mXA73cYcQ49sYN8ri3ZCV3rxgB5dKeTvk2Y1uywkjG8ukQ -UUWPbO/JZYxkBNqbzh3ZPXgcDTmH1jbVUW5ujWkWGsfeeGjLc75qO9pn4n4Eszppm5ILGcmOZGL9 -miaq2NvTCWblAO0ZI5lZeGwMfR5RN5IdyW3FdAYax+GxD9oFH1eSHckcxYXtDZ3Ypn12PM3PQTuw -nDtMVGfGLjmXHbQL0rOnbxbY6vMIjOQescZpHIrRSEaQrDCSAfhEe4t7134Lsl0wkhFon53zJjto -DxwjqtIXGMkAtd8z7jNgnH0OjfLI59DQy43WwqNgDDO5ofHOxmgm7rPw8SedIxlBNoWRDLjSOZZ9 -HFFNwAfqR0SV8gk86IqoKscyCb0gr6rry+motvdDVA0KNM7lo4hqdlr9fJKYQE1Saj+IY0QVhH2O -qh8hy23JohO5GZ0zWLuJ62NEObfr/BWxXBDjuGuMQu5eMQKzcZdvM7YltyVr+XQTUXWdg3YBOcmu -2Ray3Gvnm/7KnYyR3lm5o7gYj2EkAyS3O/Zov1eMhFmdtDU/u7YM2rLOkUysX4eIarO9I7dnW8hy -e7J7cP8MfR6Nbs6QHclR38segcZxeOyDdsHHlWRHMkdxYXtD5xHbFzoH7cBy7k0QVekcyRwBtnL+ -6DiSG+Xmlq8zODIeye3J4lPW+VofgetJOkcywqxtybnsoD3wJojqjM4ZME7lTs6nfvyUs6wwys2j -0Hh24r74IDnDSEbIcls6Z3GL7T3ZK51j2fsSVcNhHmSg7sE86PrV3/zElLKdZ1yQ1pGs1Ym0vp9X -fw15ggTK1I8mSKCeSQLIo++GiTpEVIESec9WkzOM2jNmdc4AHf1mOdI7krvHAgc07r2xt3HvyIGm -c9B2K2Z1Nj+7+h55PGuylk/HiCqYsA32bAtHdO4BHaM8Gunu5cC9fNDYR+0ZHyNGwqzOI7b3ZGP9 -miaqYNb+rBxwuUnZPaBjJo+om83No5gdz5FxS3bUdguwOauzyXb1PWbkLOeOEVVwxPaMrOQMo/Yj -wNYoj2bl9nydQRt7V9+jyR2R7epfg0fYntB5jKiC0Dlqz5iw7TiicwazeURdlgN7vs6gjaerH2FW -9ojOWTSdO3HPcnfw86FEVaC8RlS9r4F6uApAfi8OK5gnqibvZNSQc64R0UG5l1fbu3311wNibTgq -oiq9o0WnyUnHQGYHh4nqewBxUFJwvhYXj7UBuXttqIVtWD4dIqrvAbN5RD2Yyc3CfRDr1yGi+tZB -zijftvJI9bXGnQvLuUNE9a1DeTSzblHf5+ZIrnBXHCKq7wE5j7RubeXcTG4W7ob7EtWew8TR+U1g -tIbqvOdBaj+AVxFVL0dbfoqqdsno+L6JqtUp8JQ98Hbc0us6QpYj5ZHcBp6WqGaMZATa6wbuPFg+ -PR1RBcq1vbFkuT3ZwusR69dTEVVwJIeOyBZeD8u5pyKqIOfQXh7RXnvqqXg6ogqO5NusbOEueChR -Vd5SFg/K5C0DWdcRsnfmQetENc6vyk9HVA30YZIAzvmFZkfqRVK9Po46Z3IePEHvmqiCWrTeJiyf -npKogsq3t4dYv56OqB5F5eZ5sJx7OqIKjuQQspVzp+EpieoRVL6dirsSVfrAN+AwWiupF7ehnTLI -PAg5cjzL3pkHbX5HVSS0R2tLsrlOpPVdfEcVaPA+STEhbVAG2gGO095PGuf+aJz+UTeys4GnJaqF -twnLp6clqoW3h1i/fvZEtXAeLOeekqgW3ix+9kS1cCruRlQzCczch6Me1tHOPeIMD1L90NY6Dj1R -dfIZx1HZn5rulDnyRPVdEFWAo/RlAgTKOdiUmQQRUsreh/po6/tMoohq4VRYPhVRLZyGWL+KqBZO -g+VcEdXCmSiiWjgT9yOqgTfKgy6IKnYuSOfKE9OrugTvH/Xv4tXfDIKAc+jg2Ae6bx+h7zOJIqqF -U2H5VES1cBpi/SqiWjgNlnNFVAtnoohq4UzcnaiCzHNAv0/ndo4j3Li3f7QnqtjnOjX9b5+oNszo -MBmC5hMijOTmUES1cCosn4qoFk5DrF9FVAunwXKuiGrhTBRRLZyJhxDVBnTs6bH2E3jQ5ndU956Y -znxHFftcp6b/HRHV81FEtXAqLJ+KqBZOQ6xfRVQLp8Fyrohq4UwUUS2ciccS1fNx6Inq6AnpiJyq -XTI6qu3dvfr7EVFEtXAqLJ+KqBZOQ6xfRVQLp8Fyrohq4UwUUS2ciSKqhkxCi6g+FkVUC6fC8qmI -auE0xPpVRLVwGizniqgWzkQR1cKZKKIa51flIqoPQRHVwqmwfCqiWjgNsX4VUS2cBsu5IqqFM1FE -tXAmfr5ENRFRkc+MNdKa69Tv3fwf1TeAIqqFU2H5VES1cBpi/SqiWjgNlnNFVAtnoohq4Uz8bInq -iJyCXO9kdafMkSeq74qoEoQes3KOgewkiqgWToXlUxHVwmmI9auIauE0WM4VUS2ciSKqhTPxEKLa -+EzCrJxjIDuJKaKqp6iNdK48MW11kkvw/iH77l79xTH6twEbCEyTsfMswzGf03YhP48iqoVTYflU -RLVwGmL9KqJaOA2Wc0VUC2eiiGrhTNyfqFq/N8iDht9RFeHUeV92Msq5SKvKAZ2/n39PY31wikDb -prZMUBzRp6BzdJk4esDiXPI+Sb3+fRRRLZwKy6enJaqz4yhifh5i/frZE9XKufNgOVdEtXAmfvZE -lfWt1rjTcF+ian0aD9LxIA+SvNbag5gnqumJqZftvEcjpZmoStbqGlE1YJ/r1PS/UaJq8nly6E8w -Wp0FSHUcKatOOtrExSRRvrCxj6clqlq49havWbnCfWD59JREdTaPstyebOH1iPXr6YjqkRw6Ilt4 -PSznnpKozubRrFzhbnhKojqbR1luT7ZwF9yPqJr8Jg9KdRxHPGjEmS5s7OPQE1UnngYnnipH2wWR -jXbJ6Ki2d/Hqbw48jnOB+cLS1eO0yoAycgAdyDQdhpGtDTwlUSWWfFrhyRDYk+O4Jle4Hyyfno6o -Hskj2irfzkOsX09FVMkb5dxMvs3mZuE+sJx7KqKa86321DeJpyOqozzayjnk9nKzcDfcjaiyF4vf -iMN43nb1MzzoX5KOka0NHCeqcX5VfkaiChkk6PTNFxdlnxA7cg4Y3NoEUc/xjhO0SlR9IcBWV9+j -yR2R7epvATq0wAkjvSM5yr3cLWjjNozahTbuHTnQdA7absWszuZnV98jj2dN1vLpEFE9ZBvccTyz -GOXRSDd1WQ7cw4c2HsOoXchye3abbFf/GszqPGJ7TzbWr0NEddb+rBxwuUnZPaAj59BWvvW5eQ/7 -YHY8R8Yt2VHbLcDmrP0m29X3mJGznDtEVA/bnpGVnGHUfgTYGq1xs3J7vs6gjb2r79Hkjsh29bfC -9YE7254YzyGi2mwbRu0ZE7YdR3TOYDaPqMtyYM/XGbTxdPUjzMoe0TmLpnMi7pK7g593JaprPIh1 -s+dBXjacxIOmiKrX9WWOibSKqKrM8f0QVUOboATKTI4PKCDZPIiRjmxjAoeIqhIYH/ZsZbkt2SM6 -Z9AvcGC0yFHO7Trv5Q4jxnHXGEX7vWIEGCf6dnVG+5HxbMlaPh0jqlnnoF2gTbJrtoUs99r59jga -lD9bedTLrMkdxcV4DCMZILndsUf7vWIE0DGrk7bmZ9eW0escycT6NU1UL2zvyGXbm35mOcNIZhbu -n6HPI+pGsiO5LV9noHHsxQhkuc0YGZCb0TmLC9sbOo/YvtA5aAeWc8eIatYZsiNIzmUH7Q2hRzqH -MgeArVEejeRm18IjUFxmxiO5PVl8yjpf6yPodY5khFnbknPZQXvg/2/v7ZGtR4rt7+f9Xz7nQAQO -Fi4OXrs9gNs2Hh4GI3hGgIGJhU0PABfvWphYODfiDoR+c5Uy66RKJVXV3qU8W9rrifiFpKqsL9VS -SqvPOTBmVK0/pRYDrL61ns29PIjtAf2ZdryeyvWncQWrN2raHMXW07jvyxwsTqjFGD7uqM9e/NjN -eVqMUosBm/XUY+caVaHlgzCfdC1xgT6o+jeqZlS9+TRWJtYbVVdm7R76/1H9xZ9+/os//u3nv/3b -//z8F//9t5/jusZ/S93f/u//fv5///ngb//zPz//b2lbi9/ltwLa/E3A8b/l2vMnKQPltY/FuY+r -jdPgt7KeP8n8l7X8Z1mPrC+v58sf120wb4yFoy8v6Y0DI7Et0A/4o8zbwHUZh7F8fToKZdwjoG+j -Vm+gvnfdI7G9oL+ePnvHRn0rVvT0Czn+UTT7J3nW8Dzhuhpr9K67NbbRG9eD9WVaMj3V+oa+vN7A -zDm0+rK4kdhW3AgjffbGtfr8shyRz6A36O4XrX6tz1qdpzW2x+J6YlugL6+1dBTKOIz1R6CxFl/G -PULv2nvjQG9cLyNj98b2xInmfisx0Nof//Q/6R1r5ZtYMDo2qNV7EGvU6kdAH1lDer6nN8wtxyJO -y8vYUazvVl+oH4mdNT/jjLEttlanIKchx/0R71Q5pnfqs3oDvbEjffbQ+65EmdUn5Lpxr7p59XsE -rM+eNdvYE+b5Wj5Iy62sNk6Dpg9K8yxxmqte75T9Sct/K/wCyLXwC1nDL0r/ufmHQJnUyxtVgHgP -6mtjdDBsVMGBgFf0xoGR2CPQD+6HCQQJb69vS4YmqNrL92wwt56198aNMNLnyNhHsY8Y1Vlje0b6 -PAL9eL0lHWn5XqzFH2lzFPTT01dvHJg1N88ZYx/FPmJUwex5Iq43tgX68R9yLb1lXVJvh8ya56hR -BSNjj6xpBhjPvytxDpqxiNOyWuwoI/30xs6am+eMsRuxQ0YVoL/Z8xzps4XXm+WuWhzGo976+u2N -A424t/NBaZ4epzlcm/k00nUlzsdexqjiZtsGeWGUG4R6f41Yj+93kIeM6quDe4L7ZNRiDCS5hJw/ -eS9JB48Y1Ven1NuRjnws9XY+jxrVVwbz79WRxeEFSc3F8IhRfXWy3vRdWYsB1NunMGxUr0DWm3Ck -o6xNpRZDpvJ2Pgj9e+OZjagrS+yUrYysxkDX6Fs91uv/RBU3HmWWWMoNwnz8te/nSW5pVEGvePFf -NRKVOjKfOxpVYHrr0pxSqyNzuaNRNai31+SORhUkHXV8D5jeqLkwbmlUgX2f1eo81Fsop/kgXI/4 -IMRO0Pk8owrKcjm/rFEF5UbgZuCIvlCGI8psM31Zrb8HuK1RJa/JXY0qeU30JYZ8djujSl4T0dwt -jSp5WW5rVMlL8nY+KI1dUhjPXFZeF2WX+tVfw29SWoSdC34jyk2yDX1ys2hUSSg0qiQSGlUSDY0q -CYZGlUTyUj7IvJDvb5BzjKrVW5keV0ZVzpXXNaqWSHCj7eanDZDr2o23TTIiNohGlcyERpVEQqNK -oqFRJcHQqJJIPsUHWZz5oBQjhBpVM53+2peV53rtf/XXzu1Xf5XX/omqgRuBG9666b1xndCoklBo -VEkk+nJDPqNRJSGI5mhUSSQ0qiSSt/NBGKM0n9Vrj9bBmHqjavXJqAI5F65hVD8JGlUSCo0qiYRG -lURDo0qCoVElkbydD8pG1VMYz831TtnqV3+BXAs0qgfQqJJQaFRJJDSqJBoaVRIMjSqJhEYVwICC -8rpWZtcCjeo4NKokFBpVEgmNKomGRpUEQ6NKInlfowrTKSSzWZQlKmWHv/orR/VYNKoH0KiSUGhU -SSQ0qiQaGlUSDI0qieS9jaocs1HFtZb5+mqdlplpzX+jCmhUD6FRJaHQqJJIaFRJNDSqJBgaVRLJ -+xpVj3ijmhHdXBdlZlT5q7/90KiSUGhUSSQ0qiQaGlUSDI0qiYRGFcCAgrJsr17PV0ZVzpVrGFV5 -sTX/55ZR7uOOYjuhUSWh0KiSSGhUSTQ0qiQYGlUSydv5IPS/az7L60rd0d+oKq9vVHGj0V4muvt/ -YJtigMZ4ntgoGlUSCo0qiYRGlURDo0qCoVElkZzng4SmD9L6kjN9EPpN/qswm5trj6vbNapAzoXX -Nqp249PmFOd209NNcuVok9oJKAO4LvvugEaVhEKjSiKhUSXR0KiSYGhUSSRhPsj7ms/0QWlsj5lO -INcrIyqk60qcj73M36iWm4ObUSvLN0nK/EbgHHF/Q6zg++7ktkbV7iWOtXojxUE0RTk5h7sa1V69 -AeotjjsbVayj+DuXKqbNWh2Zz12N6qje7vKcXYBbGtWko853ZY7t0Cd5mtN8kOWNWhkwH+Q1gXrE -nemD8tge0Zo3oPnaysprZWNUwasa1bRwaWM3F9eWWHDjUW6bVLY1an3U4g64pVHFfUhC12MtBqQ4 -WR9Eg7gH7h8Z5I5GtdTbkY5SHPUWxl2NatIcdCQcrSfFCa1cSOZxR6NqOuoxDl5vrVgyhVsa1aSj -kRynsbUYMpXTfBDKvA+CBxr1QbWYBv1GVfQF8k9MXVnClUGLFmvm1MeYVtVjXceoWh02pTSq2LyS -oz46ecio9o6DuJ7Y3rge0I8lLRPLXt8mJC+sWtwoI+vpjR3ps5eRPkfijmIfMaqfMc8Rst703J7Z -EvwXtKQ5OW9pc5Te9Yyse9bcjNGxe2JbcciTckQ+6zaqvWOD3tiRPlugH5+7ko6EapzyWXoDI3Gz -5mf09jkyditWNDdsVGeNbfTG9WJ6S3qCjoRqnJB06eJnzaO3n96198aNMNJnb2xH3LBRnTh2ZiS2 -hc9vSUdCLQ7j+djZeuvpqzcOjMT20tvnyNiNuHN8kF5bHfYSHgh1OEcZNF2y6kPoXaNjzKjauV37 -svK8Em+mNWkayLVwDaOKjfBgg4BtkG9n5z4ON+GMDSqNKsbAuK2xeuMA4npjW1hfJhKIAde12Bzj -zmtxo2AdRq3eQH3vukdie0F/PX32jm1xR7GjRtX3Wav3jM6zFdeD9ZX0Y+daXsaWL18wcw49fSHG -qNUbI3320tunxYFavafVJ15kckQ+6zaqNnbvPHvjemJ7QD+mH9NS7UPOj2vxOC/jHsH67V17z7qt -z1rdIzwydu96juYpmhsyqo/Ms1bnQV9GrX4E9OH1s6c3YPU+ftYcevqyuJ7Y3rgRRufZM7bNs1an -DBlVG7vRZ6J3niN99uD1Y+e1OaDM6u39O2MOI+ux2Nr8PL1xI5wxdkfsaUYV43rM3+C8bGfnPm7v -26tBv1H1ON2tysrromzzq79yLVzHqNoR4/sNQmyq16OB+LQ5Glcbp8FbG1UzDha/9/IdBeswavUG -6nvXPRLbC/rr6bN3bIs7in3UqLbGBr2xI322sL6S3vR8r+9Sb2DmHHr6QoxRqzdG+uylt0+LA7V6 -T6vPR4xqq09jNK4ntgf0Y/qx81ru8uP6+DLuEazf3rX3rNv6rNU9wsjYvbEWdzRPGtV1/Kw59PRl -cT2xvXEjjM6zZ2ybZ61OuZ1R7X1Xoszq/fu3jBuld92gN3akz17OGLsjNsYHCfA3wK5TvTtP1xpj -7WrjNJhjVHuu5bgyqnKuXOdXf3GT7VhuEGL8ZqSFu2u0q43TYNiojvDgnJ7C7p+J4+jeoM4nRFCL -I/3gXh/t+6hRHeWzNGeJCHra0xHiUGcJa6bePmPdj3DGPI/6fMSongHGnDUu+jEdmd72+kadz3Ez -51ArrzFrzEf4jLFHjeoVSHoDDR2hPMfqeS3uTDCHN9PckFE1Zs9z5n1HP0lHLnfV4kDWG+KE2evq -oXfMM+b2CWO/ng/S+gfX2G9UVY/pfO/6qM5dQ6uX+IkqbrhtkK8rNwj1OVau0dbj2w5yqlH9LHBP -cN96hOs/4mr1ZC5nG9XPIukNOmo8L0mbqrcnn13SwasY1dlkHQlH6/FxzHEx3NGoJh2BDh2lOOUO -z9oFeMiovjpZb0Kt3vDa3PvbaTKVT/VBINoHof9sNv25v27VF9fX+dVfwf+XBEss5QaV12VfT3BL -owpGxNsbR57nrkbV9Najpd448jx3NaqAentN7mhUwYiOqLlQbmlUQa+OqLdQ5vogaVPzQfBH3veg -3l+XfT1Bv1H1OOO5+l/1FdJ1UWbtLvU3qsAbUCwAN8NvCM6tzOKiN+iqRpW8Jnc1quQ1ubNRJa/J -XY0qeVlua1TJSzLdB6Ed/I33QbgGOEeZxVhZra8H6TeqznBurnFu+OuyXq/tNwXUY72uUQXlJtlG -4NzH5HIB1yUPfnzRqJJQaFRJJDSqJBoaVRIMjSqJ5HQf5M99DMpSuWDep8T328mYURXyT0ytzF9b -mYtd/cRVYy5lVAFuLtoD2xzcGEs0vn6PszaIRpXMhEaVREKjSqKhUSXB0KiSSM7zQUA9DfDv6l4f -9MD7fcyo2nnrGueVejOtyagCuRZe36gC3GCjvNG4Nnycp2zTCY0qCYVGlURCo0qioVElwdCokkhO -80He49Te0yjzMSW1Nh30G1WPeKOVES2vd8ou9zeqLwCNKgmFRpVEQqNKoqFRJcHQqJJI3s4HZaMK -0wn89VFdJXZlVOVcoVE9gEaVhEKjSiKhUSXR0KiSYGhUSSQ0qnvXnkbsJX/195OgUSWh0KiSSGhU -STQ0qiQYGlUSyXsbVX/ur1v1xTV/9bcfGlUSCo0qiYRGlURDo0qCoVElkbyvUfWo8dz8r/pqmY9J -aBn/RnUcGlUSCo0qiYRGlURDo0qCoVElkbyvUXWGM1+XlHU715f7v6f5RGhUSSg0qiQSGlUSDY0q -CYZGlUTy3kZVyD8xdWWZvdii/pJGVV5s3f8Ty6j38T1tdqBRJaHQqJJIaFRJNDSqJBgaVRLJ2/kg -9JsNqFEYz1xWXhdll/vVX0skuMEyyQz6OrrhiEeMj0dZLbYBjSoJhUaVREKjSqKhUSXB0KiSSE4x -qhtfI9dH7+pkHn28cJYPSmMZZjxxtPPadVmmx5VRlXPltX+iihvrN8bf9L1Nsg36m3IU24BGlYRC -o0oioVEl0dCokmBoVEkkU30Q3selD0I/6VzYe1+jHPURPiiNBWA21XDm66O6yvXljKrfHJzbhqGv -1iZZW2wQzmsxHdzWqNq9bAm3N47M4a5GlXp7Te5qVE1H1NzrcVej2qsj6i2cWxrVEb31xpIpvJ0P -wvhdZtTTiL3E/49qWri08f8lwEwhbjjKbePKthaD+qOYDm5pVJPQZd5GLQakOMFEU/wXDnICdzSq -pjckoaSjot6T9KZxR9okc7irUTW9JS1V6o1Sm7UYMpc7GlW8G1POknOw9wyN5EIyjdsZVdNbz7dZ -0qVRqSfTeTsflOZZIvNMlGXltZXp+aX+RhULx831G2R15c1HXYmPwU1Ame+/k4eMau9YNtdanac3 -rgf0g3tjokhJztV7UpyhsbW4UWw9PWvqiTFGYnvonSPojW3FPWJUZ43t6Y3rwest6aio93G92hyl -d+29cWAktoczxm7FPWJUe8cGvbEjfbZAP6Xean2j7LP1BnpjR/rs5YyxW7GjRnXm2IbF9fZ7BPqA -dkxrRi021WmsxdfiHqF3PbPjRhjpcySuETtsVDv6zIzE9ca2yBqSc8tdtTiMV+pt1hx619MbB0Zi -e+ntc2Lcy/gg6MNizvRBNtYK052cm/k00nVRlnCxlzGqaGMblBK8w28QSIvWWAP1vn1tnAbDRhXz -xlgtQVhcz7wsrtVnD9aXF0dtDun+u/p0FMq4R7A51Mb1fNY9An7sVp+98+zpc9So9o4NWmMb1mcr -rgfrK2lJz/f6thdqjhdmzgHU6j1H8/OM9NnLGWO3+nzEqLb6NHrn6eNaffaAfkw/dl7LXX5cH1/G -PYL1O+segd64Xh4Ze8Z6Ro3qI2O3Yi0O1OpHwFgjerNYi2/NtQe/9lq94eNa4/bGjdDbp82zZ+yO -PoeMqo0NavWe3nmO9NlD77sSZVafvpGFGXMYWY/FRd8j0Nunj2vNsyPu83yQxnlQj/YWVxunQb9R -VZ1lI2plnrLM4n29YDlUPdZ1jKodQblBthk5tlJWG6fBQ0bV8OUlvXEAMZh/T2wL68sLpXZvUpzV -u2MZ9wi96+mNAyOxvZwxNmKO4h75iero2K3Y3rge0Afml7Sm53vzNZ2lRKXnM+fQ0xdijFq9MdJn -LyN9jqznKPYRo2p91uo8rbE9iOmJ6wH9mH5MS7XcZfPzeutZVw+2ntaaeuNA773sZWRsxMza81Gj -2tOnMXOevaCPrB93rMVhTItNcVpexo4ysh7E9MbNmp9hY7f67I0DiME8a3XKaT9RtbFbsdZfT589 -eJ2Zlmp9o8zqU54TGveqmxG99d6j3j57GekTMb1xjT4/xQehHOef4YPSPIFqrWlUffnOteXQyxlV -lIFyg9KG6DUWWIupjdHBsFG9Aul+qSggBtyvvbicCPW6Fkfm8YhRfXVKve3pyJ7bHm2SOTxiVF+d -pCPVUNKRllXjBOotllGjegWSjsCB3kBNm7U4MpVho/rqeL0d6aimN+a403k7H5TmWWK6K8vK66Ls -qV/9/eXXn/3y6z9+9s1f//GzX/7urz/DdY1vpO6v//u/P/vfHz746z+k3UGbKt8IX6WNjJeOvg59 -/VWxfr+RI+IMi7Fz336AtB6Z/7KWH5b1yPp+Z3P6sm3z8uDegt/psRZj9MaROYiefinH38mz9lW0 -D/3huhp7JUb1Rs3FoPnrd6Iz6A26+yVyaRl3NbyOavWeXm2SOYjmvhGNQW+W46x8E3sVRvIW9RbO -L+Ve45st5ziUX1lvoFdHFkfNhfFSPsif+/YDNH1QHlfODejNX++V1ahoFf7zl3IfVv5z808DP82o -+kmjLzOh/qPK2hg0qvvgXhVC2KU3jjyP6OmWRhX0am5Em+Q5NH/dzqiCXg1Rb7GI5m5nVMGIjqi5 -UG5pVAH19pK8hA+yuggfVDOqNbxRPTKtlzGqIC9eQHtMHMeybNVGQB02h0aVXA3R022NKnk9NH/d -0qiS10Q0d0ujSl6W2xpV8pKE+6BNGwF1UT4ozVGOI7R+ulp4u9c1qsBueMlRf7axtkmPji00N4jJ -jsxE9ESjSsLQ/EWjSsIQzdGokkhoVEkkb+eDbKya4fS0zKmRfqL6u9UcXtuoAmwS2vdsjuE3Fue1 -mA6aG8RkR2YieqJRJWFo/qJRJWGI5mhUSSQ0qiSSMB/U8jZRPqjXqI5QzPf1jaqBm4HJ997wkdgd -mhvEZEdmInqiUSVhaP6iUSVhiOZoVEkkNKokkrfzQcmoyrH3J6ag91d/9Tm9jlH9BJobxGRHZiJ6 -olElYWj+olElYYjmaFRJJDSqJJK380FmVEegUZ1Hc4OY7MhMRE80qiQMzV80qiQM0RyNKomERpVE -8nY+KBlVIOdGzYj2/sQVcZf7G9VPpLlBTHZkJqInGlUShuYvGlUShmiORpVEQqNKInk7H1QzqjV6 -jSqgUe2nuUFMdmQmoicaVRKG5i8aVRKGaI5GlURCo0oieTsflIyqHEfo/dVfhUb1gOYGMdmRmYie -aFRJGJq/aFRJGKI5GlUSCY0qieTtfBCN6ufS3CAmOzIT0RONKglD8xeNKglDNEejSiKhUSWRvJ0P -SkYVyPkoNcN6yb9RhbNGe6P2IVXGeApnPkJzg5jsyExETzSqJAzNXzSqJAzRHI0qiYRGlUTycj4I -sWf6IPT/qFHdo5jv6xtVtE03wVHe9FqMgboHN6m5QUx2ZCaiJxpVEobmLxpVEoZojkaVREKjSiJ5 -Ox+UjKoc9346WpYdlRuoR9/6nL6uUcVNRTt/o2s3Pbt5V15rW/bfQXOD3iHZPShu8gCip9sa1V4d -UW9xaP56e6NKzcUhmqNRJZHQqArMcWGE+SBg+/qZPiiPLeezKPT6ukYVbfIN1jJM3sqAbQZuVELO -kYCAxf5VY8v+O2hu0FWTXb5vrqwG6nHvW3FkDqKn2xlV01mPjizWqMWQeWj+up1RHdEQYpjj4hDN -3dKo9mquN45M45ZGFfrhO/Ul+TQfhNjP8EEYM81Fzg3M1V/vldVIur7C36jmm/uP5Wg3HnXoy256 -rd+9DcJ1GduguUE2pyuB+wAhGHv3pTeOzEP0dEujCv3kBCQcxXlqcWQemr9uZVRH8lavNsk8RHO3 -M6q9muuNI1O5nVEd0ZGPpd5CeGkfVMZ10PRBNaP6LJc1qlaHvlKdYP36pLO3Qb6PTpob5McFGMPw -5SW9caA3rgf0Y0kLYthLXj7Ox5Zxj2DrqY1bMjtuhNGxR2JrdUD0NGxUR8duxfbG9YJ+LPmYnmp9 -o6zU28w59PRlca3Y3rgRRvocjduL1fw1ZFRnje3pjesB/ZiOjFrfPu4svbX6640DvXG9nDV2K1Y0 -N2RUrb+e8UfjemJboA+voT0d2Xg9saNY3z19jcb1xPbS22dvHOiIGzaqE8fOjMS2KDW0pyOUlbGz -5tC7HotrxfbGjTDS52jcQWyYD4K3GfVBVj9A0wcloyrHEUyXexT398WNKm6AgBuBPlCOI8pA2S/q -jaO4TpobVCY7GxdHX16S40Zii/JHSH0V1Pot4yAcHMu4R8h7KdTqjbzuRhzw+qjVPwL66+kzz7Mo -L7G4o1jR05BRHRl7JLYnrhevH6PWt6+3+BlzyOsRavWGxX3GPQK9fY6M3YrV/DVsVGfPM8V1xrZA -Hz16S/MrmDE+6M1HI3nL7lGt7hHS+rXP5jyFrvUIrTjR3LBRHRm7K9bihFr9CGlcodTcXlxJa649 -2Nqb6xa675HQE9cL+untc2Rs28tanTJkVPPYx30mso4qdZ6RPnuAbkZynI9tzbWHvJ6ivEZv7Eif -veQ+O+67xU2Y52k+CO1tbBxRZuVlO+MorpOmD8J9g8Zmgrm7OVzDqKK9x5f7Nj4G9Uax6F6aG1RL -dr1jIa4ntjeuB/RjCauVuHwMhINjLW4UW0/PmmbHjdA7RzASdxQrehoyqqB3nr1xoDeuBfox/ZiG -QK1/i7X4vbhHQD89ffXGgVlzM0bH7oltxWn+OuUnqqA3dqTPFujHa2hPRxbnY2fOoacvixuJrdU9 -yhljt2JFc8O/+jtrbMPievs9An14DRm1WF9vzJgD6O2nd929cSOM9DkS14i95U9Ue3SEMtS1cuEj -9K6nNw6MxPbS2+fI2I24MB+EMiv3bWoxoHd9BU0flIwqkHPDdPdo2WV/9df+awf6wsYB69eSDmJQ -BtKNU1ofXzs0N+go2b0q6R469sTbG0fmIXoaNqqvjukoJyDhKI56i0Pz1y3/RrWlNzASS+YgmuPf -qCrUWwj8G1UHNXc6b+eD0K+ZzF6gxVq5YTrVNV3HqFod+io3qIb1AUbHV5obdMVkh/sCepJWbxyZ -g+jpdkYVPKI3au58NH/dyqgC01FLc6Yz5rg4RHO3M6qgV0c+jpoL4XZGFXgd1eoN0xlzXBin+SBf -h75exQeZUYXGSsNZKzsqN0yr+py+rlFFG2wCboZ/wMryhIvBwgCuEWOb7PvopLlBV0925LUQPd3S -qJLXRPPX7YwqeV1Ec7c0quRluaVRJS/LVB+UPIxQehj0VZZ/lg9KRhXI+REtc+op5vmaRhXYDTaw -SPSTrwXEACu3MrS3stomd9LcICY7MhPRE40qCUPzF40qCUM0R6NKIqFRJZGE+iB7X3+mD6oZ1Zop -7TWqiLvE36ga5SYZvr/NRiplme+3k+YGMdmRmYieaFRJGJq/aFRJGKI5GlUSCY0qieTtfFDNqNa4 -pVG1RIKbgPZHNxubZDE4ep748GpuEJMdmYnoiUaVhKH5i0aVhCGao1ElkdCokkjezgeh75rhPKJl -WrEWN4fX/omqgUkbtXrDx/XEN2huEJMdmYnoiUaVhKH5i0aVhCGao1ElkdCokkjezgc9YlRbFHO+ -hlH9JJobxGRHZiJ6olElYWj+olElYYjmaFRJJDSqJJK380HJqAI5N2o/MR0pu9TfqH4yzQ1isiMz -ET3RqJIwNH/RqJIwRHM0qiQSGlUSydv5oGRU5ThC76/+6nNKo3pAc4OY7MhMRE80qiQMzV80qiQM -0RyNKomERpVE8nY+6Mio7hnS1k9XaVT7aW4Qkx2ZieiJRpWEofmLRpWEIZqjUSWR0KiSSN7OByWj -CuS8ZjqPymogjr/6209zg5jsyExETzSqJAzNXzSqJAzRHI0qiYRGlUTydj6IRvVzaW4Qkx2ZieiJ -RpWEofmLRpWEIZqjUSWR0KiSSN7OB9WM6rNczqjid5XR3ih+dzndJF9f48GPr+YGMdmRmYieaFRJ -GJq/aFRJGKI5GlUSCY0qiSTGBxV5s6yvgTbmnwZo+iD0WzObR7R+ulrM87WNqt385NYdfhFlPa5r -Zb7fTpobxGRHZiJ6olElYWj+olElYYjmaFRJJDSqJJKX9kG+TSdNH9RrVG/5q7/l5vhzYDcc5X9V -cG7l6eYpj4wvNDfoHZLdA8ImDyJ6uq1R7dUR9RaH5q9bGlXoiJp7PURztzWq1NFLclujOqI3ajOM -cB9kMZ/lg/IYcu7Npr/eK6txKaOKNrjpthkow9FuupUjDue2QYizJIR63MQHP76aG3TFZJfuiZDE -oGV79MaROYiebmlUH9EbNXc+mr9uZ1RNR8xxr4do7pZGtVdH1Fs4tzSqI3rrjSVTOM8HaXvsI66N -tL96/hk+CP3WDOcR0GOt3DCt6hpe06jaRsh46Ygyu+noyzYO536TQLHAZ2hu0BWTXbpfAsRwlLws -ztiLO5ORMT9jfsassUVPtzOqvTqyuJYuX4VXn18Pmr9uZVRLvR1pqYy9w56+OqK5YaP66vvSqyOL -OyPHvfo9+kRONaqfcd9NRy29gTLuM+b7ZrydD6JR1Q3yH0/oq+e/MFj8EzQ3qBQBxjV8eUlvHOiN -6wH9+MRlyasW62MgHBxrcaPYelpr6o0DI7G9nDF2K070NGxUR8duxfbG9YA+vH6MWt++3uJnzcGo -1Xt6Y3vjRhjpczRuL1bz15BRbfVp9MaB3rge0I/X0p6OeuMeAf309GVxI7G1ukd4ZOyR2FodEM0N -GdWZYxsjfbZAH9BOmeNqsb7emDWH3vXMjhvB+mz12xsHOuKGjerEsRMW1xPbA3TT805FWRk7aw69 -67G4Vmxv3AgjfY7GHcSe54NcHfqCD/I/QbV442COIzR9UDKqQM4N012rrEbS6RV+9TdvEBYv4Eag -DyPdFD3fbaPlT9DcoDLZYUzMqTU26rGmnjmOxLZAH5awPLVYX2/iqcWNYnNorSfFYd16r48wfcy4 -R0a65x195nkW5SWoTxzEip6GjGqrP09vLOpn3cvUl2D6MWp9+/qcrIQybhT0YXtZq/dYXGvctK5J -98jI8yzKS3rjAGKO5qn5a9yoTpxnjuuI7QF9eL3t5S7EWYwxY3yAtfToY2TdFlurewSM2T026Ijt -iRPNDRvVrnsJEKf9HZH61GOtfgT0AY2Zzvb0Bkxnnllz6L5HoDO2p88Rzhi7Y8+HjGoe+7jPBGJm -a7MH6MbnuD0docxr0yjjRsnrKcprIKb3Hp2mt8Z993ET5vl2PgjzSnORc683f71XtscljSrae9JN -0XPEW9KxdoYJdC8pNWhuUNkvxjd8eY3ZcT2gH0tWEAOOe31bnI+txY1i6+lZ0+y4EUb67I1txYme -howqmDW2YXE9sT14/Ri1OIxn9S1tjtK7nt44MBLbwxljW9xerOavh36iWqsr6Y0d6bMF+jEdGbW+ -e+MeAf309GVxI7G1ukc5Y+xWrGjuoZ+o1upKemMtrrffI9AHtONz3F6/I7GjoJ+evmbHjTA69khs -rU759J+ogpHYFqWGQC0O45Wxs+bQux6La8X2xo3S2+fI2I3Yl/VBD9L0QTWj+iyX/YmqiQN9+Y3b -a2vguozppLlBR8nuVUn3UIAY9hIcKOOeuI+kE9HTsFF9dUxHxpGOfCz1dj6av972f0zJx1FzMYjm -bvc/pmQ6Sh9YWlbDx1FvYdzuf0zJdGT0aI56C+M8H6RlaU+1HNT6tbYGrsuYTpo+KBlVOY4APdbK -jWK+FzGqcm2JBX0dbRDYbNJOXIPmBl0x2eHeeGoxBuqZ4OIQPd3OqIJevYGRWPIcmr9uaVR7NTQS -S55HNHc7owqgH7wra3Ue6i2c2xlVYHpr6ci0ZtRiyFTezgclowrk/IiWOTWSrh/5ieqv/vzTX/3h -7z/91Z///dNfffvnn+K6xrdS9+d///un//7PB3/++79/+u0f6vGHoM2f/y7I0Y9p5ThaOY52/sWV -pfYaa+0HSOuR+S9r+U86/l3W963cixTz5Q+bNoQ8jOjpV3L8g2j2zwL0h+tqLCHPorkS+ezPf/97 -0t1RfifkaURz0Bi0BpDjrHwTS8gE8A5Fjkt6w3csyqk3chLIafAJKx+UfEM9/pAr+CCMgb5XiDdK -lNcC1lKWrerk+K3wKyDXwq9k/hv/ufmHQDzgkUbVFu83o7wu46zMylFm8VY+QHODaFTJTGhUSSQ0 -qiQaGlUSDI0qiQQ5bZpRRX4sfU957ePgTazMyhFr8VY+QNMH5bHFD2WzWZQldsrMnPqYZFTlqB7r -dY2qYQsx0iKEWgyOnnIzB2luEI0qmQmNKomERpVEQ6NKgqFRJZEgp00zqkbyM46ar7EYHD2i+3R8 -8N3e9EHoN42lZnM1By1b4cuL2Lw2OUfflzGqwC+mNj7KgI+z2Fp8J80NolElM6FRJZHQqJJoaFRJ -MDSqJBLktOlGFZTepqxHGfBxFluL76Tpg2pjeiOazKecw3yuzGlxjTiLTb/2C+RauIZRHeVgjiM0 -N4hGlcyERpVEQqNKoqFRJcHQqJJIkNNOMaqjTHqXN30QxqkZz81569qdX+ZvVF+A5gbRqJKZ0KiS -SGhUSTQ0qiQYGlUSCXLaSxjVSTR9EHJ4Npugdu3Ly7qyXs5pVPtpbhCNKpkJjSqJhEaVREOjSoKh -USWRIKfRqNauPY1YGtV+mhtEo0pmQqNKIqFRJdHQqJJgaFRJJMhp72lUPc542t+deiO6itOj/xtV -GtV+mhtEo0pmQqNKIqFRJdHQqJJgaFRJJMhpNKpA/NHq3F+XaD2N6jjNDaJRJTOhUSWR0KiSaGhU -STA0qiQS5LT3NKrih7LZLMrytZbBiFqsmVMfl4yqHNVj0age0NwgGlUyExpVEgmNKomGRpUEQ6NK -IkFOe0+jWuKMZ2lEN9dadtmfqGI8fyP2xvcxHmtfa9OguUE0qmQmNKokEhpVEg2NKgmGRpVEgpw2 -3agiTwLva/biLMbiPLU2DZo+CP36MRPijcyIevNpZWWMxVlsMqlAroXXN6ppAXJD0iLkiOvaHOxm -WZzF7sV30NwgGlUyExpVEgmNKomGRpUEQ6NKIkFOm25Uk7d5UR9kY5bGc3Peey3HS/1EFe38pqRr -PdbmkWN0g3Bdi+ukuUFXNqq99+WJ+0cGoVElkdCokmjubFT57LwkNKoCtRkGctpUo2qexnxPutZj -bV8tRt7pq3ZlXCdNH2TjHZpPuz6qc9eXMapog5sM/Ji5XI61udTqH0xKzQ26qlHFfTFq9UZvHJnD -XY3qiI5648jz3NWomoZ61jISS57nrka1V0e9cWQatzSqvTryca1YMgXktGlGteWDcKzta6QPQv8Y -L2FmE0c7L+t8vZX5c+ESRhVj2OZYW7vJuU7PfTuA+KgNuqJRxT0xUSQxFPUG4lIsjo1YMoc7GtWk -I6c3XNfiQNKbi63FkHloXryfUVUNJR1V6g3qLR7R3O2MasprqjWjGgdUby1tkmnczqia3nq+zai3 -cJDTphhV7Jdo9uV9EPrHeCtUcxgf2LWV+RhfbrGX+BtVjOE3yI+Z64pyA/FH9QM0N6hmVDFmz7iz -43qwvrw49vr29eko1OJGsTnsjeuZHTfCSJ+9sa24R4zqrLE9vXE9oC/TkumpGudiUtxB7Cjop6ev -3jgwEtvDGWO34vTFNWRUe8cGvbEjfbZAP15HpqVaXBk7cw69ffXGjvTZyxljt2JFc0NGtdWfpzfW -4nr7bWG5C/3t6S3FCaXeps1BqdV5ZseNMNLnSFwjdtioftI8uyn1BqpxQOsTWlaLHaV3Pb1xYCS2 -l94+J8Yhp51iVP24ua4oNyJ9EPrHeCuc5lbnZX0RY0bVcqh6rNf/1V8cMabnVTaoNKo2v9a4vXFg -JLaF9ZMFIuz16+vTUajFjWJz2BvX6I0DI7G9nDF2Ky7CqLZie+N6QT9JS3q+12/t5TtjDjZmT1+9 -sb1xI4z0ORq3F3umUbW42bEt0IfXTzoKtThjpt6A77tWb/TGgd64Xh4ZuxXbE/eoUT3q0xiN64nt -oUdvwOp9/Iw5WD89fY3G9cT20ttnbxzoiDvNqFpcK7Y3rpfau7IaJ1g93r/2Dq7FjtK7HotrxfbG -jTDS52jcQSxy2jSjan7Gfz8Z8Div4IPQP8YzrWHMdO3K8rUv09gUX8RZDr2VUfVJx9pFbFBpVF8d -u38mCohh7x7VYmtxZB6PGNVXh3p7XR4xqq+O11DSkZb1xtbiyDxGjeoVQK7KOsJ1UZ/jBOotnGGj -+uokHYEOHfVqk0wDOe1TjKrXdKoP8kHoP+mxRDWXz4+utQzzxTF9/wG5Fq7zN6qbOj0v6xAftUFX -M6oA9yQZBhNDUe/xsU405CTuaFQB9JOTT6XeML31xJLnuaNRBV5DrfVQb7Hc1ahmKvUe6i2c2xlV -AJ31aC7HNOLINJDTphlV0ey+D1Jq+xrpg9A/xlshekvIOeaQr7WsjDEs9pJ/o4oySyy5Ts99m1QH -gjboikYV2L2q1Xl648gcbmtUHbV6T28ceZ67GlVAvb0mdzSqYERHI7HkaW5pVEGvjqi3UJDTTjOq -r+iD0D/mVzWiR3V713LEf1h5eaMKME7tRqMv27hauXxwhW3QVY0qeU3ualTJa3Jno0pek7saVfKy -3NaokpcEOW2KUQXIj6Lbbh+U442i/gGaPgj9Yx6H5tOuj+rc9WWMKsBYuNFp4nr012UsymFUk1nV -Mh8zSHODaFTJTGhUSSQ0qiQaGlUSDI0qiQQ5bZpRBaXvKa99bK4P9EE25gZnPDfXODf8tdZfyqgC -tMVNN9IiXL3HbliK1etaXCfNDaJRJTOhUSWR0KiSaGhUSTA0qiQS5LSpRhUgT4p+X9IH2XgrnPFM -ptquBTPZvszKLfZT/kbV2jyaHNDeg7KyL7su456guUE0qmQmzqjyI46cTspff0gvUPyHkT/kjzgp -p+bIbKAp5DjJa+scB73xXUpOQN+pW6NKvZFzQE47NKqPvluRKz0oK/uy6zLuCZo+CGNk42nnvqyn -vLhOP1GVoz6n1zCqn0Rzg5jsyEz0pUqjSkJI+aswqtAcyqk5MhtoCjlONEajSkLQdyqNKokCOe0U -o/pJNH0Qcng2m3LMPzG1Mi3flPn4Iu5TjaqAJNGFtKmWB5DmKmJqbpBS64OQUZKWRHN4oabnBs+c -Pju1eEKewfIXdAa9QXc+v9faEPIoWW85x8E4fNSV8YQ8S9KV6O1b05vLcbV4Qh7F57dDo6rxXUhf -1fIA0lx7fBCeJzOYGWc8V0ZUyzbXisV+yt+ouja/+lb6QV8vi871cIOutB5yHZbn7A/yQk2JDQ8n -ykWH6zhCJgBdCekjTvQG3X2UuzhCZgBNmd7kvZq+DVKOW3RYbUPIM6iu7D/+pv8Yl+qoNzIR0Zl5 -gqpR3fig19dfmutpRrWIMV7GqKZ+Xhv7ve79DdK1SP23ck++xRpb4N5VxiKk5A+il2RUYRwq9YTM -BPlpMarIU/UYQmZh/2GEOY5EYe9UHGv1hDyL9w2HRlWOtfavRtsHlUa1NJ6166M6u5YjjWqbUaP6 -h7//pwmNKumFRpVEQqNKIqFRJdHQqJJTgWlzvuE+RvUPBz5o1Kh6GrGfblSLm9HFI+Z2pE0RO2pU -Vz853YNGlbRQHdKokhCgNwH5yT7iaFTJaZje5Bx6SznO19k5IbNQXW2MKvVGZiJ6Ot2oPqLZkTZF -7LhR9TjjmX6d18rKeivzdcKnGlU5pgGRLCxhnMIDfec5/Vs36Mio6gYJuC/JrFbHXPrblhNSQRMF -jSoJAXpD/lK90aiSUzG9yTn0lnKcr7NzQmahuqJRJacievK+ocuoQoumx1fBzWlZzyyjatdCNq6V -cotNJhXINXxWmp/My+4h2PxDYHqwi8CCtKCjDRIw4LdYpHC4STmRaBziW202cQexHm337b//I9dm -VDs2COvJ8yTkSVRLNKokBOhNoFElIZje5Bx6SznO19k5IbNQXdGoklMRPXUb1Yd8kIA4xLfa9MaV -aLthH4S4bDrt3Jf1lBfX6Seqcvy0/3saGRA34ltZcNeNzDfvg2objJPqpW/E4Ga3+gbWv8yHRpV8 -KqolGlUSAvQm0KiSEExvcg69pRzn6+yckFmormhUyamInh4yqqf5II3Zi9uwxD7kgxCXzaYcqz8x -9WW18uL6NYzqxw3ZveEAcSDVa5yW+TaoX2/K0ibdcBe3ZWmX5pHms7SlUSWfgmqJRpWEAL0JNKok -BNObnENvKcf5OjsnZBaqKxpVciqip0eN6iM+aPEt6zbZ81h5juv0QZhL9kG2nhGj6nHG036dF+bT -m1EfY3Gv96u/tkHFJlkCSTdZbzrGLcpzm3S9kPtIyLn2n8vRh/Vjm6Mxb2VUYYB6TFBvHJmDaul2 -RtV01LOe3jjyPJoPb2lUqbfXw/Qm59BbynG+zs6vyKjeqLkYVFe3NKqjmqvVkTmInh4yquY/EqLN -Up85ZvEmuRz9+fJ0vZStfBDOtf9V31n/H+1WplnqphtVX1bGlOWvaVSdIU0T+ohZyqXMbi76S22k -HDc+XS+k9nkDBO1jqXPlaJfrlHcxqpa0/q3HWozRG0fmoFq6lVE1DZmOjtZk9a04MgfoTbidUe3V -kdUzx8VgepNz6C3lOF9n51fDNNSjI683au58VFe3M6q9OuqNI88henreqKrfMY2uYgrdoj8rR3y6 -XkjXXt9lHwbicp1niRs3qjCbheFcnfdey/EV/u9plhta3hy7QYrGpJtpZXpzcyzq0vXCEqvzxLn2 -sfSL8qVuiffjChOMqv2v//b8X9HMjuvGEpbH19t6fH3PC7ib5R4v1Oo9s+NGGB17JLZWJ+i9HzGq -s3VkcT2xXXj9GCjHWg2LK/H9PIzd85719MaBkdgezhjb4nZi9f6PGNURbSxx7dipegM1HXm9gVqc -xT5N37oXemNH+uzljLEPYvXejxhV00aPPkbjemK7gG72clwZV+LrH8buec96BuLwDVWte5SBsYdj -a3WC7sGIUR3VUa3OY3E9sYfYnKGbHr2Nxg6BtfSsx+Jasb1xo/T2+WSc3M8ZRnVB+k86XY4oy9c2 -tpbnWKD9LbGY1175Unc4vsTMMarl9VGdu355o5pupqAx6bpgFZuuF3zMR53G2galMit30Kgu2Hp8 -PY3qARY3ElurE/Te39Ko+pclyrFWw+KMl9cbGInt4YyxLW4nVu//rY2qacnrDfi4UptP07fuhd7Y -kT57OWPsg1i99yNGFX0t+qjVrenVkcX1xHZR5jfTUbkmX+/jnsbuec96BuIkH9TrHmVg7OHYWp2g -e3CGUcW4PXHWX1+fB9icoRuvN5z7ek8ZC/Zih8Ba+u5RX2xv3Ci9fT4ZJ/fzdKNasIoF2p+P2ZTb -fOX8cHyJGTeqHmc8d3/118rd0f8962saVSnzN1JjlpsruKPFp7J0vbDELJvwUaex1q/WL23c+BOM -6iXwCcuSVo0y7ij2JSj2OJRJY6uWRozq5667A6zBf8jVYgzTWU/sp3MTvQnjv/p7Ac15ajHA6qm3 -DiaMb3qTc+gt5ThfZ+dXw2uopSOL6Ykd4sWfyVM5WLvqasSojhF4323OpYZMR7U1oa5Xm0NQbyvk -3s8xqvjuc/1rjPc0aXz0p/G5PMXqOdquyiXWyg253psD6k8zqum6jAMu9mWMajKG7sbYTdSHzW5g -LjfSzXVt0vXCOlbOtY9UZ+X2MCM21wvvYlRBb9KyuKkJjuyiWhozqhdgREe9ceR5oDdh3KhegFG9 -UXPnY3qTc+gt5ThfZ+dXpFdHvXFkDqqr84zqJ9Gro9448hyip+eNqmiz0OdHjJYb6M+3SdcLuY+E -nNf6MP0j1uqzJ1vixo2qGs6qEa2VafnKyGpMMqpyfIn/exo1hyun7zdI6nETczlAf9UNcqbW5qrl -tf4Tru1bGVXymqiWbmdUyWsCvQm3NKrk9TC9yTn0lnKcr7NzQmahurqdUSWvhejpIaPa44OceUzl -AP0d+SCLEz+zxLk+XP8Ja+vmgvLHjKocm0Z1r9xdv5RRxc3DTbUb5kB5umEgxyzXqX0qW1hizahq -LM5TbOWnsh5ta/NBexpV8imolmhUSQjQm4D8aR9xNKrkNExvcg69pRzn6+yckFmormhUyamInh42 -qk0fhBipzzFyRNvcTtHYJQ5IbG4/4oOWuHGj6nFG1P8678qcFteIs1gZd0Gu9X6lNbl7qO7U/fv1 -15/8+uv3P/n19//6ya+/+/4nuK7xndR9/69//eRfP/yQwfVHG+njq1z/64cF9Idr9L1BylGP9ine -jnqe2mrsKq6IPRwDSF1q/xGPeX75Imt26/nBrec7aYd6rCn3cTgGIf18FY2B77zGCTkJ6CxpDnqj -5sjJZL0xx5EQFp3lHFeNIeQ5Wj4I5YtvQLzosMujSDnqLVb6yf4G5V7PKU7rcqyeH44BpM6PI+df -vpO5rnzQv7Y+SH3dF5x/lfMj0F+tvIbey5XPwvyyl0Rd+W8vsKDfqCqHN07xNy8h1yirxhWxKa4S -u0FibBw50qiSz4QfcSQSGlUSCY0qiWXRWc5x1RhCnqPlg7ZGVWlqUmPM/9ix1i7FWYyS4iqxGyTG -xpHjdKPqaZlW1KPvSKOaNijFuJsB0s1pYLGeWhzYxB3Elrg2XzBfGlXySfAjjkTijcOSA+txhMyA -RpXEsugsaY56I7NRTSXD1vJB2ahqu149WqynFgc2cQexJa7N1ge9m1HN6M1pkW4cznvajMQW6Djb -/5LQMKpol+dIyHOsPuK+r8cQMgsaVRIJjSoJRTRGo0pOQzXV74PUNzzkgyy+1a43roKtB/N91KjW -jOhI2a+/W/r+HKO6LPrVSXPtNKrYzLzBrg9CRjEt4WW6fMQt16mckMmkF5FQfsRZea0NIY+SdeVz -nL43qTdyBqY3y3H2H0aoNzINe2eqx+nxQVfQX5rno0b1Wew+fYpRNXN3BXo26ErrIZfhq+gLH3If -iYCQ84DOTG9H+Z2QGUBjX79fNJc+8ioxhMzi19+tc1wthpApiCe4rw+q/MAuxckR5rL3J6ZH5Ub6 -qaqg94pGtQbmudogGlUSgOopv1Sv9tyQa6F5DjrLRtWVV9sQ8iiqq2RUNcel7wnqjZyB6U3Ol3fq -9/x2I+eheruvD2oY1RYtc+rxc5AjjWqNzQbRqJIAVE/LS/WCzw25FprnoDMaVXI6qiu8V2lUyemY -3uR8eafSqJITUb3d1wcdGVUg50bvT1f3yj71b1Qvu0E0qiQA1dPyUr3gc0OuheY56OzDqIrmtLza -hpBHUV3hvZp/9Rc5jnojZwG9yTG/U/ntRs5C89h9fdCAUW3R+ulqMquC3isa1RqbDaJRJQGonvJL -9WrPDbkWmuegMxpVcjqqK7xXaVRJCNCbHPM7ld9u5Cw0j93XB9GovhabDaJRJQGonvJL9WrPDbkW -muegMxpVcjqqK7xXaVRJCNCbHPM7ld9u5Cw0j93XB9GovhabDaJRJQGonvJL9WrPDbkWmuegMxpV -cjqqK7xXaVRJCNCbHPM7ld9u5Cw0j93XB/FvVF+LzQbRqJIAVE/5pXq154ZcC81z0BmNKjkd1RXe -qzSqJAToTY75ncpvN3IWmsfu64OOjKocZ+LnIEca1RqbDaJRJQGonvJL9WrPDbkWmuegMxpVcjqq -K7xXaVRJCNCbHPM7ld9u5Cw0j93XBzWMau9PTI/KjfRTVUHvFY1qjc0G0aiSAFRP+aV6teeGXAvN -c9AZjSo5HdUV3qs0qiQE6E2O+Z3KbzdyFprH7uuDnvwb1ZY59fg5yJFGtcZmg2hUSQCqp/xSvdpz -Q66F5jnojEaVnI7qCu9VGlUSAvQmx/xO5bcbOQvNY/f1Qfwb1ddis0E0qiQA1VN+qV7tuSHXQvMc -dEajSk5HdYX3Ko0qCQF6k2N+p/LbjZyF5rH7+qAnf6Lqaf10NZlVQe8VjWqNzQbRqJIAVE/5pXq1 -54ZcC81z0BmNKjkd1RXeqzSqJAToTY75ncpvN3IWmsfu64NoVF+LzQbRqJIAVE/5pXq154ZcC81z -0BmNKjkd1RXeqzSqJAToTY75ncpvN3IWmsfu64P4N6qvxWaDaFRJAKqn/FK92nNDroXmOeiMRpWc -juoK71UaVRIC9CbH/E7ltxs5C81j9/VB/BvV12KzQTSqJADVU36pXu25IddC8xx0RqNKTkd1hfcq -jSoJAXqTY36n8tuNnIXmsfv6oCOjKscRWj9dTWZV0HtFo1pjs0E0qiQA1VN+qV7tuSHXQvMcdEaj -Sk5HdYX3Ko0qCQF6k2N+p/LbjZyF5rH7+qCGUe39ielRuUGj2sFmg2hUSQCqp/xSvdpzQ66F5jno -jEaVnI7qCu9VGlUSAvQmx/xO5bcbOQvNY/f1QSf86m+NZFL5q79tNhtEo0oCUD3ll+rVnhtyLTTP -QWc0quR0VFd4r9KokhCgNznmdyq/3chZaB67rw+iUX0tNhtEo0oCUD3ll+rVnhtyLTTPQWc0quR0 -VFd4r9KokhCgNznmdyq/3chZaB67rw8aMKotWqY1mVVB7xWNao3NBtGokgBUT/mlerXnhlwLzXPQ -GY0qOR3VFd6rNKokBOhNjvmdym83chaax+7rg2hUX4vNBtGokgBUT/mlerXnhlwLzXPQGY0qOR3V -Fd6rNKokBOhNjvmdym83chaax+7rg/irv6/FZoNoVEkAqqf8Ur3ac0OuheY56IxGlZyO6grvVRpV -EgL0Jsf8TuW3GzkLzWP39UEnGNW9MhrVDjYbRKNKAlA95Zfq1Z4bci00z0FnNKrkdFRXeK/SqJIQ -oDc55ncqv93IWWgeu68POjKqchyhZlA9yawKeq9oVGtsNohGlQSgesov1as9N+RaaJ6DzmhUyemo -rvBepVElIUBvcszvVH67kbPQPHZfH0Sj+lpsNohGlQSgesov1as9N+RaaJ6DzmhUyemorvBepVEl -IUBvcszvVH67kbPQPHZfH8Rf/X0tNhtEo0oCUD3ll+rVnhtyLTTPQWc0quR0VFd4r9KokhCgNznm -dyq/3chZaB67rw/i/5jSa7HZIBpVEoDqKb9Ur/bckGuheQ46o1Elp6O6wnuVRpWEAL3JMb9T+e1G -zkLz2H190IBRbdEyrcmsCnqvaFRrbDaIRpUEoHrKL9WrPTfkWmieg85oVMnpqK7wXqVRJSFAb3LM -71R+u5Gz0Dx2Xx9Eo/pabDaIRpUEoHrKL9WrPTfkWmieg85oVMnpqK7wXqVRJSFAb3LM71R+u5Gz -0Dx2Xx/EX/19LTYbRKNKAlA95Zfq1Z4bci00z0FnNKrkdFRXeK/SqJIQoDc55ncqv93IWWgeu68P -olF9LTYbRKNKAlA95Zfq1Z4bci00z0FnNKrkdFRXeK/SqJIQoDc55ncqv93IWWgeu68POjKqchwx -py3TmsyqoPeKRrXGZoNoVEkAqqf8Ur3ac0OuheY56IxGlZyO6grvVRpVEgL0Jsf8TuW3GzkLzWP3 -9UENozoCjeoENhtEo0oCUD3ll+rVnhtyLTTPQWc0quR0VFd4r9KokhCgNznmdyq/3chZaB67rw86 -4Vd/98r4q78dbDaIRpUEoHrKL9WrPTfkWmieg85oVMnpqK7wXqVRJSFAb3LM71R+u5Gz0Dx2Xx80 -YFSfxc9BjjSqNTYbRKNKAlA95Zfq1Z4bci00z0FnNKrkdFRXeK/SqJIQoDc55ncqv93IWWgeu68P -mmhUaz9J9aSfqgp6r2hUa2w2iEaVBKB6yi/Vqz035FponoPOaFTJ6aiu8F6lUSUhQG9yzO9UfruR -s9A8dl8fRKP6Wmw2iEaVBKB6yi/Vqz035FponoPOaFTJ6aiu8F6lUSUhQG9yzO9UfruRs9A8dl8f -dMLfqNZIJpV/o9pms0E0qiQA1VN+qV7tuSHXQvMcdEajSk5HdYX3Ko0qCQF6k2N+p/LbjZyF5rH7 -+qAnf6Laa1SBn4Mc+4zqb/7y49/8/p8//s1fhN//5ce4roG6v/zznz/+5w8/ZHCd23xZx78smKfw -sZ5//lg2KK/n93k9v9+2JeRRVE+/l+fsL/5Zu8pzQ66F5jnkM+gNuvuNK6+2IeRRVFfQGLSW9IYc -R72RszC9ic6S5uQ71so3sYQ8g+ax+/qgH+o+COD89/L9Cv5i164sX/syLU/xRdxv5DzNYTkm72nv -Cxtz8w+BNKrbDaJRJTOhUSWRaJ6jUSUhqK5oVEkYpjfRGY0qORXNY/f1QS2jqqyMKoABBWVZeS2Y -aaVR7WCzQTSqJAAaVRKJ5jkaVRKC6opGlYRhehOd0aiSU9E8dl8f1GlUE/Ita2Z09RNTofoTVy1f -GVVB7xWNao3NBtGokgBoVEkkmudoVEkIqisaVRKG6U10RqNKTkXz2H19UI9RlW/Y6nmt7uCaRrWD -zQbRqJIAaFRJJJrnaFRJCKorGlUShulNdEajSk5F89h9fVDn36im873rozp3TaPawWaDaFRJADSq -JBLNczSqJATVFY0qCcP0JjqjUSWnonnsvj4oyqjKkUa1g80G0aiSAGhUSSSa52hUSQiqKxpVEobp -TXRGo0pORfPYfX3QpL9RrcVYHP9GdYDNBtGokgBoVEkkmudoVEkIqisaVRKG6U10RqNKTkXz2H19 -0IhRBfI9uzo/utYyGtUBNhtEo0oCoFElkWieo1ElIaiuaFRJGKY30RmNKjkVzWP39UGdv/qbzGZR -lq99mZavfuKqMcmoylG/iWlUa2w2iEaVBECjSiLRPEejSkJQXdGokjBMb6IzGlVyKprH7uuDZv5E -tUTr+RPVATYbRKNKAqBRJZFonqNRJSGormhUSRimN9EZjSo5Fc1j9/VBk/5GtfoTVy2nUR1gs0E0 -qiQAGlUSieY5GlUSguqKRpWEYXoTndGoklPRPHZfH9T5q7/pfO/6qM5d06h2sNkgGlUSAI0qiUTz -HI0qCUF1RaNKwjC9ic5oVMmpaB67rw+KMqpypFHtYLNBNKokABpVEonmORpVEoLqikaVhGF6E53R -qJJT0Tx2Xx/0zN+oltc437uWI41qB5sNolElAdCokkg0z9GokhBUVzSqJAzTm+iMRpWciuax+/qg -SX+jWouxOP6N6gCbDaJRJQHQqJJINM/RqJIQVFc0qiQM05vojEaVnIrmsfv6oJ5f/ZVjMpu+TMtX -1MrddTKqctRvYhrVGpsNolElAdCokkg0z9GokhBUVzSqJAzTm+iMRpWciuax+/qgzr9RbRpVK9Py -1U9cNYZGtYPNBtGokgBoVEkkmudoVEkIqisaVRKG6U10RqNKTkXz2H190DN/o4pzvV4ZWV8n8Fd/ -B9hsEI0qCYBGlUSieY5GlYSguqJRJWGY3kRnNKrkVDSP3dcHzf4bVSt3RxrVATYbRKNKAqBRJZFo -nqNRJSGormhUSRimN9EZjSo5Fc1j9/VBnb/6m873ro/q3DWNagebDaJRJQHQqJJINM/RqJIQVFc0 -qiQM05vojEaVnIrmsfv6oCijKkca1Q42G0SjSgKgUSWRaJ6jUSUhqK5oVEkYpjfRGY0qORXNY/f1 -Qc/8jWrrGud2LUca1Q42G0SjSgKgUSWRaJ6jUSUhqK5oVEkYpjfRGY0qORXNY/f1QbP/RrW4Rhz/ -RnWAzQbRqJIAaFRJJJrnaFRJCKorGlUShulNdEajSk5F89h9fVDPr/7KMZlNX6blK8ry4joZVTnq -NzGNao3NBtGokgBoVEkkmudoVEkIqisaVRKG6U10RqNKTkXz2H19UKdRXYGynvLimka1g80G0aiS -AGhUSSSa52hUSQiqKxpVEobpTXRGo0pORfPYfX3QpF/9rf7EFbhY/upvB5sNolElAdCokkg0z9Go -khBUVzSqJAzTm+iMRpWciuax+/qgZ4xqUbaKc0eLpVHtYLNBNKokABpVEonmORpVEoLqikaVhGF6 -E53RqJJT0Tx2Xx/U86u/4Oj6qM5d06h2sNkgGlUSAI0qiUTzHI0qCUF1RaNKwjC9ic5oVMmpaB67 -rw96xKge1e1dy5FGtYPNBtGokgBoVEkkmudoVEkIqisaVRKG6U10RqNKTkXz2H190KS/Ua3FGPwb -1QE2G0SjSgKgUSWRaJ6jUSUhqK5oVEkYpjfRGY0qORXNY/f1QTONanmt0KgOsNkgGlUSAI0qiUTz -HI0qCUF1RaNKwjC9ic5oVMmpaB67rw/q+dVfOdr/IFIu8xTlObaoT0ZVjvpNTKNaY7NBNKokABpV -EonmORpVEoLqikaVhGF6E53RqJJT0Tx2Xx/UaVRXoMxTlu9c06h2sNkgGlUSAI0qiUTzHI0qCUF1 -RaNKwjC9ic5oVMmpaB67rw+a9Ku/m5+iunKL5a/+drDZIBpVEgCNKolE8xyNKglBdUWjSsIwvYnO -aFTJqWgeu68PGv2J6tE1zg1/rfU0qh1sNohGlQRAo0oi0TxHo0pCUF3RqJIwTG+iMxpVciqax+7r -g3qMKti79jRiaVQ72GwQjSoJgEaVRKJ5jkaVhKC6olElYZjeRGc0quRUNI/d1wc9YlSP6vau5Uij -2sFmg2hUSQA0qiQSzXM0qiQE1RWNKgnD9CY6o1Elp6J57L4+aNLfqNZiDP6N6gCbDaJRJQHQqJJI -NM/RqJIQVFc0qiQM05vojEaVnIrmsfv6oGf+RhXnR9daRqM6wGaDaFRJADSqJBLNczSqJATVFY0q -CcP0JjqjUSWnonnsvj6o81d/k9ksyvK1L9PY1U9cNSYZVTnqNzGNao3NBtGokgBoVEkkmudoVEkI -qisaVRKG6U10RqNKTkXz2H190CO/+uvZq3fXq5+oyjmN6gGbDaJRJQHQqJJINM/RqJIQVFc0qiQM -05vojEaVnIrmsfv6oAeM6uYnplrmY3z5yqgKeq9oVGtsNohGlQRAo0oi0TxHo0pCUF3RqJIwTG+i -MxpVciqax+7rg0b+RhXn/nqv3sr8uUCj2sFmg2hUSQA0qiQSzXM0qiQE1RWNKgnD9CY6o1Elp6J5 -7L4+qPNvVNN57drTiKVR7WCzQTSqJAAaVRKJ5jkaVRKC6opGlYRhehOd0aiSU9E8dl8f9IhRPao7 -iKVR7WCzQTSqJAAaVRKJ5jkaVRKC6opGlYRhehOd0aiSU9E8dl8f9Mj/mJKcl3+jWouxOP6N6gCb -DaJRJQHQqJJINM/RqJIQVFc0qiQM05vojEaVnIrmsfv6oBGjCuR7dnV+dK1lNKoDbDaIRpUEQKNK -ItE8R6NKQlBd0aiSMExvojMaVXIqmsfu64M6f/U3mc2iLF/7Mo01c7qKk/M0h+VIo1pjs0E0qiQA -GlUSieY5GlUSguqKRpWEYXoTndGoklPRPHZfH/Tgr/4eXluZnvMnqgNsNohGlQRAo0oi0TxHo0pC -UF3RqJIwTG+iMxpVciqax+7rgx4wqrs/MS3LitiHjOqXrz/68vUfP/rmH//40ZdvBFxX+Ebq/iEx -//jhhx/JghK4/kba1uJfHazn643WQy7AN19/9FX0Bd1Bf7iuxhEyCeQz6A26+4Z6IycDjaUcl/TG -dyk5GdGb5Th+u5GzMR9knuH2PgjfDF8ngz7tW0Sf363/3PyTwmrgGtsgGlVCHkQeShpVEgnyGY0q -iYJGlYSiH7o0qiQC80HmGW7vg/DNUDObz4A+7VtEn18a1R2aG0TIbOShpFElkSCf0aiSKGhUSSj6 -oUujSiIwH2Se4fY+CN8MNbP5DP47RJ9fGtUdmhtEyGzsIw46w7PmH1hCTgD5jEaVREGjSkLRD10a -VRKB+SDzDLf3QfhmqJnNkt444L9D9PmlUd2huUGEzEYeShpVEgnyGY0qiYJGlYSiH7o0qiQC80Hm -GW7vg/DNUDObz+C/Q/T5pVHdoblBhMzGPuKgMzxr/oEl5ASQz2hUSRQ0qiQU/dClUSURmA8yz3B7 -H4RvhprZfAb/HaLPL43q+UeaqQAAJ5FJREFUDs0NImQ29hEHneFZ8w8sISeAfEajSqKgUSWh6Icu -jSqJwHyQeYbb+yB8M9TM5jP47xB9fmlUd2huECGzsY846AzPmn9gCTkB5DMaVRIFjSoJRT90aVRJ -BOaDzDPc3gfhm6FmNp/Bf4fo80ujukNzgwiZjX3EQWd41vwDS8gJIJ/RqJIoaFRJKPqhS6NKIjAf -ZJ7h9j4I3ww1s/kM6NO+RfT5pVHdoblBhMxGHkoaVRIJ8hmNKomCRpWEoh+6NKokAvNB5hlu74Pw -zVAzm8/gv0P0+aVR3aG5QYTMxj7ioDM8a/6BJeQEkM9oVEkUNKokFP3QpVElEZgPMs9wex+Eb4aa -2XwG/x2izy+N6g7NDSJkNvYRB53hWfMPLCEngHxGo0qioFEloeiHLo0qicB8kHmG2/sgfDPUzGZJ -bxzw3yH6/NKo7tDcIEJmIw8ljSqJBPmMRpVEQaNKQtEPXRpVEoH5IPMMt/dB+Gaomc1n8N8h+vzS -qO7Q3CBCZmMfcdAZnjX/wBJyAshnNKokChpVEop+6NKokgjMB5lnuL0PwjdDzWw+g/8O0eeXRnWH -5gYRMhv7iIPO8Kz5B5aQE0A+o1ElUdCoklD0Q5dGlURgPsg8w+19EL4ZambzGfx3iD6/NKo7NDeI -kNnYRxx0hmfNP7CEnADyGY0qiYJGlYSiH7o0qiQC80HmGW7vg/DNUDObz4A+7VtEn18a1R2aG0TI -bOShpFElkSCf0aiSKGhUSSj6oUujSiIwH2Se4fY+CN8MNbP5DOjTvkX0+aVR3aG5QYTMRh5KGlUS -CfIZjSqJgkaVhKIfujSqJALzQeYZbu+D8M1QM5vP4L9D9PmlUd2huUGEzMY+4qAzPGv+gSXkBJDP -aFRJFDSqJBT90KVRJRGYDzLPcHsfhG+Gmtl8Bv8dos8vjeoOzQ0iZDb2EQed4VnzDywhJ4B8RqNK -oqBRJaHohy6NKonAfJB5htv7IHwz1MxmSW8c8N8h+vzSqO7Q3CBCZiMPJY0qiQT5jEaVREGjSkLR -D10aVRKB+SDzDLf3QfhmqJnNZ/DfIfr80qju0NwgQmZjH3HQGZ41/8AScgLIZzSqJAoaVRKKfujS -qJIIzAeZZ7i9D8I3Q81sPoP/DtHnl0Z1h+YGETIb+4iDzvCs+QeWkBNAPqNRJVHQqJJQ9EOXRpVE -YD7IPMPtfRC+GWpm8xn8d4g+vzSqOzQ3iJDZ2EccdIZnzT+whJwA8hmNKomCRpWEoh+6NKokAvNB -5hlu74PwzVAzm8+APu1bRJ9fGtUdmhtEyGzkoaRRJZEgn9GokihoVEko+qFLo0oiMB9knuH2Pgjf -DDWz+Qzo075F9PmlUd2huUGEzEYeShpVEgnyGY0qiYJGlYSiH7o0qiQC80HmGW7vg/DNUDObz+C/ -Q/T5pVHdoblBhMzGPuKgMzxr/oEl5ASQz2hUSRQ0qiQU/dClUSURmA8yz3B7H4RvhprZfAb/HaLP -L43qDs0NImQ29hEHneFZ8w8sISeAfEajSqKgUSWh6IcujSqJwHyQeYbb+yB8M9TM5jP47xB9fmlU -d2huECGzsY846AzPmn9gCTkB5DMaVRIFjSoJRT90aVRJBOaDzDPc3gfhm6FmNp/Bf4fo80ujukNz -gwiZjX3EQWd41vwDS8gJIJ/RqJIoaFRJKPqhS6NKIjAfZJ7h9j4I3ww1s/kM/jtEn18a1R2aG0TI -bOwjDjrDs+YfWEJOAPmMRpVEQaNKQtEPXRpVEoH5IPMMt/dB+Gaomc1n8N8h+vzSqO7Q3CBCZmMf -cdAZnjX/wBJyAshnNKokChpVEop+6NKokgjMB5lnuL0PwjdDzWw+A/q0bxF9fmlUd2huECGzkYeS -RpVEgnxGo0qioFEloeiHLo0qicB8kHmG2/sgfDPUzOYzoE/7FtHnl0Z1h+YGETIbeShpVEkkyGc0 -qiQKGlUSin7o0qiSCMwHmWe4vQ/CN0PNbD6D/w7R55dGdYfmBhEyG/uIg87wrPkHlpATQD6jUSVR -0KiSUPRDl0aVRGA+yDzD7X0QvhlqZvMZ/HeIPr80qjs0N4iQ2dhHHHSGZ80/sIScAPIZjSqJgkaV -hKIfujSqJALzQeYZbu+D8M1QM5vP4L9D9PmlUd2huUGEzMY+4qAzPGv+gSXkBJDPaFRJFDSqJBT9 -0KVRJRGYDzLPcHsfhG+Gmtk8otXGf4fo80ujukNzgwiZjTyUNKokEuQzGlUSBY0qCUU/dGlUSQTm -g8wz3N4H4ZuhZjafwX+H6PNLo7pDc4MImY19xEFneNb8A0vICSCf0aiSKGhUSSj6oUujSiIwH2Se -4fY+CN8MNbP5DP47RJ9fGtUdmhtEyGzsIw46w7PmH1hCTgD5jEaVREGjSkLRD10aVRKB+SDzDLf3 -QfhmqJnNZ0Cf9i2izy+N6g7NDSJkNvJQ0qiSSJDPaFRJFDSqJBT90KVRJRGYDzLPcHsfhG+Gmtl8 -BvRp3yL6/NKo7tDcIEJmIw8ljSqJBPmMRpVEQaNKQtEPXRpVEoH5IPMMt/dB+Gaomc1n8N8h+vzS -qO7Q3CBCZmMfcdAZnjX/wBJyAshnNKokChpVEop+6NKokgjMB5lnuL0PwjdDzWw+g/8O0eeXRnWH -5gYRMhv7iIPO8Kz5B5aQE0A+o1ElUdCoklD0Q5dGlURgPsg8w+19EL4ZambzGfx3iD6/NKo7NDeI -kNnYRxx0hmfNP7CEnADyGY0qiYJGlYSiH7o0qiQC80HmGW7vg/DNUDObz+C/Q/T57TCq3//Xl+++ -/6/vvl+O6brCd1L3/Q8//JcsJPO9tEF5Lf7VSeuR+a/WI3ys57tVPCHPsegJz9mVnxtyLSzPtfI7 -ITOA3qC1BPVGzgZ6M81Rb+Rk0vvUeYbkGy6svbQemf9qPUJeD44b5Fs2UV7XyuxakHFyufdXKE91 -ep3Y/FsCWh8yb7dBNKpkKoue8Jxd+bkh18LyXCu/EzID6C2ZBuY4EgH0Zpqj3sjJpPep8wzJN1xY -e2k9Mv/VeoS8Hhw3qNmUdqvrWowvS/FaTqPaR3ODaFTJVBY94Tm78nNDroXluVZ+J2QG0FsyDcxx -JALozTRHvZGTSe9T5xmSb7iw9tJ6ZP6r9Qh5PTgm1GDuXntasXJOo9pHc4NoVMlUFj3hObvyc0Ou -heW5Vn4nZAbQWzINzHEkAujNNEe9kZNJ71PnGZJvuLD20npk/qv1CHk9OCZgNEHt+qjOXcs4+dzP -A+WpzpVt/y0BrQ+Zt9sgGlUylUVPeM6u/NyQa2F5rpXfCZkB9JZMA3MciQB6M81Rb+Rk0vvUeYbk -Gy6svbQemf9qPUJeD44JNZir66O6SqyMk+v8PFCe6lzZ9t8S0PqQebsNolElU1n0hOfsys8NuRaW -51r5nZAZQG/JNDDHkQigN9Mc9UZOJr1PnWdIvuHC2kvrkfmv1iPk9eC4Qc3mpqy8LspknFzu/RXK -U51eJzb/loDWh8zbbRCNKpnKoic8Z1d+bsi1sDzXyu+EzAB6S6aBOY5EAL2Z5qg3cjLpfeo8Q/IN -F9ZeWo/Mf7UeIa8Hx4QaTIndlOXzWvnOdRpfj+jT+tV5qTn1/5aA1ofM220QjSqZyqInPGdXfm7I -tbA818rvhMwAekumgTmORAC9meaoN3Iy6X3qPEPyDRfWXlqPzH+1HiGvB8eEGk2JXZcZO2UpvhKT -xtcjYqxfnZeaU/9vCWh9yLzdBtGokqksesJzduXnhlwLy3Ot/E7IDKC3ZBqY40gE0JtpjnojJ5Pe -p84zJN9wYe2l9cj8V+sR8npw3ADTCeRc2n5cC+m6KLN2Ptb7K5RbOyvb/lsCWh8yb7dBNKpkKoue -8Jxd+bkh18LyXCu/EzID6C2ZBuY4EgH0Zpqj3sjJpPep8wzJN1xYe2k9Mv/VeoS8HhwTajBX5zXK -+p22NKp9NDeIRpVMZdETnrMrPzfkWliea+V3QmYAvSXTwBxHIoDeTHPUGzmZ9D51niH5hgtrL61H -5r9aj5DXg2NCDebq3Jftxe5c06j20dwgGlUylUVPeM6u/NyQa2F5rpXfCZkB9JZMA3MciQB6M81R -b+Rk0vvUeYbkGy6svbQemf9qPcKyHjWXUr8CZWW5K8v538qLsu9oVPs53iBAo0pmQqNK4rE818rv -hMwAevv4IKnHEDIN6M00R72Rk0nvU+cZkm+4sPbSemT+q/UIy3qcUZWyBM4z67L0DP6w3J/v5Zjf -A6l8KaveKylLrMo3/5YAdHb0IfNeGwRoVMlMaFRJPJbnWvmdkBlAb/njhHojZwO9meaoN3Iy6X3q -PEPyDRfWXlqPzH+1HiGvB0cxpDCaAMbUztO1mlW7Xkxqg3y/1GPJNY3qDs0NolElU6FRJfFYnmvl -d0JmAL0l08AcRyKA3kxz1Bs5mfQ+dZ4h+YYLay+tR+a/Wo+Q14Mj1ocypTSrnmREW+T7RaPapLlB -NKpkKjSqJB7Lc638TsgMoLdkGpjjSATQm2mOeiMnk96nzjMk33Bh7aX1yPxX6xHyenDE+lAmfPy6 -rzvXurpRXe7XCulv+RahUW3S3CAaVTIVGlUSj+W5Vn4nZAbQWzINzHEkAujNNEe9kZNJ71PnGZJv -uLD20npk/qv1CHk9OOqv/ppB/U6vs3F118mINvj4FqFRbdLcIBpVMhUaVRKP5blWfidkBtBbMg3M -cSQC6M00R72Rk0nvU+cZkm+4sPbSemT+q/UIeT04VoxpBmVaVzeqy/1aIeMt3yI0qk2aG0SjSqZC -o0risTzXyu+EzAB6S6aBOY5EAL2Z5qg3cjLpfeo8Q/INF9ZeWo/Mf7UeIa8HR6wPZYr9ZBX4crA1 -prguyqS/5VuERrVJc4NoVMlUaFRJPJbnWvmdkBlAb8k0MMeRCKA30xz1Rk4mvU+dZ0i+4cLaS+uR -+a/WI+T14Ij1oUwozWlpWJMRbfDxLUKj2qS5QTSqZCo0qiQey3Ot/E7IDKC3ZBqY40gE0Jtpjnoj -J5Pep84zJN9wYe2l9cj8V+sR8npwxPpQJtCoBtPcIBpVMhUaVRKP5blWfidkBtBbMg3McSQC6M00 -R72Rk0nvU+cZkm+4sPbSemT+q/UIeT04Yn0oE2hUg2luEI0qmQqNKonH8lwrvxMyA+gtmQbmOBIB -9Gaao97IyaT3qfMMyTdcWHtpPTL/1XqEvB4csT6UKbt/oyrX/BvVyTQ3iEaVTIVGlcRjea6V3wmZ -AfSWTANzHIkAejPNUW/kZNL71HmG5BsurL20Hpn/aj1CXg+OWB/KBDOoGZRpHUhGdMVyv1ZIf8u3 -CI1qk+YG0aiSqdCokngsz7XyOyEzgN6SaWCOIxFAb6Y56o2cTHqfOs+QfMOFtZfWI/NfrUfI68FR -DGkyqQDneu2N675R3fLxLUKj2qS5QTSqZCo0qiQey3Ot/E7IDKC3ZBqY40gE0JtpjnojJ5Pep84z -JN9wYe2l9cj8V+sR8npwxPpQJuSfoqpB5U9UT6a5QTSqZCo0qiQey3Ot/E7IDKC3ZBqY40gE0Jtp -jnojJ5Pep84zJN9wYe2l9cj8V+sR8npwxPpQpnij6ssB/0Z1Ms0NolElU6FRJfFYnmvld0JmAL0l -08AcRyKA3kxz1Bs5mfQ+dZ4h+YYLay+tR+a/Wo+Q14Mj1ocyoTSnpWFNRrTBx7cIjWqT5gbRqJKp -0KiSeCzPtfI7ITOA3pJpYI4jEUBvpjnqjZxMep86z5B8w4W1l9Yj81+tR8jrwRHrQ5lAoxpMc4No -VMlUaFRJPJbnWvmdkBlAb8k0MMeRCKA30xz1Rk4mvU+dZ0i+4cLaS+uR+a/WI+T14Ij1oUygUQ2m -uUE0qmQqNKokHstzrfxOyAygt2QamONIBNCbaY56IyeT3qfOMyTfcGHtpfXI/FfrEfJ6cMT6UKbs -/o2qXPNvVCfT3CAaVTIVGlUSj+W5Vn4nZAbQWzINzHEkAujNNEe9kZNJ71PnGZJvuLD20npk/qv1 -CHk9OKohNYPK/3uaQJobRKNKpkKjSuKxPNfK74TMAHpLpoE5jkQAvZnmqDdyMul96jxD8g0X1l5a -j8x/tR4hrwdHGtXPo7lBNKpkKjSqJB7Lc638TsgMoLdkGpjjSATQm2mOeiMnk96nzjMk33Bh7aX1 -yPxX6xHyenDE+lCm8P+eJpDmBtGokqnQqJJ4LM+18jshM4DekmlgjiMRQG+mOeqNnEx6nzrPkHzD -hbWX1iPzX61HyOvBEetDmUKjGkhzg2hUyVRoVEk8luda+Z2QGUBvyTQwx5EIoDfTHPVGTia9T51n -SL7hwtpL65H5r9Yj5PXgiPWhTCjNaWlYkxFt8PEtQqPapLlBNKpkKjSqJB7Lc638TsgMoLdkGpjj -SATQm2mOeiMnk96nzjMk33Bh7aX1yPxX6xHyenDE+lAm0KgG09ygqlE9w7zSEL8HNKokHstzrfxO -yAygt2QamONIBNCbaY56IyeT3qfOMyTfcGHtpfXI/FfrEfJ6cMT6UFZha1SX+7NmXfbxLUKj2qS5 -QTSQZCo0qiQey3Ot/E7IDKC3ZBqY40gE0JtpjnojJ5Pep84zJN9wYe2l9cj8V+sR8npwxPpQpvD/ -RzWQ5gaVRhXlhi9/hjP6JC8KjSqJx/JcK78TMgPoLZkG5jgSAfRmmqPeyMmk96nzDMk3XFh7aT0y -/9V6hLweHNWQ1gxqeZ2MaIOPb5FPMaqFsXtZMM/vGhu0xOQ1Sdny8sWmLNfbfnvxfWLTZvRJXp9l -3xcdCXm/VQ+ETGXJX9BZ1lvSHPVGzkK0ZXrLOW7RYT2ekGdYdLXKca6ckHkseQwa+16+2Ve+4ZLa -8+uhUX1BejZoiclrkjLbiGQsn1qztEF73Ltqn+SeLFpZf8R9lBMyF+iKRpVEsrzb1jlu0WE9npBn -WHS1ynGunJB5LHkMGns/oyrrQ5lyvf97GpkAytOi9PjqpDXqOW6YXxPO1wlP41GGOuXjpuqN1v6O -WOIVlBWbvKrXmJ5+yTXIewnNQU+mMysnZCI5f1BvJIhNjivLCZnIRm/MceQkoCnT1cYH4Vvexdn5 -K7Najzw3q/UIKcZ8CJ4rlHmwZjWr65+oLvfnA1yvy/Ccpn7HjOrX//flK/hhOeK6wlep+/rDD/9P -FrICZV+l7SUp1rJej6w7I/dGyjO4dvcq3Rvrc5clLvfp+3u4T3I5ZK8ztXpCZkK9kWioNxIJcxwJ -pPQMa99wPepr+fAiG/+zw+o5PMI8UO5fx/Bl2397gWuScZJBykXdlWUTZe3FJuEepHOU53tT76ME -cfle41rwfef7j3vd2SchhBBCCCGEPEM2k+pvSg+0R2rXQ/JAvn8dw5dt/+0Frnk7owoqG4TyfJ3u -24BRFfymr/oCugfvdq8JIYQQQgghn8fifZz/q/igGqldD+jPecu6/9z82wtcs2eeNpO4EOVaDB9T -25BMYSx7qfZlPNgnIYQQQggh5BxanuFqVNci/iP7P3gSKWtR9rsL+nPesu4/N//2AtfUjOoy6FKX -zdWLs6xVrw/X87EBu9g9s/6aLGNU+zLcPtT7IIQQQgghhJxNv2/4aPPK9Kwn+78eLySkdj3Y+Ll/ -HcOXbf/tBa7pWtCV6FkPztN90Xuz4vh+VSnbrnBltbaEEEIIIYSQeOT7vOYbLvvdvrMeGtVX4W7r -IYQQQgghhMxnxzcceaeXpscHTTeqEl/MgUZ1j7uthxBCCCGEEDKdt/RB043qMq6fA43qHndbDyGE -EEIIIWQ6b+mDaFQ/kbuthxBCCCGEEDKdt/RBNKqfyN3WQ64B9EWNkUioORIJ9UYiMb1Rc+Rk3tIH -TTeqEl/MgUZ1j7uth1yC9BzJs0adkQioNxLNojk5p+ZIAKY35jhyNklnd/INPeuBN5SyFqldD+iv -mAON6h53Ww+5BOk5onEgQVBvJJSkN2qOxEG9kSiS1u7kG3rWA28oZS1Sux7Q96p/HcOXbf/tBa55 -yw0iZDLpOeJLlQRBvZFQkt6oORIH9UaiSFq7k2/oWQ+8oZS1SO16QH/FHGhU97jbesglSM8RX6ok -COqNhJL0tmju6HuCkFkwx5Eoktbu5Bt61oNcLmUtUrse0F8xh9ONqm+TYg7Y9F/Ub2J8uW/n6nK8 -Xu+x6tfiK+tJsT6uFx1nj8345C1Z9PCEzhSvrRrUGwGLHp7Xm+E1ZlBrJJN1cfw9MUzu9wPqjgDT -W9JEpX4I1VZJNZa8HUkPFd/gc1GpnZJN3irqNzG1sr06vd5j0wfKK+tJsTlG1idlLVK7Hsp5pGvp -w5dt/+0FrkkLlUHKBeU2qEey0PJlQoq7Xo2R2iz9fsR81Oe61E/ZzpWnay3L8UrutzK2lJfrWY0z -QjmH3J/2+Wi/5FZkjTyhh9THSl9LfyvtUW9EmKE3sNKX15v1/WT/5CYkXSzamKmJlf6cBmux5L34 -yEX1+l4++vnQlz9njiNJE5J/St/gtZH0ouUfucpfS5zXEvrctPmotzGzDst2Vp6ui76s3l1vxpby -cj3rcaSNlLXIY7RA38Uc4oyqxpSL9e3K+L021TrfTs5znzrvcpx0nVjKjvrI9WXMIHnMor9n+iT3 -YtHD8zrLmtW+Mq68fNbI+7Ho4jm9AdOWz2nrMuqNCFkbk/Wgffq8R70RYHrDsVbfhfWh2sr5DeQc -V2lH3grTg+WhlIsEn4sQ4+u8jmrxe22qdb6dnOc+NR+W46TrxFJ21Eeu38RI31LWIrXrAX0Xczjd -qPoF+Ri7cb4clGOs2qC+qMtj+Xa+DcbJ8dt5pf6l3JeVfeQxypgHSOO5/o7uKXk/sh6f0AXaZs0W -/czon9yHWXpY+lnI5dr3rNxJbkDWyfx3H/rdy3vkfTG9PaWJ1EelHy1bNMfvuXcnaURzUM5FSRsu -zsV4zVhbUOrI91vWoy6P5dv5Nhgnx1fmhVgpX5UVfeQxNjHSt5S1SO16cHO1OYQaVb/oVbm1R1lR -vm6zXgDi2+N8tMkbVPST4tF+pw9jM86D7M6DvD3QF3TxjM5SH6ZZaowcMENvR6DfnD9PGoNciKS3 -RXOr9+0ETGuz3tPkHpjeztIE+jbdzdY0uRZJa6IDe+dV85GLKTW5aHWJ8eXrNutvOsS3x/lok/Va -9JPi0X6nD2M7jvQjZS1Sux4q8zrdqK7auJjNZPY4aGMbtNSty2ttcJ7LXHwV10ceI7WtxI6Afkfm -Qd6KpF3RxbM6s2dg0Sx1RurM0tse6DfnT2qQJL0tmns6J6G9svRJrZEtprezNIG+k+aEpzVNLk3S -muagnIuA14WLSXnQtd/loA36ro3j5+LbZL2izMVXcX0Y5Tjzjeoyrp/DLYzqahzES1yeg2tj5YhP -80Uba1eCeu0j96XtqvG9pHHd3J7tbxSM1ztm9NyI6nKCzoTUl2n3M7Rm9I6LuM+a45syU28Z68v6 -1twZtrcYp2es3jgyD7nfprln7v2Hbg251lw37V3dC8bpHStqTiTzoZV6/TN43T2r6SFGxomaE1np -YTcXuZikGdd+l4M26HszDuIlLs/BtbFyi09trF0J6rWP3Je2+4iRvqWsRWrXQ7G+NDeUrea4+bcX -uCYtWAYpF7Rq42I2k9nB95v6K+qs3G6235xynNUGGXvzcOPmvlJ8JXaQPA+MPaG/IfQ+VetKoudG -kr6gixk6A6k/0+9n6A30jom4z5jfGzNbb9i/pU/tN+fNnTx7BlhL73p648gcnDaeufdon9/Lqt+y -LHRve8eKnBNJ5Fz0zL1PfdSQfp0Gq23PYGSsyHm9OUkTmoNyLkr6cHEuJuUp134P32/ZBnWrcRAr -MTZ+2cbqUryBdq7PjBs391XGo28pa7Ea74hifUm/KFvNcfNvL3CNv5F+Qas2LmYzmR38DS/b+DFR -52NrbVZ9GXtr2lnPbnwv6Ffnkeb2bH/kViRNiy6e1pmjfE6oOWJM11vuT5mVN8k9SPpYNPJMHqrl -NJTld/UTfZN7YXp7RhMrvanmPljqa+3Ie+F1knNR0ouL81pC7nLt90D73F/RpqwDdv1R7uO1zOPn -53FzzX2V8ZiPlLVYjXcE+l71r2P4su2/vcA16ByDlAsqB7SYrg1CfGKJL29mbcwSP85qg7Tv3TVV -+rZ21fgObMzVPXiiP3I/Fo08p7MauV/qjjhO0Rv6Sv1Sc6TA6eJZPaR+oK2kr6XPGe9pci9yHnpC -E2ibvwNNu0YlnrwnSWuqk6yXpBkX52KSllz7KohPLPGljmtjlvg26COVpXKtK/rMVPrerkfWIGUt -Urseyvmka+nDl23/7QWuqd0sXJcDWkzXBgmpX6UcH2V+POvXz8WPkzeoWEu1f9dH7ju1dTEjpDGW -PnJ/xTwIWbT4hM6MSvvUt9deUU/ejzP1BtDvR76rx5A3Iult0dyMd1/qC9pSjSWdUWvEYXp7RhNo -yzxGWiStqU5285GL6f0OS/06yjo/nvWbYt31R7wrc33lvl2Zn2vuO7X1MdKPlLVI7XqozCHUqPqY -8ibtgjYOX4fr1XjWZ4p1ZTl+Oy+bU0LLElq+6r+MGQH96fi5P5tvLZ68JUmPoouHdSZ89FH0g3LT -nkDtkRl6A3v94Poj363ryBuSdLJoZVb+Sf2pxiy3UWvEML09owm0ZR4jLZLWVCe7+cjFdOdBtHH4 -OlyvxrM+U6wry/Ef87KxU79atupfy1f9b2KkHylrkftv4eZqcwg2qgeLPSD1rZTlub9ifnkztDy1 -t9hUXi/L/bs6YxMzShrT9VfMmZBFl3N0lvSqms39urJqW/JWTNGbkPrZ6M2XSa6rtCNvRtbG3Hef -aW3Ke5rcCtPbM5qo6atGrS15H5IOVCf5O1/wuQ4xvq5XN6axMr7sL4+VYrVc821qr7FLeb0s91/U -pfpNjPQtZS1Sux583zqHOKOKeunjYzKVCQ2Sxkz9bPv6qJPrdK7Xq/HX16v1IV7Ky/WU4wxj80hU -6slbs9Jtpb6Xld6z3ox6G/J+zNJbzrF7enu2f3IPTCeii9ma+NDy/L7JdfnQRb2+C+ujmt+MJa7a -nrwFSWuikdI3ZF0kHS0xH1paysu+esn9WV+ruvUYKRbXq/HX16u5IF7Ky/WsxkEbKWuRx2iBvos5 -nG5U1wuq4Pp4iKN+fLnFHVG0ba7nGWpjkrcnPUdIHDO0YRorqcWSt2Sq3oDXmVGLI++J6CF/HJ2h -DWqOFIS8U41aG/I2JK21fIPXi+H6eIijfny5xR1RtG2vZ21I90jtekB/q/51jNXcNv/2Atd0bdCV -uNt6yCVIzxE0Rp2RABa9Ma+RIDS/MceRKExvzHHkbJLO7uQbWuvBcbpR1X7dHGhU97jbesg1gO6g -MeqMREC9kWioNxIJcxwJAjp7Kx+EoxnV5BEVXOeyJSa1S20rZYj9wfoTijnkfnL55t9e4Jq32yBC -CCGEEELI2/OWPgjnsynmQKO6x93WQwghhBBCCJnOW/ognM+mmAON6h53Ww8hhBBCCCFkOm/pg3A+ -m2IONKp73G09hBBCCCGEkOm8pQ/C+WyKOdCo7nG39RBC7gvyVcFuvt6r1/JW3W5MT1xRVyPFK6uy -oo9cptepzGKUavsetN0RrbhNn0YtFvTGdsSkOLAT49tX4x+l1Y+OVZvHijLuKBb0xBBCyImkfHUn -39CzHpzPppgDjeoed1sPIeS+IF+5nJVzVSVf4X91L8UUOd3a1/Lctu+d9wHipG4d+1G/6SfVu/N0 -bf242FUfFreUW1w5p1xetO/B2tbmucwHaJwfx9D6Wt92j9BP7r8W6/pexbnYVK/zWsZUithVP1Jf -lud47fcRlrks7NfrWDqPw1iL65jb0recN+IIIeQsLG9Zbj/M71egZz04n00xBxrVPe62HkLIrUFu -auYql9dw9Dl91b5s69ot9dLW1/s430+KLevX/aA+4coQu+rHjWftrW9/3b2eBn4+1t5Y9VmWpXLP -tm/rf91mP66cR65Hna1dx8qgTMvLWN8PjtW+R0n9L/g9MJa6jznl88q4KTbXFXGVvhOp/4XdGEII -ORHLXZZTU1613FWJf3m61vPlGMQatXpPjnOksvW7XWLLf3uBa/Y26KjNS7OznssKjhByb1zOwgf+ -aAxyW851lTxn9c08uBpjW783Ds7LvjHHJe5jrrlMY/21f99Yf+U4vaBNvd+PsS1uNQ6utczHedZt -dvZKOVofyvO4jTYW7+e0nse6j27Qh+LnZyx127Xa2LX57M/x4F4hTqnNgxBCTsXlKgPXl81HO+ux -3LxQMZwlKb5SXoK48l6lsvIebv7tBa7xL5P9BV2Iu62HEHJvXM7a/aA/iEFuy7mukuesvpkHV2Ns -64/GwbUvqxucj7IlXq8F/45KdVJWG6cHtKn1m9aHay2rjrMqK9rvtdnhaH0or/VRa2PxKLN4HHvn -sUfqQ/dir746T7TL5Utdnrcc1318rGdvHGB9HMUQQsgpuJxm4LrM/5dB82m5nm1+rZhOA7FGrd6T -4xyprLyHm397gWvSC8Itxi8oLepi7K9HN4kQQk5glTMruXYXtLNchXzdiklxH+O1zADKjuqN1JfE -LPOo16Mu1+/1hX5sTvr+SX0jB2tbu7Z5+b424/i+O7D2j663nHtPmxpH69vro9YGMVZm8daHLxsl -9SHj7bX3c/ExNravy9dFX7iu9VGS+jiYyyGp7UK6Z4QQMkDKH5rDjJzbXNxVOFqPz5tiFPfRvjK1 -GLCKcaSy8h26+bcXuKa2oLyoi1Ku5errIYRciNEPbpeD0XYvX6+Ng8PapvJau+eMm69H3VJvbOPS -eqTOj2nzxNHifMxHmSDXH+O4fjtZz1P7rPSTystxVmUf8zpss8NqfS72qI9aG8RYWWqr7e3atx9h -6Wu/D5SX4y57K+RyrZNzW8869mM9qawyDljaHMfskdraGIQQ8gDIUyW1uKtQXYvlVxyNQ/Op76G9 -OJBjEeNIZet3n8SX//YC11iSLxdFCCFknNULoQeXg5e2xpKfLW7z0a/1Vp7qXPxHuz6juprHTj+r -eSqb9wvi/Jz0uPQr8bk/11dq89H/R3zRdwdok9sbblwfZ+PlcVZl9Ta570q9x6/P36PquAdtVmMq -+bxoP0Kah4y314eNa+OsyOVaJ+cptoxz68F1bRxgsUcxVdwYhBBC9lnlV5yn6yPzqe+hvVhfl+od -qWz97pM25b8f/r/ED3o8QBZACCFkEj1511O2XV3XYlxbH78q//KlUW8gbonNcTn2o87Xe6yuFWf0 -xBij9zFR9JH7SvUfcwS5LtfX2gBt5+tW9Z4ldtsPytd1H31U+k/lC1ZWsj+HPlIflfLEwThWbu33 -4vbWU6PavgPrnxBCyD6r3InrVGbvJYfVeVK7g7jcN+pq5WDzTys2gRUkprYoQggh41Tz7AG1tpuy -8trYK++td+S4ndhV/U4MsLgUa+Vlmbve42iMXXz7Wr2jNo6VfbTXFy/Y1O2z7Wdb9zHux8u91uaw -LJe7eXZS9rlC+/+IQf/LGFZudflcr4/X4+f5cb5uP4aNQQghZMsmt+Yyy+sOq/OkdgdxuW/U1coB -/n358v8D98EKCAejZ0AAAAAASUVORK5CYIJ= +iVBORw0KGgoAAAANSUhEUgAAA6oAAARnCAYAAAGKqqtsAAAAAXNSR0IArs4c6QAAAARnQU1BAACx +jwv8YQUAAAAJcEhZcwAAFxEAABcRAcom8z8AAP+lSURBVHhe7L09aGRJlvaf7MfsjqZNNS8Ji9YZ +Q5QxRrazjihrUBvjCKYsDYylNhoa1IwnR468YQQDbaithZG1BXJeY8RrNXL+jsZYo5ExzoqFZRnZ +sqv+z+/cODfjRsbNj1BmKqWKgqdu3Pg8cU48cSLiXt0c2L+d8+GLxrawdTIcDASP2wpXCyseeF6P +s3J+H66vAf5v9+xm+GJw3mBr5yzgfLi1revexXDv7qGN21HeNo+MuH+vNOXbPbtu4/cePwy3d5vy +u1e34/zUF8LW3vH1pBwbjGDWwaDt0EtDZICZyOUlzuO3TybTXyiCWRvDDtTBWSDf+fWdRvnZ8Frs +OLm8Hd5/+DC8eXgYHmtkX+k6b13ABOG6Lqi90dXVcPvofLh9eC7GXg9H17pXeP/xZrhzcjncuxej +Q97d8yu73z2/bO6vLi2/yS1sj851rzjVaWWkF0uL21wTBpfXhi3NQMGsjWHvZKCc8mOQb+/govFP +8mejg8vh/vHV8PbDoxn2LExnA43+XPkOVJ5pcO8hKHIdkGy7x8FIMhaG2tpSvLBzeKE8540RQ34z +3K0Mv6/4i0v1W/lOyNfUxRVdjG6UR31hYHj82oBMx7LJYGDYksxmVP4hDIY1odYEjGuGFWty6S8e +zt4pYODk4jsYZeJiSI87RzIsA/JSA6zPsCwWdsTK0dWdwrfDvdt7MwALiO1DjXIWLmG0jJQGdpQG +RvePVsftw/3w6OxKU/S9jfITlTm/vlEbvrjpGpbw6O5R9fs0J+Fs9GvKDJ3fUdr2/kVHGYOLq+EA +psE63Y9uJMuRps/b4BLUjy0xdCC2eZm9x0frl/Uh1LHFLMSVPCGeBde2FmRtHuqhvijPzv6lWNos +0gYo1+sQtkNe9Lijmc19uPeL8K4MwXXvQTJJf4StbvoYDLp71siOLCO5v23qIh86OqLewNiDw+GF +9L0rHQWzjg27e3Gr6UmCSIkoCCOiLAxqlatS0qzTanwkf2sDQOXI750cUbmUbVc1fHQu4w0aQR2x +YUfXagMlBgPR+YGmP8JuSNrg2ioynvZCOR8YviJG2ZZGHvJ6fimZftr9nuonzgdMyIvxd7WGaONU +zyDowfOMlL7DIPE4sXTghnDDSkcmeyizhU6C7G5Y+t8SRvfWf88T6kMe01Gcx9xHMOz+Qb9hd47E +ylHI3I6M1SA2LGw3gV1olBKmMjfsNuzwgeV5dDUEw7JwoA5nmhkrqtcQ7mPmd+B5dWWwtnEgMf62 +WGWyR3EulxsWMrRsBBg/pLlhnUhtHg22gQxkedywJ+hovHK3OmA1cgbDDo6Olcb6J/wjozN2X9PC +jipxhpSAqfjwpJmKc+mgY9gwC7hybGq0ERtNxdYxdVCj2/LQ+XQqhvlSHH2wNkhjCg2rWmDTHvs9 +GEIerXytPa7kcRmkxD32vuSxqVh5mAGiPEzFbmzasnzMALqPGbsVyGL5MFRiWGSytsgDmK3CjOWG +Zebs6AhmxlMxhj3G8BnDWgEv6NcVITastRW3F4VbZqXyxPfO2EWQ1pfGcy3JE+7dsNPyuGGn5gmG +ncjjYV1nG1ajfedCiyf8JSc0GhWMpO3g7PcePjSLD/kWFk0Ib4pnJHmDws2D/MqemBIaP7u8GR5o +dJ1f3Qz3g5+KDWvTlRjp0yxTzECLr5ixzCAwzdIBI1adHsAQZ6wWYNZRn65hPWwMWxzLwz7cpvQg +B0yVHB3GgnjxRHnqCX7PlYp7cJcxECsHmqWQy9KCYUfaAo5uokUjhvKFkTP2sdGr12szTSg/NqzW +BdJRu3jaC3XR39mGZb/WOPEdTVWsQsfHdAigKYOpTIa1eAxq00zXsNf3d9rvXmp/20ybh9rrsv89 +ubgeHtB5xaVTMfXSntXBNBsU3Rr2Ugs1rXYZUJbHFY2SgmGRibraqZiOMwBQFGWsnmYRsiOZLA/T +NIa1gaQ8rlz1CfksjkGATEkelMw+1uKQkwVNMEhr2BtNxchHGcH61fapqc8II7RtM8UGmd2wtl+G +ZL5+cB2pzFxTsbNsAn3xhehMxZl0RzsVT0PJVNyHefo5R552Kp6CdiqegpaxfZAsMw0Lo24ftfDR +yLy8uR1eXN8Or2/vbE9KfLoY2mdk6WpTtUY40xsjfRSW7iNNHXuaQvd8axGhMxUzdaIsZ4izMbN4 +aqdrLS4YseniianRrrRBWs/iqd0SMeppz/Mgh13VjyC3paG8zOLJdw+2eKIu36Y5Y9kSRrOeuwfC +8eLJzwCsbuo4aOpxw9piFl3EOsJFoJNZhmX65JhwRxX4HpSr3St+P16SCwd+j59RXqZSOmpTahCA +zrfTR4TuVKw8TOmeHpQD3LATdeBbUQLhiLHU07IcGcjj+UAcziGks3f3/Xtbh9cb56GvxDkSwzJd +t34xyeOGnchDO/SPPMGwDH7TgctPHaF/Mw177luJCBiAwwa7ZmD53LBBuO2gdLvq3hcqbX1AdbZT +MfVUlEF6tCPFvocAOxoNxyycggFSkJ4DaaPzhnU7mppHmpJ2NXWzYvRnn7FhO2U1IDB+xdOAXgdb +WyLMjrE9mLUxbMULhphrhzUckKSM5aToJeCIRZTkZaHHg4VdjVDOoq/v7oY3wrY6eff4MLzQ4o98 +TPcs8vbkC49UnnXEnmYQyrMFc+WYexicDM+150ZRtPVS9LKr2W+wvy8cThr2PnryEgMltHu1DQKG +RGZW7GdXN9ozP2id8GDGwrCej47f3N0Pr7Sf5KDEffyl9sO+qgcsuq5Upw+ITUOffegL5wQ83QET +U3FTsJmvHba46Ri2m16CWJlPBQswDyNrnFaCkylrjIUhhXt4GbJNN6zWMxqol5z4aWYKZh0b1kc0 ++9KHD482emPDxkwwMDqC854X8ZFibJgSxOUPwklSi2jLNS/csOiBfXyavmh9DmSjv37feTI1J7DP +1W2zr2b7yRsrnC3Ehr2yo9yMYTuNExZiw8bppegY9on1dQbGEmTrMHYJ9bVYQl2pfYDrcC7Dptjj +REVXltV21WhB0DhPxerRZx9I15mKU8M+UFAMSMFq0l48Y4TonjcYmgrLwKo0F18EXhDPxRfC3vTI +xG8CWBjm4tHBVMMyIpziMZa9KvapeBmgY7n4Uix18bRk9NkHklXDzsCLN+x9NWwWL92wF9Wwebxc +w2o7dXw8HBxmXmarhn0Fhs09tquGrYZ9Eqphy1AN+wS8aMMeVcP2ojL2CaiGLUM17BPwsg0rg1bD +5lEN+wRUw5ZhpmGPFDbD6ur/uKmGfQ2GDXH+j5tq2Jc+FWPYytgsqmGfgGrYMlTDPgHVsE9ANWwZ +qmGfgGrYJ6AatgxPMix/65nC3ipUei6tBAd8RyETXwK+eJqLL8XJVfN5hk3EhH1C3we757MNa5+l +4a+r+Svsmwf75oH94dLo3P4kMsXoStfrJP58+v2hlBfft/B8afkpsM8WZeLBCLkuJ+M7SNo6u+UD +Jt24DnL1LSCvw2RbsJzZ5+5+ONKsYn+Vz8dWmGH25zAsH95oK1MhrmPD9hhkQfQatgDTDFuCmYZ9 +Rphhb+/be/vim66tYaeePGm+dgzCV79aHxulPQXmYzPxJVimXMB8bCZ+E9DaR7Yy4/E9DN23PvZo +xkMA+5N3/qwjfCehs3iKvxNBXlW2pZESx+H3pt2bYcO3kToI3z7i+0WTaZk/huJbUPHiKWnHZDuM +0mkzkT8tM7F4ivOj1IMQjpH2N+2btZvIj1xxP/nDtrSc6yPA7WOfAfI/ctb9ePE079OdMDrqqngz +0GefrmGJ62Mso4HVVvhY1VTDMsrSkTYDazPsgnKBtRk2YeM8aA0r9htbJww76w0Kvo1EgZM5DAuq +YRdHgWwxYwfHslGY3uc3rCOMiDoVbwYm7BMwt2EHl8qkLU52Kk7+en1wqEpYpERxaZ5mYTCOm1w8 +hbSwCp9/8aQpqbN4SvKwQIkXT9Sf1pOUmVw8RenIll08JXVSJu4ffU+nXuSK46ydTD3RfWtYtceX +4vwrcYszNjRUGbsZmLBPIMv8hg3bHF9OzzQsIzkX34O1GXZBucDaDFsgW8ewrIPCJ3YXmIq1j2Uq +Dj+QMM2wg31VkpuepmDCsOlCIruwSKapEDfNsOYictP6FEw1LMZYsD5Drj/s/VN3NPHhku59a1im +Yr7B6AR0w54Ew+7OnIob1Kl4M9Bnn7kNO7i6bqgeRtBUw2oEw9pOXDrNJPdFhk3rDOgYNskzOJBc +C+4XZxo2V1+PbNPAorMTN0cdHcYym4bF1XgqlkGnMjZMEf6Z9MrYzUCHsRDquNHj/Ib10TMPYwtQ +DVuGjmHBfrrdmWFYc8xMxeEDyB3DUlnk9O2JQrKPTb861tyPFwJm2HiP5vVxZVBlFij+QKIFeRXX +MSzt+KAE2isO4n0s+830wD4pM2HYOD/54vpCXKe/Jr/aiRdChIMRWrDgjPpp/Yv0aqCe9v58bFim +4uiL6fMzNkFl7Gagzz7zMxbfymjJMTYHRmkuvgdrM+yCcoG1GbZAtrFhxdjoByDmNyyFeA4ZGp9q +WE0fg9FibF6bYfeFdHqbgZmGXbC+PtiKPRM/DR3D8iMXEwcUMwzbYh7DFqBOxWXos8/YsApPZayf +POWm4nTEahEwsSebcYJihs2NfI+blpbEdQybHJqbXLDW46gjrSe5nzBsmj+uz5H2Ny1jcYlsLDjj +fNky3fvWsCye2Mf649V5DdsirEQrYzcDqX18Nd4xbBgwwayJYRkpyuwb4GrYzUBrH6ZgzU6Tj+2C +jyXO/8UFOVK0X5jKnTylUwrTXbKPTafE9H7mVJw7tuvJP3UqRq74AQV1pNNmUmZyKk7y5x54pP3N +yprIht46z2OjsCOppzWsTcVX7Y9IzW3YFJWxm4E++7SG7fvOEx865ifOUhyfX5txc2klOL64zsaX +gK+f5+JLcX59m43fBPTZZ186ODzRLLu9beC0LJi1MWzFCwZrohPhTFO+pv1g1sSwOGeQhmPE83/O +pzjietK0PiySF5A/J8Oi9TjicqV1zAE7k7+6sV+q5G+kdu/uh9usbzCQQ4Yy3xlftXawNKbe+Bq/ +kur/+MlN+9nNfX6XjuvlkJ/q5Ifl+SHeweDY/hDIf5rTFInDZ+XMCAmH6U0duvdTEa74Qa3g+DVF +0u29ZYN8tz964hkwQlEXHVZ95PVfg2RPTRv87Yr9JoHqtQUeZQTSvW1+rXrvvvnjMspSB2kOk98R +Dvn5sUV+dJgfyfdflebHLezvlfh5T60x+CFhW4mGbQawl8quJK/C1M0PE9O2y0U5+6lShc8v7zRt +Xg1H/DSp8g72D4Zb0sOIP7RSOT4kvc0ZghvVEBk0vsYG9etg0Paxsar+uaAGBOpcexZOnp6Lj9PS +fG29yTVOT+M8vi89m3/Ggq+vTByftpXe5xC3G+VnkdPGc88AliGzhmVPuqhhVbatu/33+elnDb77 +7POvf7Dw51+/b66nP3z2+dvvQrpA2PEm3L8jLJBO2O6TNO49Pa7D88b1E+f3hB26//yd5Iru2zJc +o3pc/l7E5QO8r597W6qDuIm+eRnajWUX2nZVBllNnwq/JRyulv5e1+/ef/bmx7+1+Py98p4q7WuB +awdqy8Okp6Csy+H/aJAOvXn/42dv6IiEf/PD3yzTWzU4GOj6NzUcK5AOWscEwspj6eA0CM9V8YOv +m3yWrs608HyENaisLjrsebn3dGT67kfFK0x9rmBkUT3eNopFVuDppDkszhHaxwD0k7qt/8RhZNVF +m9Y3DBa3C7wfClOu0y5QOXRK+Lv3f/vsHfWpDZMDQ6ls1rCpIdP7nGEHAxs4oLEq/1yQIMzU+5eC +ErlX0de+OhkgMjQDiEHRDBwBo79VOiBPS6Kvw31y9fgw+I0M7b9cwxUvC2/fCu8mDfu3j9EUEuEt +o2CJI7n1McvAkhn29XeRn9ow/NhjH3TAFD/4WswFHcP+n9//7H8//O/PuKb45W/+9LPB4HfZtBJY +fZn4IgwycU/A7/70/7Lxm4A++4Df/E46/Z3Cv5OdfiG0/5TYFvyTMv1S+H1jgI5hif/FuMLBbxQP +/N7zpPdRGasvrsPDfv1luMZI48hLXGzYtN3fBLTpICNbdD9h2Fyd8T2YqFNI+5fLE/cpLeNx0X1r +H+KxTZS/Nazbov0XF3SEgpWxm4EJ+0SDpWPYXsb6SCCzrtWwm4GOYTFqpMf5DEvnKDSPYW1KWczo +azMscqXT2wzMNOyC9fUidV9zoLUPOsddRmnz+dgElbGbgT77gH7DDk+3/ufj/2xxHXz/f7cGv/p+ +S8tou//iq3F4Ar8KiOO+mH7/K+qL7gfD5D4tb0jLhLhYrrTcV0Iq2wycfv+XbHyLnGwTcWl/MrIj +W6ccedJ83fvWPtSHjSI9fvUV91819RLX/ksLcv2+uU41bAEmDfsELFEuMNOwz4jWPgDiTRhW4amG +bVENu0mYsE80E8xnWKf5qa667xg2nVbiyhxpHu6jaad3KvY82ekuLRPiYsPOko16c7JF9xOGTfPn +pvYZddp92ifkiuOszPR6OjMqs2mkxwUZ26AydjPQZx8wn2Fd8TnGpjAWJHEzsDbDLigXWJthC2Tr +GBYdRozuN+y//OGn//3hv3/KdfCH//jp4Ne6Ehb+7de6H3zb3j8Vv6a+THwRBpk4x79l4mbg2z/8 +f9n4paNAttY+lMVGUdqvv9X9t7IR+Beh/RcXTPBiDVuAtRm2AH32AfMZ9j+UCcaGUdExbDrSrLIk +Ls2T3Pca1vOl5afFxYZN8yBbNPMY0jzJ/YRh0/xpfWBGndk46onj5igzwViMGdIWY2woWBm7GZiw +T2T4xQwbDFANuxmYJN443DWs4tp/umkL+lQ8D2PJ9+vFjL42wyJXbuqcgpmGzU2ZJXADLIDWPj4V +R33rN+y/nv7zf338r3/mmuLtb//9n7WtyKaVwOrLxBdhiXKB03//IRu/CeizD/jtqXR6qjAgrv2n +m7bgvyvTW9BkmmpY8oR882Jthv1tJm4G1mbYAtla+6BvbBT1fT7DuuLJrGtl7Gagw9hgG8d8hk1Q +DbsZ6LMPmH8qRvm5qZgpmquDKSWdVlLDJWWsvtz07XHT0tK42LBpu6ls5E/lT+4nDJvrb3xvcWmd +Udjvc/XE+dIymbjWPsRjSOwU0voN+/M//tNfP/z1n7im+PJLXQffZNNKYPVl4ouwRLnAN3/8czZ+ +E9BnH/DlN5L7G4W/Cfpo/+mGgj//q6DOGf7chN0QbfwT8eWf8/FFUIey8YX4Y9z/DQP2+dLlk208 +PJB9Zhr2yw8fDGZUClJBNezyUaCD2LBf/lU2Cn2fy7AWmaBOxZuBPvuAV2jYTNwTUA37BFTDlmG2 +YWUjM6zQ/ntz+pMfP378CdcUb969/4m2Fdm0Eryjvkx8EZYoFzh9/2M2fhPQZx908O5UOj1VGFic +/9NNNWw17JNQDVuGatgnoBr2CaiGLUOZYb/4/h//8vHjP3JN8cVXug6+yqaVwOrLxBdhkIl7Ar76 +/i/Z+E1An33QwVfo9CvZyHSra/tPGaphX4NhsVM17ASqYZ+AatgyVMM+AdWwT0A1bBmqYZ+A12fY +wek/fPz48R+4pnj79odsfCmWXd8ycXq6ubL12Qe8Re5ThcFb4tp/1bCgGvYJqIYtQzXsE1AN+wRU +w5ZhlmHf/vDxH374qGvXsO//XgX/nmuKd++4vpuIL0VT32bi3ft8/Cagzz7AdPr+49+/J4/pt/1X +DQterWHJkINV4PeqoA0/M5Ytx3sZNhe/Ceizj+vgCYx11i6PvRXzYxZj3yl9KmM7mQPcmHF6KbyO +cd3LwVJki6biTZMttk/3+s6uUw3LVBRXREYv7HGeXgoXatlYhn/0Orgu098uo67GPkH/0iG2MUPq +fophT/9OBf+O6wROM3FPwbLrWyJON1i2XvsIJjfprfztvzUadkk4Pf1oMtMpg8Leh7QvpJE/Nlx6 +bwj1eLiT9syYadjBIMDC/q+b8SUAw7jy3agdw0aGaQzr5Rwvy7AzgbytzO0/j6h4segzbDOCe+iu +Ap6ewtM7FefuQ11Ty1aUY2Iq3jkfvmiMLoaDrRDeDtetk3E64eh+5/zWftvdylga4TS/4vz+ReL4 +qvnx2xcC5N0Kv8O6cyjZL26G26Nz+1FffreVHw3mh3H5oV/y8OPG9sPGMpb19VzpwpY6z4/28qO/ +/Jiv/+7q7tm16gi/w6p2Xpx+jq400C9v2w69SMig2fgW3R8Gxqg+KBqofPJjvRbXuX852JU9W6Py +K8TTYHmE6/uH4d2HD8YORv8dP5Ot9Is7sSH8wnGufA4mCNc1Yufscrh9zA/Tnw33Hq6He4/C/fVw +dE78hYzeMHzvTmzdO7e03avL4d6H6+H2PjNC83Pd/Hw5OrB6lHf7IBoImXZXCX4x2n8WvTXq3uHl +cDCQLyFDD1zg86u74aWms8v7++FOYMlgcDbcP7pu86Vlc7jVwNjlN9n5zXbdrwVB4Tvn499zH91d +maF2DmRQGW/HjSqDmxHvZcQwACxexuW6e8HvuKusFLpzEuqj/tDGusCgHGxtNT/fPRgkRiWTC7UE +wOpp961Rk/gi7M4xXc6cpgWxLxsfQwbOxj8TzKgaYFsajDtXmlVio27Lwe49aFrViN27fxxuS1Hb +WlnuHDcj0xYgCu/daOEhWKUMBClre1/Tk8pwz5Q82r8Yjva0KtX9wdHl8EiLD8sbCeNGxcdZe8pP +vAl4okEmOTwvsrDgYSFgeQ5Vt6Yar3NH94S3rR8qb25A7kH1uH+EUcQP5GNZSNlAoDzGBgrvaPol +7676tyc3s62FGPdWVxiA25qSrU0toHZozweLyts0iNzMPpZX+tOCzRZxuh9IL9a3c6+3yWNyAPKQ +5v0jT0hjUTjWkdIk6+BItsKoBweS9Wh4+XCXMFWZd82AUuCVplatAFkZbiOIKiUzysUIo+s7hS+a +VefNnTUUr0ovrm41Td8Oj4LwR+fXmrKbfI7x9Nv44d3LkE4dbCkwlOdXHAo2Y3geMaYxWjCqrsgL +vNxA06fnMaNyZXBEiyXS23rcqHIxrbI9T/CZbtRRkNcHvdXhAyUYdYfBjM5ECMsjI1h6qNfyyOis +1Edq0+Kon3SfERSmvK3iZQMv1+hIZJpmVGOcOoJh4w6VYF/17cOYTJqjNWroJEtxSwv3k9Ol9phB +gQ5nsxuVGaOTB8OHPG5U0tu2hIGUaAyyNK9H17DoszzUEZTsRgU7B2JPYHM8s7hRvS/OMJvevX+e +18GASOOA51c6bO3ESxY36uDgUINGW7TYqDZtMhKU2aa5XMNzgI07U+6BDDbNT7fTr9ra0wrapm/F +D1ioUDZiqk2/mj18qmun36Bgn353jiW3XEY7/TJoYqOSh9EdVuwG6gBWvskLU60O0nVPHen0a4aS +oWOGIVM8/dpVdVh7urc0WB8WYy2Ux2cqS7P+hTzIEDAK++l2+hU6RtViKTFqt4K2wQL4CjeX5phY +KM1qMydXuHemTsvjTJ3IE927UbN5Qrhlapye3sdM9bg+eJ6+vMTHSNKnGtUWSo8fmoyMLI34xv80 +87hNWwbthTQ6GTW7trhqRqEDYx7Ll+BHLU7327ruako7UDuez43Kwsx8dFDWgGkbZrBNCHnNz2sf +7FPUQIwdaL+55dNmYCqnS5weWRndD85kyLCidabaou4RmYNxKBsGhRt1D9m0ptjW9Gp5VIfVpbDL +ufeg/gfdGCSLycRsEoxq/l1tMk1zb32KWA/wqZaHNQl5WIfQP2czaeiZxWToL2y39nSdbVStfik0 +ogJVzEqXTDaXMx1pL8tiZTA4thUdq83UqAhxhnLV6IjpRnEnuqeNA7Wxw/SouNaomsas8+5TULAr +yOsUOMrDRdi9KVnpof7WqDaFqZ7gD01BwQitT5WCvZ+WR4PIFjCk+fSrQUa/Wl/I1BoWfe30q0UY +K2QGvuUxmZUH39sylaPJ6+G+T79hIHYWgZaPtsOCy4zZNSpTPAOoXWkjrw1siDht+lXhtpE1YGL6 +fQLa6XcK2ul3Ctrpdwra6Xca3KjLwAy7zPCpzYnSraaVg5Mr24Jc3Nzaouf44np4qLiWKQE+EEZh +qzHSlLVHfZp+98SaHU11xiAxNC4H0oWSLU4UbyNU8RMLJbHH6iMPUxjMEVu4bxdKtH/nM4dGMtNU +YHM7/WrW8UWZKQwjUQ/lnanqg80cPntEU2Zr1MAamz65Z/vEQklMdKNySke97WIKWeibsxAgg8B0 +b2VIs/6FPKSHvLtaLFqezD51JlP31fGRph6m0IbymmqUZycepVF+22v51KC8hG17IVjYlROhZWro +VLvRD/fplga/3PoUQJ7YqKRLZn860yLkaadftdPJQzuhLTcq6Ry8tHmoI9nSNIccIR0Q7/fBqHY4 +ofi2/7Tj/QvlLJ1pPq4L+L2uyLK9p7pUXydd9XaMepxuaeQnzR95oYAL+QwMnoM3bAuoqEEcuzt+ +uw9pcdnUqBVlMKNeaZ3DLHEZHRMykrbDSEyxh4E0krKI2GSjiIYYpYqP2elG3RHT4vKM/makVjwF +g3NNxTJoZ/p15Ve8TGQfveErWQi9BNj0rQXb/YfH4b6mb44kYTwuZFf9OLu8GV7f3TUPEdThPflH +7plVmD2uH+6Gx9pDc9Z996E5oTnX9HX7eD8805XyviAkf06GTcPgSv2x6TcyKooxH6mOpLgPHd8k +sICz94i0D2Slbo/1ZFgeImAYDIThyHt23dzTPxTwoP5c3arPciu3oW+7MjgLQ9yHrfjDSndTgOx9 +Z+l3j9q/s0g60zSsa9aofnWfuEyjxlui2OeWwtm4DPhbG8sCg8vDT+1ra9Sknm0tbDGqHYLcPQwv +H5rDorFR2VMp492He6uA6Yo3GtyoDTOixuLwnIiNypQYp23BlGjhNQ9io56oH3GaoWfxl0PctxMW +HdG9P8VZBCeaxrnuql83aV/BAn01o2Ij2WBP2zebiS5ubObBqBi0MWr06K0xalPYOhM6RLhlKmlR +QyXoHF6ENp6C5TI1CkfxpXCjgrjuElDemBrqaW0kTDeqFE7mFBiVAwS/j8MV64MfEKW4E2unMFVG +ZYpNgFH3VcDuVQmnLWmeudHTRils5ZeJLwIzVS6+EByt5uLLcGKr+FzadKPKYCntwaoWSsvAqqbf +ZSCefp8KZJu2+h21C6Vq1A5etlEfq1FzeNFGvXu0582TW5pq1Gx8KdZpVF44M8RPaapRX7hRT5RH +Bu0c6FejvgajHttRYTVqhBdv1COMWpnawcs26nk1ag4v26jKw0KpTr9dvAqj1oVSFy/aqMfKY6vf +uqXpoBq1ENWoZahGLcTLNqoMGgxbjRqhGrUQ1ahlmMuodqpUjdrBizZq2M5wVFiNGuHlG/W8GjVF +NWohqlHLMJdRbaFUjdrBizdq1qfyd6X8PepeAGEBo3r4qeA7Ern4UhzxBdFMfAl4mTwXXwr+3CMX +XwJeSseouTQzat+Wxj7cISXZxzCubod8IpXX+TEq4QmcZ+KmQfntg1u5NGF0rSufYYvj0zaS++Pr +Rs5eXGTieuSeKtuNrrm6YiT1nt/ede4nwN+SpnE9/eUPmrERfwE/0gyAXfZu74d8eIVXRPuNqoJU +wJ/hE0d473aKUQswTXElmGnUBbBs2WYadQG4Ue2ej3p4mgw81ai8GGx/WuEfs8DHCOZTQ/ip8G8g +LAtHfCchE18C7/+yYD41E1+C1qcSjj+FJzTTL3bDr+YOHwDfHYr+usqMGr5F1MFeuOb+CCkXJ5/V +WSjpPk7H2W8dje8NSZ70fmKhFMtJX/aje0daJ7IKnYVSmudQOIju+eOm9A+ckvuJhZLX6XpO+xrn +ae+bOjsLJfrodeh+tlEpFBUAZtQQfirq6rcMHaMmmI+pYeR6obUZNcfuGVibUXMz1QyszKiwl1kh +3JtR7c2HY7tmmWrfCwpfAAPVqEKBbDONukCdsVHtM7V8Pqhj1FkvniWdq9NvGdY6/bZGzb14Bvja +VvhKGGgWSsmiAKQLpXjk5Uahpo3uQimpk4USCxK/p440T3I/YVRf4FGWvmQXSkmd5FW51qjUMSGb +0FkoCcmf6qdlJhdKId31HPc1zZPcd4yKbSgf0jrTb+/bhAgbCVyZWob1MlV5jqcY1T+B6qhGFQoM +viqjtvYJs+GYqax+cz41RqhwplFzU20Pphl1cKi0eIqbA5NGjaYv+rCIbFGfJ8BU2VkB007UVga9 +RnX95lxDD2Kjtq4lpI2ZOm2fmqAytQzrn35lVKGfqdFnWddl1MG+0nzx5Zih6CKj9tTZMWqSZ3Ag +2WLWz5ALzDLqYDT/AO8YlUUdn8UNMphRg0HzRqWCM4X5Tm6osDK1DCvzqXyyHdLFq99ZRrXzx7r6 +fTJWNv0m28vWqGEKzk+/jIB0+iUu7TSOnrh2Wooay+1r96MDfcpFe2GDFiN2qO/3DKw0T3JvRo2n +RW/XFxOZxcjE18soI7RGZXrzvaDnSQ70+S2biT6Gj0UbVHbCqN4u9QqD3IF+2l/KqC8do6JHpt9w +3xi1OSJkr1oXShH6+l+KtS6UZhnVRmk0mqtRhQKDr8ynHomphMOXTmcbFUDv6OdDeo1a0NGpRrWj +tyRuBmYadYH6phnVVuaZ+GmYadQFnvzERjXXyAP9kDbf9Ms16mBlahlWNv0mcrZGZbHUy1RWv9FR +oRk1N+KnjbRcfhx+bNQkT/ZEKVqFG5LFyUyj5mRM60QOZIuVleSxBVy6h077mNxPGDXtL3vf6N6Q +yhvKdIzqC9l4S8MR4dQtzUFY/REWKlPLsDKmRj+nAuYy6oAvc0Y/P1KNWoaVLZTsB5Rk2MDq2UbN +dGxp0y97wdioyVRq02/6jDHdC86aftN2c4fmaZ2UETpGTWVj+o3rCmXiPOmUPTn9Ju3mnqf29Dc2 +qukdWcOpX+NTZzA1RWVqGVY2/SZojapF0oRR9+V8+QWIFPzMRy6+BHxdOhdfCn5uJBdfhMNM3BNw +fi29ZuLLcDk8Ps/3lV/sGGxtN0bd3h4bNTcCKl4Oxr9Lw1v7E0ZN5vR5MGvampWe+qYYJVNi0TQa +9TtXfpqMjhntdn5sQXl5I5Af+m2+qt1cbb95prUH0ylXDhTSq4M8fsWg4fddG6Ne39sP3doPwd4/ +DvllfX58z/6m5kZTLz+DeXFrf2uyw6/ga7tjj+f4zezwi/rcU4d9X1+V22uMXPm5Kl431dRuv/yP +T6XztoLjuCtsnfgevASz40lOs7QHsx/fjfwIr3HsSDb7PXKXQXG0ZT8YSP2q236y0trBzzTtxrBF +kWRvZGzq5wcI+bshFGI/0Csj86O/pgeuatfkCIYzJSJv9KDDftQ2rBn4OxfqQ3+WH9fGr0FqscSP +DyPHYDAY7mLMO7UbrraKtT8e9qvKcjVDhqvFcVUewpQZjawv1GtGNWEd/OAOQsaw+HCdF3HZHNK0 +vvxx/LQ8ffd9+T0+vaZhv583rnMfdBnHtWkyBlNlzqjO1EWMyjUwFQwGn59+Znj73Weff/3+s89P +f9BVeKewMHhD/A9NHvDuuzZ/e03juAcqa/fxFXg+v2/zKy7OH9cT5MuW5R5YHsnOlXxxmsPrjNKt +3pBu5Twv9+hBOonj2vIO8qE38vo9uvR6Q3/exHV/9/6zNz/+rYPB14oHp8rXuXp8dPUwePPW6mvr +fvP+x0YJEurt31T5dxhVDf4QGuOqPAjWKupUFVBJUAr3lobwxHv6e+HrJr6jLNI/1z1pJkQohxIs +LSg3Ura1wb23G8nyeVS/DcRBKKP6iY9hA8fb4kpZlXlLX9XPN9+pryrPvRkGY0knrRyAcqGs3avO +uN036DLoztIlp8lIfr8OBgsY1a8hPQ0Ho9K/xlb858ICBHOl+H2cvul4LnkXbRdD+6AJ18Hbr5uB ++iZc/f5tk9+uhjhOed6rrA3UPqNWvDwwI4bZozXq23jKSvC3j2EKWQaWzKJ3YapeCpYs29fplP1E +mI0y8T/KZQ6+Flttiv66Meov/vSfP/vlb/70s8H/+X0W//vhf7PxRRj8Lh9fiN/8/v9l4zcBv/vT +cmXrs9F/Yp/fSK+/E3TFnpNG/aXwi3EhMypxnu7wuCjvRFonTm3ERuU+Tv8NiNKpN82T3JtR4/Y9 +7Nc+OeJ78qZ1TLQbEN/HZSyuW2bCqGmd9De+BxPt6j6009rI8/yuuY6NSlyfUX+hcFR5ZWoZVsbU +ZDDNZ9TfKxxGAahGLcPKjIp9IF2YOcyoTL0BeaOC6H5tRv2l0tIpbQbWZlRky8VPwUyj/mKxOjtM +xbDhfn6jRqhMLcNaF0qpUb/4/i9bv/rq+63B8HSM0/H9/3z8H12TdPBFcp0Hg0yc4yvhV0lcWndy +/9Xp/+3ct3KWyOagTFoO2TpxtJPRSYRT6TUX3yLtK5gib2sj8kR6/Av2OVUYGXXFnpNG/V6KmjBq +SHsqphm1AJNG3RzMNOqCaG2EfUC4n8+oXygMwn01ahlWZtRkhpjPqL9SOGVqZOQWPlXkpoxsnOqI +jZrWiVCgTQdJnuR+wqie7u1np7i0ziQu1y5yxXWRPlFP937CqLk643swpc7WRm6fkDY26ldTjJqg +MrUMq2NqF/MZlXB0vzaj5tg9A2szaoFsM426YJ2tjWApCPdZo/7bf/x/P/31r//jp4N/+cMYvx6H +//vDf3fTnoLBt/n4Qnz7h0TuVeHfMnEz8K30mosvxa+/DX1FlqjfZp9vFf5WutX1X2g3a9QI1ahl +WJlRE8xv1JSpuZG66Oglf2zUtHwQqhOX5knuJ4ya5o/60SLNk4vL1RPXRfqMMhNGTfOnfQVT6uww +FQR5ukb9tseoyYioTC3DypiaEHA+plI4GkXVqGVYnVGFiMFjo4I+poK0UJz2FEwzajrFzYGZRo36 +8STAggXrmmnUBfvamX4HQmf6bVjaGvXtv//wz29/++//PPjX0yz+6+N/ZeOLMMjEPQG/Pf0hG78J +OJVec/Gl6LOR2edU4YB/pd0Jo74Vovu1GZV2QS6tB2sz6m8zcTMw06gL9rW1EeVOFX7b3Jt9kG+q +USnwHEYtwCfJVOwT2Wg+oyaoRi3DWqffmUZNphorlJsqpk0f2fxqIzZqmD5a0G7S9mSe7v2EUdN2 +0/pAWidl0nKzZJujzIRRc3XG9xaXyja+b22U5Mka9ed//Os/ffnlH/9pMBB+Pom/fvhrNr4Ig2/y +8YX45ps/Z+OL0NP/UnzzxyXKJnz5TT7e7PON9Eq6rthT8cGoyvBzCfLlX3UvNOEPVojwUiAjZOML +8c2fN1e2PwYdLgMD2Qcb2X1cr8JzGdU6F5SFcatRhT9n4mZgFUb9MrKL4UNDuqlGzcEKZeKLsOzp +lw5n4jcBGzP95lCNWob1GlVhM2w16krxrEZ98/7Hn7x79/4n2m78ZPBmEj9+/JiNL0JPG6V4dyq5 +M/FFWLJsp9JrLr4Iks1slEkz+5wqHIA9q1EdL92o74Rq1ATVqIWoRi1DNWohXpNRv/j+L//4xVff +/+NgIHwxib98/JiNL8Lgq3x8Ib6S7Ln4IvT0vxTLls1slEkz+5D2lXT7vfI17VajGqpRC1GNWoZ5 +japrNWqMatRCVKOWYaZRMWg16iSqUQtRjVqGuY36VWPUtz/88A9v3/7wD9oLZfHx48ds/Cbg9LRf +7ufGqfSaiy/F256+/oB9ThUOwJ7VqCtCNWohqlGrUdeKatRCVKM2Rn37Q4MfPsqWtPvu/fu/f/fu +/d8PBnnIqNn4MrzLxJUD2XPxm4Bly9ZnI+zzLuA9tqLdatTVYDOMKnBN0RZSmDx9+ebBU8pmEWTf +RLxfomzT9PYEpsYMWy7bKuZDn41mGtWtH4+Mxqjj+LjCxTEeEFZfJ21xLHuKa7Fs2ZZRn+qI4Taa +YdRG4ZapSbA4N+pyGDqu4/17r7ccseKWaeBGH0+Dy9MaIUlfFF4H9WI8riBr1MHpx787PT39OwWy +UKFs/EZgitzPjWk6LUFffWYfgB3DVfEv2KgZnKo/yNz2SVfrbEiP07xv3FPOrhva3z4bNX0YhAHO +1eTfzE7MghkngPv2mjGqG68tF/rs8S/BqFNBX1uY/C+wExVdZI2qmz56N2nN6J7EOI8hKjNxr2ta +vs1XsQS4PjGq/nV+BrJizThvf1B+Z/9iuH18Zb9Tu/fw2P4eK79Ly+++7p7fDre2z+03br389t5F +87u3N/d23aYOxXk68Px7ykPd2yO1pTr993ItP/XcPijuYeInQq284nb5/dkovmJ9MKLyz37gd0uR +GKlidYiUzw80t/fhF7IhzfbRtZHCyMq9iGw/Ms0V0uyKrAlpIBm/wwv5+AHmbX4sWqRv2j1pyToS +WfmxZavrUGTlh6xV1/b+pdpxsqqORNZRIOvO2XUn3uB9q1gZ4Geg6iD5yfnlYiDvMGAi8DA/7kwY +Qdr0BlZG8eT3Mp8E5vk5+1JIj6mnNfhgmDZJx3lzZeMw9bjNculeNkVs57iMx30qUJ/5Jf4UrLzs +1+b9n5N1X4m3Hz4M756AeyEW4uL6bjjSLE748vZejR4Prx8ehvvH18MdDaJ9zfQDpd08NjP6sWbx +M5XZO4x+QV1EfkjaeQroIz/pv3si7/J4Pdz78Lowuh3rbuf4Usa+Mm870nX3qrGFXQPBRnfKr+ve +gzwnXvnowtKtzNWV1RkTiXx4/O39cwvT3s6hJgSW6ipH3O550w5puxdN2GH3qmd035Tbvb6U11f4 +WCsJ2YW0nbPxBENbaR9fFTQG0fngXNjeHg5kp8HO7nBwJl0wTnNk3ROpGMzu9ZYByEi9hCEgih9p +v4RRtmVc0uxeyzDyer6YrMsEfWvJqoGMonL5Xgu2RQb2sRZmiSyCQYZtDQ4GAgQjT5tX8e297X+b +ZbXX18Q3hLbwgfIEWBx1qzwktLKQOtTXgvGleCNlKLOlfbS3Y1fi4jKvFepnS9araxFVuBBZuQqz +yaowcTsnmjWv7xW+M6Oy52Gvtau9C+lUQtzoSumRcpsyqpPBIBLagFCYvLvKu6v6Rjd3MrqIqvuR +PO5I63La2d6VobWPou1OnRo4F9cSWh6AK/eeBo7Or+SV1UGlX93dK647wBxZsuJdJBtlWDKm/SE8 +ONPkQRzthoEKGIxtPoF+sB+0tDAJtenUc6J6pA9gYW9HMph3Cnmpw3SodOTZ4XDJ6wHIIIJ0ZAtp +eNA47+imOTRCr9gmzgtpBlphWD3IE/UHTxfn3eFsQ/eQuNFR2LoEtHIgV6yjhHx2kBbst3PQTOCe +1sphYwfZor5JR0wKcV47b5HdGDv0r00TBshAO9SZ6IjVRptX8YxHrpwLTOhI8vfpaPesiSdsYwf7 +iyM7knOqjrA1UNjIKl0P9g8M2weHw6uHu+Hlg/gi+aeS1Q4huH/40BxOSHEMPA4fEMC8YhiInB5u +B4+5o6UtjdsBiK7kswECESS0X8GeCGpxWvJSv5UNV8pDalcCy+BbE/x8eKjOcr19ZEkNSWnzZHhy +cT081iRyfq22lf/ugwZoUFCMHFn9tNP6d/dog2snXob7Mk4Gw2gDGzCNweKBZv2FZBhbutlmqS89 +efogkNFmTiGO65BVsqCrbdXlk9Y+2wTf16ttZLABpD40dY7bacmKnjmoUnlOkm0wK+z5CJscktsI +pqvdhzwpWc0mksFsroGJ3T3N+4M8tt/yvggpWSm7o4maAzTsHRPQCMYVHVk/mr5aekpWJlmNHxs7 +yBX66ukDDXTTEeBeE7qndcgqtHqirqgOwlYOO9MnJlnvq5CSlYM9xquNIyal2P6tjiSX7UlVn8rm +yHp5PydZl7kM3jQsexmcetZiJJ71KUg9aylSspZiacvalKxPQErWUsRkLYLKTpD1ELLeD6/kkFhB +Tifr4MSWA3gam3E0UzDD494nlghrAEtbPCuCH2jmYra5lecsmVRyZKVvzIb7PMqgj3fdZ40DDksk +g3kNGafxGg1JJzzr0bU9AhkJdsId1xMGmnkNZlmlxV4j9qzUsaPZ1x7bqC1k63hW5W08q8qobN6z +qh6VQw76SBjDtzLRPnLQB+TQ1eUiPSVr431OpLcpOpK3GJh3HZdNycoYYguEhzYdhXhg+lDesY4k +k+xt6ao/JuvuhVZtV1oxBG/o3rGtCx1BJvSEjsKhl5WNyOqPsawOjfld1dnWE2RhKdzoKNx7PTFZ +0ePWsa2K8NBs7zry4KHREcQMKxBrMyHrQJ51cHwi2Rlb0vdUsjKQtWyiAzTMAPSH6LYciwRYF1jm +XoXOX93e2qlxLt8sZD2r4lhO2dJeA8NeDIg9JmEGLiQxwo6NlXpWM5T0if5sGZvIaQTjkEewsKfJ +iDFZqaPZNiieiZLnpp5XQIamfCPbIJIj9qz0ybYaqmf//kNbp6dvSUbfR9nA1r2npWRl8DFhtzqS +Dj2toyPJ1dFRQla2HSO2Ora/1/6O5XlIA2MdBbJ52YSstuUIkwY68u2bo9URZwTIFukoJuv2XiCr +lrF76ChxSLZkhWiKa3Q0liEmKzxynjSTkeqMx4f0MAg6tUk/lH0aWTXb2SBURQxqD5txuPc4BIgN +5kA5DKL2muQJdVj9Vk+SnkHjRT2frlb3GObVgkxWt645z5slK2lBHsIpARvk4vryetrYqGOEdjr9 +EWKygjg9yNWPrgydZTBlgz7I57qZBylZYyyko3QZbDKFtKw8PTImZDXM3Z9uvollcDuelG9qnUqL ++tLxrLrGNre+xf02JHUrvZesJ6w6ZpF1cNzM5pr17LAH7yACM1PgZe3Uixlay2WfRcwgqoMyvPhg +Hlj1cEjFco7DCTuQ0kxo3kf12uZbHfLlVacTEVgG32gZfHhyNTyUhzm5vBleawNO2l5YSnASfHlz +Nzy7vLZ811rz33/QMl7pR9EsnyMrsvgy0ZZ56CNSMssnW7LgVfEadgjS9DcetFan6uIQjtkZbxh7 +DVviCczSNlMTdoImnpU6TC4tp1gKTxwwsdzEayCTzfwKh3bSZTB9oy6zny2rm3ykW7kD9aGVrdEn +6SlZG71oIlf7dgobpZmOkJ9BF2TytAmySmf2JEBLfZaLyOdpVofQ0VHPMhin4gdM1LH/+KHbDvVw +epvRUYesKmNeMFx3I3mIa3SkdmMdhfQuWTlYwtNLR4yFCR2pT66jsF2wNnvJSrk5PGvT+LihLsIA +bYX0+ELMUT71krl7j0vT4tmMtKxnnSgzHyY8DPV4XczW89ab86xx2XnrESY8q5ddoA6Q9azSW+d+ +DkyQ1UHcgjrqeNaOLJk2ptTbIWu8SptXloCJA6a4rmmgTMCYrCIpODwak3V3DrJe3CiDlPPAQY7Y +jXc6u7oZXt3dDi/vNJtJUdcc+sg7En+g/cKhZo4HebNz3e+rLvaZE0IGkCcm1Z5kYL0P9jVbgl3V +wRH/6ExeT+kc/pgnVniPwwU8l/KP8IrKvzfHPraPrLvXzf4Jr88s7c8BDQw2vKkM0ezHxjNrSlY8 +KqsI3wPbIUOUbt7QvQdhT0vIat6Z1YvCPObyGdvT2z0Pg4NZOqxsrGw0EO25uGQhvCfPY4cnIQ2Y +x7EBJ2+Nd7RVQyibkNVWHhobu6wa0JFWWJ7W0ZHJNpZhYs/K83TsevMg+4VDy5BmpEMveDB0FOk6 +JavZS2WxAbpqHgeGvLqaHNSFjdBRZKvOnlUy+1lMo6OoHsG8oOuIOiMdxWTl4M1sLlmsb1rNdMYH +ekCn6mOrI2szIite1ckqzEXWYxGNgX33yIld89IBryPyQgJkZal8pevVLa8GstRsBv2t8rNUpY4D +liAuaII9DBEpBPJxCg0B6YwdHqizxO1rgNnL5Hh8lSGeK0RlyW2neeThVDBqI4ccWdvndCyFwqBn +ILRl3CAolEMLI1WTr0tW6tQA4iSYLQIEa9NUD8tN1cPJrZ3eEiaO9JisioecEA15MVb3NFjlfAAx +cKgnWprFy+ARfVI5yGVkC3kczUmn6mByUl/ik86UrM2gOW5IqgHeec5K++RFLtl91jLY2mTZGCYk +x/jEHB1Rv2TisIn0hKw2XjQRMdZYcqbbqZbsoR/xBDKxDA76tTHg8SENOWwpjhx2GjzuW4esNi45 +77loINltqxfydnWk/qXLYIi6MFlD468RObLm8s2NDlmfAMk1cXhSiJ2IuE+Bv1L4VExsFUphOlpO +XUayTPyi2D6WPE/hi8o2ZBV5j0TSw2MRWeMUHB2LrBqnObKydL3RMpa3go7l6SYq7sGePADL4auH +h4VwFi3JdnkTKXhUe+TBklcdOWCzryuvOEKM5jDronsIIFAO+D2kvNZsmWuXPrZkfZDHuauoeEZo +DBpZB4MGEJXr1kBjvueliHign2nP5Eu9aWAZes5SRGVy6XMDQgaC2rujLJPidC27dsOyi9Nl9hmE ++esdruwxKdfmr6h4SdC4t7+2YckdodezVqwWvHnFfp4rxvE/RiAOMCHxhhZnA1wPtXqwMtoD2ttb +4Qwg/iMGti6ksyqiDq6eZnk16VGXlyfMeQFhVhdxXiZcnlVz5UCR64iDoNDeHst0xcVlKpYE6XUh +smJoXoDnFPdEy+B5wIHTomU+JZwJvurgytKfq+ns8saIZqeRIhF5rm85vDsb3tzfixxaGm01f2XE +6fzdB+Iu2sM8sKNlEgSkTp4977JF2Ds3wjsZb1WOesxGapNJgXaRz+sBnOp7fgh6fnNjz68tH4OJ +g8bokAZix32tuDHdYa9cWh7XNhYOWQaPRs3ylyclXHd2bOvXS9Z7HtWEwTUPmNmtTHRSWdGPmLj8 +KWKq6056Evb7FLn0+D6+5uJzgJhteEq+58CmyeNALrjARJlLz4EyPHExspo39escntWJd8YMHCrk +MQ6z9OOHR5vhmVGZvS94bKPZPyUrs/+ipF8FkCldFuKVOPKnH3H8utF4qe4h2SHH+YOj4d1D95HP +uoHdmPU7cZL3+uHOvHgc/xyw1UF0zyoBmZ99vKl9J+v1LauZhhMj8QHO8BYe+jsT3+5F0D1tMcjj +ZOVx3W54po6uLwVbKU0j67bCuHKWYi4AZEUplyIoJ78HqvzmMU9WlnW8HOGHQM+FHFmRdXfUTEBx +/LqRIyv3uzIqz66fc2+YIytAtngZ/FxIyQoZWLYzycUrgnWjJavGXLONuWjOB8QVeMJyF0fBC0G8 +Cnt00vy1V46szR+fz0HWRWaoTV8G+8v9mwi+QZWL3wTYIVgmfhOwqbLFnjWXngNl+sgKppKVDTLL +XTzjfHiwMnjc5lWtuzbNXP2HDxZPerdcBbrLxz8/GHS5+E3ApsoGb+DCInb1Moci+Oj+wYgK8KpG +Vk1Mq/GsC5RbB3LL4E1Bbhm8KcD+uWXwpiBdBm8KnuRZI7LymurqyZpJf05UspahkrUMlaxPQCVr +GSpZy7BMsl5Usm4OKlnL8VrJyl/8QFj3rJd8MO1TOQ2uZC1DJWsZnkRW3kI71j1/Gnd03FynfSmi +knV9qGQtx6slK39tU8maT39OVLKWo5JVBStZ14dK1nJUsqpgJev6UMlajkpWFaxkXR8qWctRyaqC +lazrQyVrOV4tWSFoJWs+/TlRyVqO10tW3RtZA2krWTcDlazlePWeNUIl6wagkrUclawqWMm6PlSy +luOTImvfR74rWdeHStZyVLKqYCXr+lDJWo5KVhWsZF0fKlnLUcmqgpWs60MlazkqWVWwknV9qGQt +RyWrClayrg+VrOWoZFXBStb1oZK1HJWsKuhk5WfmRhe39jOLIxlt7+7RwvYL3Df3Fm7uH9qv79tv +coT4TQE/IHx4dZNNe24g2/F1o+NNA7Kd3W6mbOD89i4b/9zgh7iNrOIR3PB4PtMCx3aNS+N4OMYP +NN893j+NrPwStFXO9YSfg7+zBvmgE7+Nyvdi9vheTAlZzyXoVRMeXSdpS0QpWV0mk1GypunLQClZ +RzfheqnrRTdtWSgl6+g2hJEL+ZL0LAr0W0LWVrZo7C0bHbLe3TffVBKH+NV+eARf4BJOb1erg72H +D7PJOusNpnmJZ0IEsvJ7qvsXd4bR2WZ4s1KyrgNG1pvqWUtwfrehnnW/4QI8ghu5PCko8yTP2u5Z +dR1ohrSrSGnQTGBpAUV7VuofhfBeuK4ARXtWZNsPYZdxBSjesx6E6wp/R6h4z+qygRXKV7RnjWVb +kV2ze1Z5W3Rhvwi3c95cGWNRmal71oXeDZaXHZw3DQyOL4eDk+7grwdMZagHTOUoIms8pleELFnh +zZnuIS1culA4cnhdsuoekrZ/IrcoWYEasWtoME6rZC1DJWs5XtxpMLzhymojWZnmyVryx+e62jJY +6+oBYIbwtIAOWV0oEIdTaJk5OGzIzSwysWTi3uO09G7jk4nCILmacJQWFNIha1y2LSOEXwdvwRLp +UKDvyJYu0022UCauJ9ffTjvhGvL1kjUuk/aXOo4EbMDVl+sx2ok1qieuMxfnZcJ1kqwhfVZ/g97s +CtJ0LxP3K7avI67bw5GdOmT1+Gn9RSaWwVyxZ042J1E8HnKyxbJ32pHXVP0TZEV+5RucN9xhdWpy +hPQOWZfyWRe/55qkVc9ahupZy/HiPOsU/iyVrDYTHGkGYUZhpkm8ayVrGSpZy/GiyCpPPTiWZ+Ws +h3i7H6dnyXoYyHpGnkXIeq5KcOUAwi7jgIn6/URupSeuhWT1pe8mngb70teX1StAMVnjZfkK5Ssi +ayzbOk+DIeeBwDIYZye7xxzKktU966JknYXqWctQPWs5XtwyeAqmknWhZbCug8urxqMyK2g53Dwv +GjdWRFbNuoODkD8cNK0CRWRFNpeJQ4lVzcKFZLVDL+yDp1jRM+oisjJWkI0wcuUOv5aEErK2smHP ++JnrEpElq61KwwETy187yALjMss7YCLcnoqpkeSkrXrWMlTPWo4X51njxzVwKTq5Xi5ZqTCsse2l +iGhzDCpZy1DJWo4XR9ZjkROPDn9Ia51fU6Yl69Ofs2rZa5tkNUD8tAOm+BlUHE6hZdLcz1mjWShb +58jTJ9vukDV+fhbXE8dbmhA/Z80tg122afUAf0YYo5Wth6xxmbRO2vXnrMiYWwa7vLFsrY4idNJD +OLQ3SdaQHtcTl3cEvdkSOLfU9P48QTbQIWtb5xTZkMn15vaN00GmnWn2mwgLWbKGg1nbPsrmUw+Y +IGiCesC0AaietRyv9oDpqWQdXFwPB4RZd7t3DWmgmKzunfy6AhSTNedNl4xisq5DtlKyxrKt0K5F +ZF2DbFmy4lXlXc2zngXvmpRpyaqV3NPIyjMi37PqOqDBkAaKyJoQflUoIiuyrXCgOYrJug7ZpIMi +srpsK7ZvEVnXpLcJsm6LP2fsVZvXdXltt3fPamRVHEQNxCXPYsvgKcqvy+Ay1GVwOV7cMtj5k16F +2WRd9IBJM4EdMPGy8rTTYN9wU94357kZjQMmCWP1c53IM964d/5+NtnQgzY9PhgIcR2yku79iutM +2/YDCJctd4jjbUX12Ftenu7ItUM+6o7JGsvgZZA17hMgXzgoGSBj7hDHdcQ19Df9G2RDrp1wnSCr +x8dlMrZoD5iQK3OI0+qI+qbJFnRkYW8nKpM9YPK6yZPagjiXTfYdoMM4XRiPI8HbzskW6bVNN/uJ +G4qfICuywJ/4RX5PE7pk5RSYPA1RuV/sg2lxOIPqWctQPWs5Xu8B0/iRTRlZ+fMeXt4nzHOiZexZ +14RK1jJUspahj6zmVQ/FI85+8MYH4/QOWd2zFpFVV1sGE2YZDKY9Z43ip4JlQ3gdrX3tsA8uSwFK +ydq+bphbAseIZVtQzlKydmSLl8/TsKhsyp8l64x6bNtAGLlyusuVX1A2UELWVjbC+5kxVyBHiixZ +WTYLnAbbF1dk9/7nrE8h6xyonrUM1bOWoy6DVTDvWZsBNZAbt79r9SVxQDFZw8ybneWWhGKy+kvo +szzrE1BMVj9U4iBsXs+6IErJ2np95Iqfay4ZRZ7VZQMrsmuWrBwwcaiFZ2ULyYFYdMjUJasIGpNV +WMyzSvH+ORd7N/hAjXma8GTPGre1ZLxKz7pCfTlKyboO2UCRZ12T3ibIqjjbq0JaIf00UpasEeoy +eANQl8HleJ3LYDnC6xtbyZoXvlJYwBNnyTravxieKUNFRcV6wcfyB4dHmsS3RFqRk+v+vh1OZcla +UfHqwapxXvjLORbO3ecQ5yGcuxe4j+O00uLJi3lVQJgnMbPIunN8PRxd3w/3P3yw37vBFfOTCoAf +pqKR0e2Dxe8/PA53eG6Eu+b3cEK6C2hrdJ4z2XG+Zg8Esfckw2abgyveOeaK0Pztn8vCsTfvJvPB +KY69SWezrjqbTqleOkkZ/8aNdT6UZ2mhfM1f6ofOswf3t0mo0/+YnpntWstS1WcySTZXnN/HBwNg +cIVMCnPwRjrtsy+hfi3B7bdMqAcdcKU/tId+KCNZrd/0MdnLNHKdD7f3LmWPK+n7frituP3HR+Vr +thzp2zBZUCf7JYX9MZydPWAD+hbybTMosC8/qKTxsL2vdg+bds2mIR/1kYexsK16d881PhTHvaVd +3TVt0D/atn7qHhvTnvqA3Uz3yOX6CfXTR2tX429b171H6TCkGZSfcckWB7nQzXb48zj+VnQkuU/O +7yyd68m5BjpyUFZXI8H+wXBbsuzStzvw0PyGE79BY3EPw+0rLUfZN3LYk+IMmQV/zGLQfZzOvb+N +RJyFBXuWyn7U43x/qvDOro2d9F2GDllNqQyAABRAJhS1yy9dadAYWSGxFIQBB4Pj5lfk7kVWKWhb +StyVoUY3MlZUlxGOAcnVBrKUxWDGUIqzQROT1YwcykJwCCAjWBnSrY7m3oyPAaiD9LisQWkMUK5W +JrQ90D1tohR/ZUztD2SgtgyDiLZowyYB5TFCRXVDFq6UC7J5H8mzrUlsh3vkYjIIfWxJwr3asTTk +Yt/v9SPXtsgKiYLeiW/IqrIq7+23ZbJQOronP/3yP8xgknM50DP2O9NyjAGrAb6tvT7yY+OGrJIl +PMxvfiHtpiG04kaMAclIW4wBa4t9G21bn3X19rliB3TpcsVk1cTakLWpxyY86lV7Vh9xIhO6asiq +dtEh9ait86vGWewfaryq/r0DbKFy6EJlsNVge3tOsopYLRlBIJ6T9cjj0CkI9zmygllk3R3ZRGLj +MrFjoKr+fX76WUXFJ4H37z8bfCe8/+GzNz/+LcKPnfvPyXeq/F+Dr5vr6XcNLG6Oe4+zsNcR4t9x +L8RtIBc4FVK5239JwuenP9j17d8+fvb5dwoPmrjPv37/2Zv36tQPP1rcmx/+9tlbOvZWAn3+3Wdv +vvtRZf7WqWvwRnindK5vdHVhKGPxgofJb3WFsn7vZdSm5SfsHSPua8UZdB+XBeTxa1yGOijj+Wjf +lUQel4uwt+3pDm+PPHF8yPf52/dBN4rj6u15/rj/ad2hHDpHd6bXwddd/cbyTwO65+rten/8XjJ8 +/o52vrP6sSltma1lU0C+8bhobI5sn4cyVo/qtLFB2O3pV+DtcQX0HXg/JKe1oXqa/oar0t6EtkEj +XzP+XAZLU1vfvVecxuJb9Yf4N7IBY9PSvc+DgV1jcqb4XGQ2IhmZAqliMqb3FhfunYBtHu4jsrb3 +Xi/3wps3ku3zsZ5i+D+MEid8/rWIqc6iKJRvRJUiGqIGRUXKxJimGDqZEoZOAxusSrPBF8KkM3AQ +jnsMGw9AH+Dktzy6EkfYy2EAynKfDnjiyeNlAPF+JT95SKdt5KQ+wi4jeQkTB+L643riMkEO14vl +87IuIzO310m/UtmRCSgc67UlBkjL9MF16vJSl8vlebAlgx9bq12zs+K5ethBHh8HRhyRjLFh40aw +fC5bfKU9twX3prMoTwB12+ShcKe/ARantk02xi59oZ5Q5pTJRekQF3TKm2dtZIjJmaIhq/I5sYxU +3AsdIoZ7iwv3Tr42D/dzkpV2Y7sI1kf/l5K1oqLiGWATSSCrQxNRlqwsHX78+LfP/rYgSspUVLxG +lPLnna12WAZriW4rPF0/15J4Glkp3GH7DLRlWD5m0p8VLNPC0mjjINm+jvZhm4ZTZvhM/CbglMGc +id8EwIW3YcsyL37Ust7IGpbn7VKYJXRK1l/86T9/Nvg/v//ZL3/zp5/974f/tXCL3wi/+1MT/qWu +Hg5oywx+14nfCEgm5MumTcMvMnHLxuD3P/vN7/9fPm0a1iGb8Ls/VdlKABcmxtzvA2+QgbRElv9U +md+Q/jtxKIXyGj/931SyumJ+GbBssq5SiZWsxahkLcMEWWkX3vxJcUB2HyQcmkpWpc9P1hl4MllX +iVKyrgOlZF0TigixJmyybBNknQPLIyuzAbMDAszyrPHsNW0mo57fNILYNc3Lvcex9I7jPeyI0x2e +LyZrXJb2PZzWyT1Lf8I52TyPXReQrS0Trn1kndZf7lvZhLgfcZ74CqbpKA5HcVlCzOqvy2b2DeEY +mXaeLFubHtWT04vLQ/6lyTaZPkFWZKEMS2Gwcs/qlXONBRE6ZI3iNwLVsxajetYyTJAVwBsIa5NY +CEfpY7LqHoLiJIysTXqHrF98/5etwfB061dffb/1Px//x8IdKN6uvwrXCF94mUE3fiMgmehTNu25 +Idm+Ov2/+bQNwGkYE5uITZYNLkyMuZg3X0yOx7+ozFdfaSx8pXvDV831tEk3fvq/qWT9XpXQgDfI +fZReRNYvhF+FMEKl6ctCCVmRzWVCRu7TPMtAKVldNuRalWxCESFi2dy+K8AmyzZBVrgDvhfgSMyl +gOWRdQaqZy1EKVnXhOpZyzBB1jmwfM/qM8JpV5BisrpXWKF3KCbrmmQrIqt7hVXKJhQRIvZYK5Rv +k2WbIKvzBs8Kl+BJwqHlkZWGAY0yuJKOVs9aiFKyrgnVs5ZhgqxDeBPgPIryg7oMBpWsxahkLcMk +WWdjIbL+23/8fz8d/MsffvrrX//HT//7w39buMV//MdPB7/WFfyb8AfdR+n/5mUG3zbpnhaHU1h9 +yk/423DtA3k9nKszTk/jJBN9snBcNlfGQT5PR7ZZ/fDwvLJ5vsEffvptokvDrDq/DVfyTas/LpvL +l2snKvPtH5ox0cEs2Tydq8sZY17Z4vRMO9+G8drBrDo9jnzT0mfFzZANLvz628iu3p7bmvtEN20Z +4hlzLZr0f6G//m8qWYELxTURsEPWKH4jEJN109BH1g1BlqwbgixZNwQTZI3h/Ek4tDyyUnE8qKZ5 +1ih+I1DJWoxK1jJkyYqd3UuTlnjsMVljopaQlWUwV3flyyKrzy7JLLNUlJLVlblS2QrJmhh6VSgi +67pkKyFrLNsK7TpBVm/LbZ0SWVgeWWegetZCVM9ajBe7DO7BdLJ+W+hZuSaCFJPVD5j8ugoUe1aX +LYlfJkrJihG5MmOv0EMUkTWWbYW6KyKrywZWOOYmyJp6Vtk95dBCZH377z/88+BfT//57W///Z// +6+N/WbjFW+H035swVw8HtGUG47iNgWRCvmzac0Oy/fa00fsm4jSMiU3EJssGFybGHJwhzq9vu+mU ++a1xi7zCb8MVKP1f6a//m0pWAGHja4RK1kJUshbjxZE15k+GQxNkjaH0+cn676qExoE11hWkQ9ZY +kIxQLUhj9iDs1z5Mqwfk0j2uj6yz6oxlm5Y3TpsmRy6uj6zT2gOrlC1ClhCz6nTZSPNwjHnlmNHO +s8oWI5M+QVZrT/eQEY5wjfKD5ZF1BjpkzaQ/K/rIugnoI+uGoHrWMkyQdQ4sj6w07LMBXjURpJK1 +EKVknTXbLwlFhKiy5clqRAxxrFTjNGF5ZG2JGsJJpytZC1FK1jWhetYyZMkKZ5ykTyXrz//413/S +f//05Zd//Ke/fmjCP//jn+eCl/m5rt/8+YMhl++58OWf8/GbgG/+LL1l4jcBf/xrlW1RDAIX4EQu +PYe2zDcKf/NNwB/H4Z9/o3zipP/LkfXLv34QVImuVjEDCwRFtelxGdXjQsThLJxEM8k0o55seoiT +AnJknUs2lV1Mtily5OJUf46sC8k2Uz7HvLIFqP4cIWbad6ZsuTI5OeK4yfSFZXOZuHI/r2zZuBjd +9JisY+6QJ4RdbvXZ0/NkjTAPWWMhWoXaNRKQRvvKbAiqZy1D9ayLo+NZY9v2hYWlkHVetGUGVJzP +82yQTMiXTXtuDLRtwFi5tA1Ala0MDfHyaX2oZAWVrMWospVh5WR98/7HnwzenP7k3bv3P/nx48ef +DAandj8P3hSUWRskE33Kpj03kO10c2U7DWNiE7GxsklvcGGhMedlGAunugfvwhUoj/HT/1WyPgOQ +rZK1CJWsiqxkXSOQrZK1CJWsiqxkXSOQrZK1CJWsiqxkXSOQrZK1CJWsiqxkXSOQrZK1CJ80Wb/4 +/i//qP/+8Yuvvv/Hv3z8+I+Dwfd2Pw/GZb7Kpj8rJBPyZdOeG9LxV0HvG4dNlk3YZL3BhYXGXCjz +FWUM4pHje/JoDNNf/1fJ+gyoZC1GJasKVrKuEZWsxahkVcFK1jWikrUYlawqWMm6RlSyFuOTIavV +U8n6/KhkLcbrJWtM1ICUrG9/+OEfBoPTf3j79od/+Pjxo4XnRUmZdQL5cvGbgNPTKlsJTsN43UTA +hbcL6u4HL3Oq+xRKb/gZ/lWyPg8qWctQyUpkJetaUclahkpWIitZ14pK1jJUshJZybpWVLKW4bWS +9e0PugLdE/fDR8W9Tcj67v37vx8M3v/9u3fv/16NWXhejMu8m0h7frwz+fJpzw/X+yaiylYGuLDo +mPMy7+DRe4V1fR8gciqOfOFfJevzoBKiDJWsKlTJul5UQpShklWFKOCZSkAj1pAaTONoI85bUVEx +RhFZX5dnbeTLxW8CNlq2MCY2EdWzqlBMPOK8USsU0t+7oqg85Jkk67sNIfDkMhivb3Il8c+BiUFn +Mr1r9f2c6CNEqs/nQF42H3O5tPXBiefjjLDrrLGr7Cv5x7I2cls+4maRdXD68e/039+dnp7+nQo2 +4XD1eI87VV7u27xRmRi5uOeAyz6+H8sfxz8HJmVzPL/ucjJsjt66so311s33HGDcI8c0TiB/k6+5 +9zID0gOMa4Qpa/n8XyjU18hUlJSpqHilaImXSetDWyYH8kwja8Vy4UYxHXMf9Iy+3bDtNeT3Vcw4 +HMqEMPGOuIwbOM7jMhCX1ul5mvQmbSzb+DrO0y1D3oqnw/Rquh04OVtbZslaUVHxjHDCxrD4GWT1 +2XoexDPvk9AKF4XjtFx6Lq6i4iXCx3AMi8+RVYm4ZMILEbCttADT6kjjc1cH931xCbyPdv+xm1ZR +sXb4GGTp2y5/Q9jGqZN153xY8YzYPh/uXNw14a0TIcQTjvKM08/G8W1cKBeXD9jau4zKqyzhQVQ3 +cT1lm/SecMV6sbVzNqx4Xuxe3tp17+FxOLq5V/h8uHt+06bvnCl9+2S4d6f0uweFQ1ldyb93/zjc +2iXfzXD/8cM4XXHbexehjmsLj67uhvtqZ/voari9fzncVhpldi+QQZPG8XVT1rF7Ptw+UL7Dy258 +xVoxwKi7MnDFarAjMkC6bXm2jvLRu0ixc3IdSNIQlXvCfjUy3kNOEVXXhshxPScN0QNpnWg7IiJE +9bpHtyoHgeVB90T23cs7u98VgXev703GPZUf7Jwk9YvsEBqiUj5Kg8A+oXDN9b/i6UC/8qhaHmGA +itUjGuQtEbXkbD3qozymSGOeTQYa3QaCisANUR+NZJ26FB5di3TUqTL7H0T2Q5E0pG+PGo+KwbdH +5+ZRqc886sHFcFsec889quqa9KgNIRuPqrESpwGXhWvF8hF03hA1VnzF2uFE3cKbYRyRo5sn8nJm +vB5YWqgjxPnSt4NOHWGijtMrNgeaSLmulKgDDQAOKXLhOK699/Rw/VSwfTD2gMtHRMT4Goe1HDak +6X5PGleHp3m5tEyMtKznja8gzhfHfyIYaEIdHF1OIEtUI84S4PWda5l1eHw1PNSy60bLuluB+Cv2 +XLqeyZPcfvgwPDy/Hu5puXZ9dz88VtyBlmVcvR6Qa+cpsHq5vkZEett7lG7vtJwVEfYextf9R+19 +lJfr/oOW2TdaCp9wmHShuKbM6LrZ51r5UN/2AcvySy3Lryx990TLYsXtfWjyU3734lLLdLWhenfP +GWyRTGrT4iTH6Jb9cZPOdXu/mVR2zpJVgPfrFWOwL6JeXg0HFwEhnCXqnUjzVEDIlghq5EL7p8Zr +ngxPrm6NgOyLbpSXePLv7F6IqJfDy3DgwZVHCC7X0flNtq1S3GiPNhhoAGpwvTbsf2gIaLqDlCId +JBzdNV4bgvFIZveKPaeuIhr5IBdpXsaJamUhbLAFRDTyKs/OCafIIrjsCfl3LyGdwiJpQ0SVpc5Q +FpC3rSuUR5adQ4XvlVeyQ/y2D7pSd66vrwnmUQeD4eBcV01kFr6QHoO+OkTFu+0dKJOU8xR4fWci +5mBwPLy8E1kVb0S9IE4E/QChA1H3zocjtQtBT0TkweBoeMUBSqgnrf8poP7rhwe10RB1W23boHhN +CHozsoTBD1HpM8QzYjpR5R1Jh6ikm3cUMcxbCpDaSQ6MqLqiO4hGXVy9DGkcUlEv5dCv6TiUN6KO +mjCeFU/KlUnb2pEskLbTD8KvFKwijKg7R9K/yLm1pXG63YSPjvuJus+xvivoicAo+6y1JRBk3FPd +O6OmPZa6XPe5yti0C1m3NVsvU4YUJ5c3XaIye2fyvQpI/9sMeoUhT3uVDdp4XRksXHeOFIZYnAZr +KQZsMCU6snxxHZQhLAKSt6lTUD4baPHSl/zUG8oZaRkf5GXwEh+I/Clg+zAQVboYXOoao2/pu2yi +rgqDOeNyWDZR46XcU7CsepaKhGCleNU6eiI6RGVVI+xeaRshbGmf2r/01V6RZ2o8b+MZHM/o7B5c +N3tHHqwT5nnd6ErXo/Fhw1YosxMeqO+E53Pk94f1PHy3e6B8Vs/NnYV31S7LZSsf6sQjX91yfy6P +fDG8Ul6WZHH6tdJZPu1L/jOR0dNS5Ii6w1JN7dpSUTL5s0eHncDhMdTO4FzK09XSdGXJ6PkYSNZH +0oWJlxPkhQbsCamHa1hdAFtGRvVSlreGds4bW7R1hHSXA7nsdDBOj/Ltaruxo5WK1al66GucZ3AS +BoNg4aisLUGjvM2zVtk/pyMtiQfU7bJ5GjJEOjI5/OULwqqrTQPs1VodqZ5YR9q/WTnudfVnvz5e +27SQ3tVRJC91Rnl38P72GEt9Uz2dR1qUP0t05GV1jXXEiyPwYQuOoCPKeD3C4FjjKNaRri1Rd46H +g/0Dw8XD3fDy/m54oS2jT5ZZoo7uHk1YI+rdgz1k54E3hBjJ8Dx4bx6q86qb7u3BvOqgYZWjjAEj +SGhTqIQmr13PRGwpi3ge0m+rHn/rBuyrfjrtch2fNxPBvQh280ETg+o4Ci8MQMwrdWhHSobMl8Kh +FNI3+04QVcss65+MZXKpnL1gEJdDuSjZBxBLEuIVjgehl+O5qE1GvNoX0tpyDBLq0zUmfUzU9gUI +XnCQbndERAaT12X1UB/ySC6rL6TFsOWpylGHTRqaiDoEs3KSw08YGQtel+qPByH1GNGl94Hq7eoo +lJtDR/TbykqWPekIu7dplONEmCUxKx10hFdRPOkdojKO7KDy2OzHm1jxXtjLNTpSuXjSUb1tPbpa +2X1OqpsXTOJ6tgLB6Y/1iXHVoyNeXsHu9jJLbhxpi2FkDecC2H9M1BOROBAVkgbMT1TIo3tmZZaX +Iw0i3qCxB+sSZuf4yhTVdLgRoHmjhoEmAkgpPtsZYVVH+9pZGJDIQB02uG8g0XFnpj2CqFLgnQh2 ++9jMVEci1Z4Uxp72mplH9eNpIeq+ZFqIqJLXiOoKjgkGOoNQCu0ZhGYY+k+/pL+OoRRvxGSQUB9L +nWlEpR7pvX2PN+zpgbWPHIsSVXUS1+ahnOoeD8IQR5rydgYh8sgm+UEY+iR5TCaRsE9H3POuMVdW +X5M6Ut6YqNbXJr1DVIHXIBkrVof1ddzO3EQV0FGHqPFklhKV/XOPjmyloL634+jhQ5tmgKjoqJeo +h4bL4FHPp3vUK/N8uxfN0hdSMfDwfM0M1rh1wvZqmWDpvreVEnxQEE9+6rD3QiEsHWF5EWZo2to5 +UJvKu3uhNkRmKxNI3QjJIxuVUefBxfWY4G16WA7jYU9E7L49a27pi5Hs3Vf6hmyxoQSWTbZkIcwy +yNPUx84gFOx1Pslh/Q968DTbhzBwMBLEj2buztJX5ZvXAlmFSP+RLgyUD3Igly3ronbifPRre78Z +tNgDO8TpJg96hLBBNk+LB6HZ3ydclne7iY7oDzqiTgaip5E/0hGysDzcZuJRWlZHTD7UgzzRxDLh +USWPyWU6Uj9DPoOVb9owHdEXLxsTVVcbe1opmv2la8Zmt55G/gE6QrYordWRCM7YYftmdWqMbud0 +RN3UGcg6QdTgUW3pO82jvoTDpKdgqYdJGCQhaik6RN0ESJaORy3FMnWUeNRiJB61GEvQUc6j2h5V +uLhnQmzyZT0q3tFeztYMw5UZxjwmgsUz8hrBG07skcFBCOfyzUKeqPKq3i/N1IaozIDljisseA1L +0zVd1pneNLtywMZfx7R5A9yLxt4UpIdJTT14IHn7tB6F3cObJ4y8ToqmX9qiYFeWZJItTrcZPhM2 +GeJByH2so2jcgFZHLpvLq+uEjoIzmK2jqB4hJap7PhufU3SEJ4w9c46obAlMR1ZPoiPXNX/LO0VH +LW8U39liBFi/XEeUI1+GqIMTpR+Tp9leWtkJjyphbTkixWNYGmSfxL6TE9/xvnJ9OAxLmNuH+2aP +Kpkhbi7vLOT2qBx8sRRjWc0Bx8T+FqVq+WPLHk1etrckXjLFgxA9mY60FAO80NHWgXFsj6O6eVVO +187+K96jUpZlmHRu+y/J09mj0j5yIA/Lsr5ZXW3QLztDoF3VY7b1dOSQLtv9F3HtoOwOQltesvRV +GB2lkxkysEQ0maTbPh0xcfDXOky0Oye8RZXREQM6p6Nk6cueEn03e9Rmn+l1WTltIWxbwB41PhlH +F16PruwtIbsRHx2FfhqMULGOkE1xoWzXo7JVafhhOm/jA6Rbtiy2lMZ+Wv5miXqmfMe6P5pFVAlu +e0d13E5xIaoMbAOQtbw3vCb4wRGHSddaEvAShZE3k3cW+ojKbEhf7ZoZhBjbBqHyNAZTvAyVEhVC +MZkxwZnBowFhgxcPDhl0tfuQ3iGq9knU0xxqNXvoHe29vR1rHzkweHpQEoG9Nv2xgajBT786REUO +DTobhMjCAOwhKvf26EFh8xodHYU+QVRkUlt9ROXeD5NMR+zFnayKs8OkoB87fKGviic9d5hk95KF +CWCCqMiBzeY8TPLVR0dHlIt1FHRmaaojncycT3agFOJbKK9NZuxPsZ/qyxMVudWHaUTl1NdPaxkc +NpNqIDP4MJAZyRteI+w0V4PuUAq44OAoUvQiyC19IQanzX6YkCoZxdoAlK5MyRiaNMmQegsnJwdu +ZvBITnseGw4RrM5olu/uURuS2+CjzqQe8zQujxk+kCIFcqhfRvwzDgWbA7lOHspDCIhhdY7LdpZ1 +TBzBkyJbOg7m1VFzGq7xpSv1TNORyRbL0PGo9I1n+Brs6Cg8afC8jY6UX/KabLGOkC3Ka388r3GO +h+fxo29zWrQ6ErmQzeNVR6sjxg48ka5n6giZwrXco6rjnQ4Tju+fCTExS0kKeg+TvM5cf+P7JNwZ +hHE61zhvLj2KnzhM6gvn4nLpDk/jukg9CqcetU2Pw3F6TziroxhxmqfH14CJwyQv74jytunx1ZEQ +tS3viPN6ek/4qToq9qh+6tvOvOHK/XiD3CyjbEbgmgpkdTZp4zIBXibcW/pE+UlQBoKCiToF3iH2 +PBaXzooBWaJ6mZ66u/JF6YpPl3Vx31x3XXhcNy0lqtXj9532HVH5bHoC1df0rduu3bflu3V2BqGn +Kz5rs/g+7rfiJ4jq7VBmER2lRJ2JqJ04PpXf5Gh0/jQdhbGt+KyOQFLPmKjHixG1XfqyDtcexw40 +uDcCs0y8scOA5gRQy2MtG2y5F+pAEFv2sXRjz6CGrAx18+EtK6N77b+agxI627N0C+Bl/X0phGel +V7fa/yUK4IUH4sD1/b391Q57WJB63wmiah9jSxbtya3PymMvdMTlWPqwbCFd/bF9D/HKEw/Cps/a +F9pyNdJJgC2/mBhQvgzU7uOEmKjoA91R3+6R9Jss66x9DQRbilEHy6iQ1oHpnrekgj213OT5d5vO +YFK8LZ/Z84cDHEtTe/EgHEk/7Cf920+NLUM9TIrUIVnshHRXdfqyPtER/WIs2FIxeqnFYf2hXeSw +pWako4SoVo+unBxjw5yObD9pS99IR8R7Xl0Zh/aCD+cAGg/2vNjz6t7PA1r7ERfKtjpSePe4OfVt +9roZHXHOwV8Khf5x0DXpUQ/UzhxEtb9mQXgZ107UOCARSe1QQopt4+x1Owkq4YxwCOp7OzodOs6r +gHYSKvLY4wGl275Eglo5iBu8eB84TIJ0fup7fCHDYMStJu1Ag4IrrxVeisgnSucRzt2HB8V3684e +JqmvpmAppTnc6LZvA0eGN+PTLgcVxNPnmKgQXvucZs+ryQqCeR3K2xqJOnS1g5PQVvcwSXWwX1Id +vN3CHiqezGwwUwfyAB8sCewwiUEMUaVv6sMWbR4NuoEGlR+UuGyWJlm6y7pALMWb/ZJHGK2OmDSQ +DRmtXFdHnIRzmMQECiEmdAShOKllT4iOph4mNX9GyThij+kEAdanVkcqF/clJqpgB23hMGlCR8gQ +5Gh1BPlJUx2xjmyS5mmE4vM6QhbpiD4im65P8qj2OUk1iqHtAEFpJgAztJSzL49jb5YQL3Jw+hY/ +D7OyAMGkFMrY5joQ1U7HlLfxqM3JJPF92JXRIN6FyA75INSBOmyvFird3gWWUnjX95o3OpSP/DzC +IS32qhNEVd3NYZnkQ47QR88PzJvaq20yCrOpG0f1dogqgmJsjE4f21NJr8e9BbMrbzv5YBZiolod +THLyYo2ONJlFg9Dq8Jke8sfeIgbySYbGo6pO5bcB5OkMWAaMeVTVAUl5HhrKdpd1zeAzG6Kji+6K +AW9j/WEQo6ceHWEnJ2q7Ggv9tnqoA6KiI9UxzaNSlsdY6IixSh89zQhlOtKgR0fYL6RNEFX95nM4 +NqaZ0GKPSl71CcI7wUw20uiD95MVAnqWnVgx8i3eSR2pDiYeVi7IRrvFHpXBGnWiAxcwh04Z6gz1 +Rp0ap3lcmHH62kuAcRvSBeFDuTSu8/wyQe8eNdRl8np4FpSvMwifgInDJMe8svSB8nEd89anfF2i +RnZbho6mjaUeZPeoYSAvhISoE1igb+lk1mJOHbVE3Q5/PQNZT5yoyjOVqOF+ISRCOYk2DVmiklYi +r8qsnKhPRWmdKtc7CBdBTkeFMi1+mNSDWUSdF0vQUa9H5e2kWR6V/R8vt3M90X7pVgP7/FrLScWx +V7y51z6RJaXcPWHW9PcfHm0pytKZ/DfaT/Z5tl0tjeK9I0tZlp37WrKyl9pn76Kl454wYqnFcoTn +gOz9dGUZyDJuT/vJPZabWpqQL32ZPoccUW1pfqNli+q0PR0HE1GZzvKSJSLLFsLJIKQPttzVbGr7 +L9unRPWwX6I89yw3ufeyMVGlD+phKYYszRtiUd9oP9Rjy6kpS19koH+cH/DKXmdPyKyPHCztGHAu +WygbD0L2yOxRbWmnLcXoLlpCCyYH5RlYXHt0hK3tEEhjA7lsazVNR/Gz5pioutqLE1uyo50N5HTU +3E/oKCEqS12WzTxHxYb2VzCeN/TH9rvTdKSw6TpsfxpdZ3SEHKZ3ldM2Y8KjAjxqeI1wJlE5qOHK +wc299oWcpkJM/iibv155EDHPlMZjkdv75s/PTi61X5XRCY/Yq4R6U+BtD+NDHjrJYcBeU2ZPBrRX +6LSH2WWfq3QIyT7YTvgwijoAoW3vxf5EcpCnrbMHvYdJ6jd1sC/snPoBjMEehUHEIQf7DOIlVzwI +mzeTJCN7E2ROD0rYf+HB0Y3qtHvFk54eJmFoTtttEoG0wWCg2QuyPFLbICJUDPTSDLxwmKQBnR4m +2f4rHJTY/guQJlk63kLl2sMkJsw+HQWSWn3EK3+sI+zqbyZxP6mj0B/2cYxDdB3y5g6TrH5N2Kk8 +vhc0HbHnZRx5ekJUdGPjSASD9OlhksvR6sjHtupIPar/9ZS9ONOnI8iKbLo+aY+6pwoRFqLuqhN4 +TfOQijuXcs6ubozAdogTvCrkJC9E5XEJr/z1LX+ZBGKimqIEI5rKjInaeE/ycPTdXNUpFEk+iKpy +zFA2U3NIFerswwRRNagYwPauLxOF6m5Oo6NyvC1js3uT3h5MSIaUqOjDDpKQRf1s6xBs8DDTMwgZ +zNyHtJioHEbgcezVTTy06ume+qpNxdmf30GMyOt0IL0YUdU/BqfpKk5HDl1tELos0VZggqiub9NR +9OgBiAh2oAQxkM09WKIjJypXJiTvs+ft6Eht2b3rJUdU6gmEgGieZuXQPzbDdsgV0iaJqoletmdl +5sRogT6Qi1UVdXLYFvRG/NijSj9hBcWYYox2dIQXFSkhO1/DaHTEAdYTPKp9YEyKZ/CDUThNI42/ +89xRp/hYGcREMNKbD5NpCas4vmQIvN4UnOL6R84MdJjyYenCO8YIaEtRi2fGa9LsNJmykDMo1/NR +T1tnD3JLX/6O0Z4PomT6HcsG7D7EYSRvR9d4EHp5u6Z1ODw+Se8sfb0eDUKTByJ6WkhvBwty9bUl +0C/rH3rM2QRSeN2EPV5xMVFtIgvlszqyARXiWtkE1RPrqH0Ugu3ipWqMPh3FRGV80CdkmaUjZDP5 +Qhr1el5dmcxsRYVcYax3MK+OTMfNhGf6itsE1p8QF2Sbukc9nuFR/f41ovcwqRATH4suhH2G0wfD +hoBBlItfFBuno5RApZAsT9VRS9QtedTDo4asLHvx4Kyc+ohqX3jQbMdHr+dRyr6WouZplXdRxPXs +MqOpXcL+vLWTTlxY4trLzkl5e84b3YNcmxNEdQ9ZUfEMwFEYUeVtJz4XKvQSlUHMPpM9hMdPA4P/ +UMThg9lXKjsvrtmnIKzqsCW2SLp7oknCSKpltYhHOkvk5st5WoJrT+wfIIuXcZSzMhHBmXCy7T4+ +dojKV935KnxFxbOA8QdRt8MHuAfRB7jlYbNEbfaeF8M9rdM7J19TAKGPzm7MC3v5eUB+r8OIqv0K +RDMiaqPtRGW/bERVmJNhS6dMTFQOppTuaV5nrl1D2BvZfqmiYgNgj38gJ3/ipj29hfu+6+vgoOg4 +PZHrAa/q+VfvnwL+9tUOKCAcy9ikbT/1bcNKjw80WDrPI29FxSbCnmVfyDklmErUioqK9aISdYNg +P0Gp5X2z95ZhWD1o9cKqhGfWXNuw9t38VZD/uR7lWc7zk5RxneTjLS/OFbxuyxvsam0KPKKhHrYS +9gcL0TbBwSM2rjxHpy3q4i+S2jpVNs5fsTwsRFQM7gOjYjmI39CybxPryjPmYxGFv/Qh/ebhTuS4 +tNNzXtkkL+SCUOdXfOis+XM+3gYjrxOHa/sWmMLUeffYvOlDPaQ3k8OVtcWfhPEXRvy5oH+83GXz +MAQlP78+cHnV/BnZ1V3zxteR4pGFcEPiyf5WLA6bDNmnjvbGJ767IxFVk2lKVF5k4E/IeD0QI88H +DQzK3CxS5tMC3gn9AojKQMeLnoc/2eMw7pZrIB865THUsT0e42PjN/YG2J7IyAEcREyJysspRpzz +K6U3b5BBRuJoCxnsFVDFX8pWpJ2rXpcLQFTyQ1Tqubi9HV4pL3+wD8nJA+ljop5l+vspA1vxui02 +zKXnAN8oM7AD3IGu+82zVMLTiMoX/rifBxiLgcHyK5deMQa64jVMHjexTDVPGkiFobADS16+YAGx +jFCKp4x7OZamqUfljyHI64TyN8KIJx1PzDvYHA5CeB6l0R7L6lg+YL8woDJMKGcqA3n5qgaHdsRD +1LRMxRhMmEa6YJ95wErKyvgfju/zdlJA7hXC10jURRS2DsTyEPb7ND537QPpuby5cnG+XHoO8+ar +eCJRmQx9bxreSsruUVuiqqBVEjL0gcMKBNpkovJnd5NKo1/T+7YOcCiUM6gfAj0nfM87mfb8svFL +fr6fHoM4yTZjzK4aXaKOZUntjKwmr8ItUbWt2b3j8z3almhlxA9E8fsz9jI/dXiFTlQKXiuzv5nE +covlGkswPn/CX8/ca5+EMcmTI+qtlmcs7+K45wDLvlRJyMWBSo4k60RKVMLoPj+5rBc5orI/fmBA +hT3qc4GxGE9myMOH2ZsXdDaEqOJF/Bu97Fkh5qO2I5znsJVAn2wxYqLyN778pdPZ3a2Ieiui3k8n +qg3kYBDuOZBgP8X7v2yAIac/CsgRdVcb46lEjQeC6p5IXxJyROVk1GezLJDHy6xwssl5VHQ2/qW6 +DGTgNrxC2VKiMhY4tLrlRLlPd3H8CmVLiQrYO0MGXtSJ48eI4lc43nJENY58aM4ZOBfg5B6iQlh7 +jbWHqGAqUWmMvy/llJEDCh4RcEBBGrMWjwvsaw4QtcejHp7wqGH+ve6qkCWqJhqUmMavGzmPymDj +U6fYIs67buQ8KmS9f2z+jjSOXzcmPKrk5AQdEpjcUd51w4nKZ2uZcO3rJwpbnIjKhIITbJ6ZczAo +nvUQdaZHpTEbQKmh/F5XT+ea3aOG9E7cMyBH1Fb+OO4ZkPOortc47jmQIyrYBL3lPOqm6G3sURuZ +fFXa6o24JFzuUV/RqS8v4OfiNwEMuFz8JmDq1mATEAbvpqElqpNxDjhR+cTq6E7edlGPOhds6ftg +y2P7KwAGnyr2dO4dnXIVFa8QrXcUL3LpOfDMPPWo5/dzEJWTPTa884BTrFttlLnu3twP9z98sNMs +7gHfPrLvxijug9JydawSKCAXvwlgn5+Lr3jJeGj5kE/P4aH1qLvav+6GxzMXAb1E5eDI1tjzYOAe +tVku+8edPJ1v/vgX5zrl1gTbo0rGXNpzg0+vbqps9rfCeIVM2nPDvv/0TONpFniUaY+wFrAr71y7 +R4WkeNXmOeqtiLqqParu0zzZuDUhe5i0Ieh74WET0HeYtAnIHSZtCp66R4Woc3vU13SYVIlahkrU +MjyFqO5ReTvJPKr2qavzqBuGStQyVKKW4eketdmjujetHnUDUIlahtftUUXUO3nTh+pRNwaVqGWo +HlWoRF0fKlHL8OqJKo964R5V+9VK1GdGJWoZXjVRRUzzqA/3Q/7E7UKk9XyVqM+EStQyvOY9qv2U +xfFJ+wNR2V9zq0RdLypRy/CqiQpB7ZfchErUzUAlahlevUetRN0sVKKWoXpUoRJ1fahELcOr96j8 +Nqr94rj2qpWoz49K1DJUjypUoq4PlahlqB5VqERdHypRy1A9qlCJuj5UopahelShEnV9qEQtQ/Wo +QiXq+lCJWobqUYVK1PWhErUMr9ujBm9aPermoBK1DK/fo4LqUTcGlahleP0eVbxyiKyVqM+MStQy +vP7DpAiVqM+PStQyVI8qVKKuD5WoZageVahEXR8qUctQPapQibo+VKKW4fV71JNK1E1CJWoZPgmP +ymOaStTNQCVqGV4/UWOPqjyVqM+LStQyVI8qVKKuD5WoZXjVROWNpOpRNwuVqGX4dDyqwtWjPj8q +UctQPapQibo+VKKWoXpUoRJ1fahELcOr96gip8G8q/JUoj4vKlHL8Gl5VOWpRH1eVKKW4fV71OPq +UTcJlahlqB5VqERdHypRy1A9qlCJuj5UopahelShEnV9qEQtw+v3qPXUd6NQiVqG6lGFStT1oRK1 +DJ+ER+XtJL5GWD3q86MStQyflked9maSCm7LUFmosvh+sDsmahy/KTi+uJmQeVNwcKbJbUNl29Nk +nYvfBOxqfO4c5NOeGy1RxaVOmts5Y+8Jjzrvu74U3Lt7GO7KG4G9u8fh6Op2uKtBH8ePLm5toN09 +PgwPjq+Gu+c3bdqm4PjmdriTid8EHEqnmyrb/uXm2dIx0jgbZeKfHefSWyDd1uhiOLoUZxQPT5w3 +e7f3w9HNfVuG+D1NOsUe1Sq4F0FFTrD38Kh7NUajasxI+/ChmKijmyh83U0zqNNzxcXItF9CVJMt +tBXL2WKWHDlkZOsSdT7dja50vQjhWbKVyBlQQtTRpa7TZIvxBB0WERXZgMJPli2XjmyKHxP13Djj +3IEvo6s7c3ij24a0I/FpgqiLeNQJokqIlqha4hpRZciVEnVJWAlRl4QSjwpRRy7b7WT6slBEVGRz +oq5QthKiIpdNJIRXZdMMURue6KptToeoJ/Km4td0j8p1Do/aCqAGfMaP3TZCxERFgW3ahqAufctQ +l74FiIkqXuyGpS+kHF3DISaLZgvpZSaJCkFjjzqDqKM5l2Jb2gw3RL2Uce+G+xcNcnmfAyciKorK +pT03Dq9F1A2VbZ/BtKGybSpRkWtM1PNsnhSUKfeoPJ7heDkcMQ+OLuzKvYU9jXuhfTwTxW8K7PHM +Vj7tuXEgPQ+2ZIhM2nOjeTyzmbLt7J4Nt8N43DQ4UdMxN3BOIfeom9Z9PKO8MWYSlQqBZoYtKWZw +wXNSsZ2rN0gZhYueo+4LXs9euK4ARc9RY9kOwnUFKHqOiq7WIFvRc1QGoIdXKFvRc1SNYQNh7Jum +LwktUV13ug60NzZdynMOhK39ruz9z1G5LkJUGcAJOpOoUmLnCiRAGw5ofvdRYepGmCQ9V6ZTp8OV +D+IyIb5DVPri6Y6cbMgTBt1M2bz9WI4c4jKhHx2i5vqbkw29BbJmZYt1FBNnGmK9hHCHqLn+jjKy +HUqeQNC8bFE4198YU8ZRh6hZ2cI1wuBA8qA7wjnZYvvl6ozhfc+U6SUqeSEp16lEVf4YyDoXUWno +TPdGWLH9XOGooQmibhjqm0llqG8mlWGCqMB4ExzdsbY7Qlwm61EXJmqKxHiVqOWoRC3Di3yF0O+5 +JmlZj2rv+Z4sSFRfGmXcdiVqOSpRy/DiiEpYMls4rEzbNGHSo0ZedW6iUqkqH1yKiIRpNEovJmq8 +vo/DS0YRUZHHy8y7zytAEVHXJFsxUb3MCm36ZKKuUG/ZPaodxCrMPlZ6GfCOd1SmQ1QOkfCm/lc0 +dpYzL1FltHiNHRtwgqjufeNZA6N7GFBP2Nib4MwacTo4iMoHQTub/DYtCrNZ93Bov0NUXw30lQkw +eYIxs7LF/fF+xv110I63FZcJOuoQ1dP7ygSY8TgYiXUYI9NOp78x2nYi2UO4Q9RYR7kyASYbB0ou +m5d35MZE3N8Yntf7AEKZDlEzNs/ZAnlcX1mbxmU6/Z2sq5U9U6b3MIkyyjM46fIHTC59g1eFtMg8 +79KXygfHqoSZAeGW4VHXhFe99O0j4BLw5KXvCmV7cUvfQ+kSB4dOjiDjLI8qki7sUYE3yjUxXiVq +OeoetQyv/zCp0KNOQyVqOSpRy/CiiZqGhSV5VAqoAp6lEq9C8XOgStRyVKKW4aUR1fjCq7cQjr0s +XIrSl+NR2ZOyCb5oKrd3faODhAmikj++gvhAAFg9EoCw1u3ZzX1cJgg6UU+KTpnm2iGqyxTvnzJ1 +mjzTDpPiMm1/w30fMmU6RM31LScbepv2ZlJOtj64HuJ8Idwhak62TN0cJHUOury8Iy6TqzOG582U +6RA1rsf74+MlAnLZQRfhnN5idGSbrKtNz4yj/GGSuAFB4ZH2q7NPfUXShT2qMg0OhPPL4QDjbSkf +J1chfYKo82KT3/WN36ddoWxFHpUJwcts2ru+8cBd4fu0RR4V2Vy+Fdo061F5YgKRgWS31WlUZjV7 +1GS2KibqmlCXvmWoS98y9O5RnTd27co+4VGXQtQElajlqEQtw4sk6hQszaPampo1Nve27B0rqRK1 +HJWoZXhxRN0X6eBQiGvfVApYjkeVUngjyPaonF7ZG0NjJZUS1QQgrH3D4HAyfVkoIaodOoT9TCvn +ClBCVJNt2kHXklBC1AF75rD/W6VsJUQdsGcO+2az6YJ9mxe9h0nwCGfnLw5FZZbjUW0DHBqj8eTV +sUmieno3XwcIH07ggJ0UxulLRJeoU2SKEMuzGqI2chQRFdmYRNDhTNnm6283XxMuIureyXDgk8iT +yeAyTfZhNlEn0wYjySb5LLyITQNJ5kWeqOEPx4NO7QlKVGZ5e1TI6Q0zK6hCT5sk6mahLn3LUJe+ +ZcgufQn71hG50a2nCUvbo05DJWo5KlHL8KoPkyY8qvLMTVT2pixpdLWPNLWzQyXqU1CJWoaXSFR/ +m49r/GYfWI5HZROsjGyA7cEt95EQE0TNGTcTZwcPfr+sB9CZdjpEnVO2zsP6ZT24z7TTIWpOjhzC +HhAsbW8ftx3CHaIWyLa0lzEysnWIOq9swF94WMWLIkGO7B71SntUwjg4/pLG5QjoEhWSqoxDZJ2f +qCNVwEkVn0Cc9WZSqNTKhTwTH8JCeN/Qc+qbOyGMy7d1JvVYWhSO9s4e3yGq19kpE7UTYPL4ocgs +2Twcxzlox9uKZQ95O0SNP5jVlsnIxmt6HIrEOoyRky3ub4xcOyHcIWpGr72y7S8oWx883W1vcY0c +HaLG9suNvYCZrxDGk0yuzhhef6dMI1v+MEncoB6Iyn3EHzDpUSMga5Bh9tKXihGe9xRx21FHJoi6 +YahL3zLUpW8Zepe+4bVB+9vuhYiqPHMTdQoqUctRiVqGV32YNEHURTxqvJTAgFFaJWo5KlHL8OKI +SjgsjY1LyXJ6Yo/KIRKwv6BRnrmIqooHgMMkXoNin+ppQjFR4/V93x5qCSgiaixPLOeS8WSirlC2 +JxN1hbI9magrlC1H1MGFHyZJZg6TEr12iMqHzTpEndejMgPIaPYKoa+zo/SUqAPfv8b72OhxjkFl +mmNnhaW07OY+9tw+AyXevEkbhzvtILeuMVEH/lYVhvK4nGySp33DJidbXCbT3xbIFuTrtBPkiIna +pkdlOu0EmGzxgU0o38J1RLz3N9JRC9KDjlq9ROGYqF29hiseImkbeewkWvHZw6RYR7n+xgh52/FE +OJTpEDWWLbZFKhsHXUGmrE2DLgxxnTnZWtknZes9TEIm8tjfo3ZXrF2ilnpUpVEx5LQrpGVWCOkp +UecGCqAziUKXjWKP6mVyhloSij3qGmQr9qhrkO3JHnWFsmU9akTOwQlOr8uVJRF1OoqJuibUPWoZ +6h61DE8/THoKUb3RTOOVqOWoRC3DqyZqsUfVMoHvJPnyF+Mt5VMsa0IlahkqUcuQXfrCF/an7O/Z +Ok7boz7l1JdG2o+bJe8ppkTlhLgtF4SNDysM1DPzMCkqEwRN/8SuSRuH23ZoN5TJHiaRNk22WYdJ +cTtxf+M8jiBfp52Qt3OY1MoW8oBUNqFzmIRsoXwLP1Ah3sNxnQ7SfQBE7fjhTecwKZbD6+o7sPHD +pJzeYtni/qbykR501I4nwqFMh6ixbJ6Xa062aW8m+RgDXid15HTX6nVcxmXrPUyCqOHAaeq7vsUe +VWm2GWYDzOuDM4g6N3iH1jvjJ4krQJFHjT9utqx3fTMo8qjxwFmhbEUedU2yFXtUl2+dHzfT1Twq ++hwFb5rotR4mCXXpW4a69C3D8+1RQdxoIkAlajkqUcvwIonq91yTtKUR1V52YPlrLlx5D8bplajl +qEQtw4sjqnTZHiBp6zj1A9zFRGVjrs2z7VF50QH4ZpoyfUTtbMInlWp/ue73cdiR28QHgXuRSe8Q +dd467a/qo3CaHtfj4VzdMeJ2Qt4OUXNy5PbufAjOD7pyb/9k2pmJTH86RM3Jlqs7+rjZ3HrrQy49 +yNEhaq6/ubLI5fvmWbLNOjPxNuMyIS5/mHTdcAbuiCc4vracsDyiCu0fjs/6e1QXcAY6Xx5cxR8Z +B3SImpMtFxfLtqwvJGba6RA1J0cO0SFNlqgliNsO4Q5R55UNMvjgzZGhBBnZOkSdVzbkcgKu4quX +QY48UQM3OPXVijTmD1jeHtWPq9XZznufwgRRNwx16VuGuvQtQ3bpqzA/C0PY+ON8ClgeUaegErUc +lahleJGHSVOwHKKqQXPVZMZt82tu0Rq+ErUclahleIlEtV8ZV9zgWDaf+cJDAGF7MWgeorIJZslr +f4/aEDZuqJio7Eu9Myt8OF5E1Fi2VexnAoqIGj+sX6FsRURlAvc96gplKyJqvEddxcfNAnoPkwiH +w9iprxAWe9SIqGa4mR83C+X8CqTYNhzQHoRQN8Ik6bky2bgYcXpov0PU3GleTjZeMQvls6+bZdrp +9DeHTJkOUXN9y8mG3gJZZ74KN0smR6yXUKZD1Gx/M7JBgDDp5mWLwrn+xmjbieJCmQ5Rs7KFawxk +CwR9umwhPVNmJlF1nXqYZJ9gEUH9a4Q2Fpu651v6IgiPaZJXoCaIumGoS98y1KVvGfJL3zFvWI36 +wZJjkqgR5vaoM1CJWo5K1DK86sMkedDWoxKee486A5Wo5ahELcOrJar6xTJ5cHXTXAFbzj6iHl/c +iKxX80GN3D4+DE8uFyizRpyr00w8ubTnxsnFta4XE/GbACa4TZXtQMvHgw216fH5dePstB/Npedw +pDJG1MGBCL4tQgpcwcFhnqjnV7fDMw3uioqK9QHeDQ60fx0MtOQ9tr2phTmISolaUVHxfLAPB3KA +5Mte9qi5pW9FxScB9o+LwPgh9N1vh/tpefze2zdZuvdGVN5XAJdjTCeqV5Y+k8o9o3K0Qi0Zq6hz +URTLkNFtEaJ6SmWJbddnq3nr7uTLyDZtnKSY1mZfmte/SDuCff/LCHA9HN3dCw/DXV13b4Vwb7jX +ntEfkRyxFBXaRybcy9vZCS33nM6GOL9v0znBpVx0z4sMns/v7eUG3QeidnQ6jaj7Hz6YwHw+Yk/X +vQcJf6t7pe1e3g5HV3fD3at7q2T3+Hq4/6D81/fDnZPr4Xao2MBrhxdae/P8iNmBh75Svj1X4pTL +33by2SQ5cbY1engTyr4tfK48xzw4Pmnqon7+bIiXnakneZhsf/GjNppvEUsu5SNP8zxrXMaUw8sd +PPyXXE3bikPGQ9XJ3xCmf5AQ5LH81IOs5GGGVdq2wvuPH6ytRsfIqvwMPgYYdbth0Enop4F7XtfU +Fb27XvceHoc7+yEfdSiuLdODtl7rX5N/cBX3R3G0cyP7HV0Nt/cuzMZ71q7y6X7n7KbJq3yk7xxf +mb1H13dNvGTZ1h5rj3vl8fawq8lJnHRotvIH/5DmiiWe4qwMcpw05KG90N+0j7SJPkYXt1ZuTzq2 +NF523zobnpzfDQ8OlS49nV/eD49OguyC/V01y0rt/xpSBpLeajzr2pC3ge0TU+IR1wnn0qM4I2l8 +L53EcVydqKTt7DTjyMZL6HPo/wDloBiMYVDHzGgaoNsQ9f5Rg+XeCLu1pbxSkBlJeVAUituWgSGv +Gezwqq2LwdUORBuUUhKGQmGQDjJgJE+XYTGCl7ePqmFsBGWQc4UgDGIGHkSF7BCUfGFQGchng1F5 +QntWHmJCXsrQNnF+r3DTXiO3ETrIu6U2GcheP7rwOq19rtRFXsmGjvY0gdmApX1NMF6nk8ziGbCh +jNcNyS1O8u1pdt89ElFFBEiyHfRhsqseL5OFdNlOfujLiUq/0H2QuWnnsRkHmnh3zzUGZE9sOzqX +vbG18lmd0sEuRBGRIdLumWTDboyBkM9ko9+S13VsemXQ0TcmPsWbDO2EQTkRVXXQvk0ITJJhoNJ/ +n1RMThtnklWTipVVe7sarwfS1dlFIwdXHpW4PrYZfxzSCLvoNSZqRFJI3BLqyEmG3riX9/Q4J6V7 +1JS4TkyPt3shvfd8yCaytvYD0oXpyhUUA6OhfJTFzDoysjbPhyCq4YwZTYNLsyueAw+L0UyxXpfq +9gFhMwWDD4/Iq4jEQzJIYZ5XgxgCigBenjw+49rggtzcIzMGd48aBq+FvW3K0B6EoE3d2wQBnNh4 +NXkN2m4HMgOHMsgX6rCBRbuRbJaGzFYuyIf8DKaQ12b7SLa4HQuHOkw2mzhi2XWvcjZp4hVUZkey +QWLrP+3Eus5C9cQTEB/YQk7FmW6ID+S1dvCout8VSfc0YHdsgmgmT/Nyqm9b8hNvE9GjPJ7ikG9b +8hJnfWFVoXzmUZGTdpCbttARcjFB4d3cNugFOfDkDE6Vazz8eTNJeT3qM7IYQVVuhNclXmMVou4I +Z/KkjNWLq2YV6PowW0KShTxqtNTtEJFwfJ/EQeaWmMm9TwBcW4+qMETlh49Nh5Ed1YeGqCnI2IYb +g3firaIQju/juDgtvvbFc+3LMy3d49K0GH1p08pOq88R58nW0Si5G5dc+5Crm+usck9B3E4aFyOX +zjWXN0WubIppdcZxcVoU9hcO0hcPjKhMriLF7n1DyNkeNXhQwpCwxKNaWb8XPF9LXOJ0z8TFxO2T +Uiz74PPTzyoqPgm8++6zwXfvDW9+/Ntnn//wo10d7f3f/qa8X382+FplTgWuX3Mf4hyep0XI05YJ +cdzH9di9ZLG46D7IlpU9F/n518r8RgXffmfhz981959//UOTTnwMpVs+yiV1mXL8GuqciPerhx3c +k59ynh7n8/Q03CkfrtxTT58Mb3RtywhxGZeBe4fnoRzXOBzyuL7atLhelyEp06JTT6NX6vv8NKoz +pE+Fy+5tc/W4JG9r32BvC9PeQOlR/s44CPJwbe1v9VMmhInztl1u6vM47iNY+1ytTiHKY/fePm27 +XKrrc+LU5tu3Tfm3oZ4WtPeeMm+MjG9+7BK1vYeoLdHmREvEEHbk7i1OsoA2PYSNqKFPMSYiBIQ1 +5UgJhN9apyS8DPbm/Y+fff6dOiSQF6W9/dtHi8cwnwcltfAZgiuK+joIwf17wQcB99FgaPO4kRgE +hH0wuJFPdQ+ox9tykMfKhiuGMxlCGc9DmGs7sATqok7yeh6v12Ey6+rtOCijsuitk9fyKy9tuKze +Tlp/qNPqUBmbDCln8oU8qb4moHTXF+W8be+vx+uKDc1+gQhv8C4Csr35gfGgMiGdK3KZbEp3stoY +sHZDvbGs1r6u3i42wf7e75DXx54hnjAi0r1Re9ZWrGPlf4OehNMwNk+/i/QPaFMk1V6wIeRUouId +VaYlGPdRHNes1w33Trz43vNN3Cv8nSC5LC6W2cF/rWKAOvoWYSGkwgj9VoaiA2Y0SKq0N98F48iY +RtxgJB9QoDPgubaECPEYygXhPpaD8iGuTefKwEPhxAPqBNRJ+6EssncGhRlJYeK8DGmAcFy/yw3I +H2SmzlY28noZ7j2OvAqjLxtEtBvKW34nDqBdTw/1NnWHOOWhDtMpCO235SN5+jBBVG/XdU+8rt4O +JIB4b97L5hCUOGyvPJDVvFyIowz5LY045bc6vd5Qd3vPlX4hE7ZAhlg+XRl7tjrTPXW2MgvusU1G +pZmcgZSUh6hvJGNK1K4uPp+TqKozJlp8PwtGxMy9x1lY8qT3EFVkd3lbuemfDQoCEcxoKEOdRnFG +XHW+mW0ZNI0SzTiQVrA8saEAjfjg5OrkiAe4k444j3cwGVAGY9ngCvdhYBu8TiNjUp781EE8ZajH +r1ZG8S4bdRHvaT6QyU8b3o7DZWLA0TZhb4e6lKchaogD3ldAmHa5RmVahPYa79bo1WzgKxbKuKzT +4DK6DMTRrocDsKu1EzyoTbwqZxNx3E5Ib8ZBQxbGkI0Bt7/3EXg72ALEOrL7kB5gk77312RI0hlz +jE3aRzfkIY16JNs7xqjKQNjvNNl8bfKF8rRLmyLrbKI+g0elPuOAwi6z9z9H1CLgNXPxFS8HORvO +ivPwS7C/TxyQHG8M4TWRGel19dUE95YPvBF53gp+T9ig+uxeV8sT7t/RTnwf8nTqiPN4WwpDUp+8 +fQJfOlErKirKwSTiRAW2GtS1ErWiYoMAUTnocpKyl2bbliPq3z7+bWH8mImrqPhUUcoHIyqHSW/f +asn7rgn3eVQamXj2NA3al/yojfe7cPCxabCDhA3dO71jH7Shsr1h0GTiNwE8J+V5aS7tuQF3jHQL +2PWt9qFWhvv4wIorh0y9RGUAhft5sPFEzcRvAoyomfhNwGYT9TsRdTPla4maSetDWwZC8qSB018I +amGBE3vyDv7P73/m+M8P//uzX/7mT+39PLAyv1uszLrwm9//v2z8JmBRPa8Tv/jl5sr2f34hZOI3 +Adj0f8WHXFof2jLo/PeK+12Ah9VfyxsXyhL197onjgJ+jdKLiBrXkdS3TBQRdU2yPZmoK5TtyURd +oWxPJuqKbTpBVOzs/DAydnW7HKKSKa6c+4SUHaL+MsT71cLd/Ibf/K6py+pTOE2Py7iguXo8DWTK +dIjqMvWVcSCP55klW66/MXKyh7wdPXv6PLL9MsiEDtP0nGxxnTFa2aK4EO4QNQ7n+uNAHpcpK1sc +DuWpLyef582U6RC1I2dyjRHLlrNpLEOuvzFi2ZO4LFH/pDRkIg9lVkZUQGNgoPA0os6LuJNxeMmo +HrUM1aOWIU/UMAaNrELClalEZXJxeeNCE0QFcccyBiwi6ppQ96hlqHvUMmSJCn4R9Ilek4liKlHn +9qhzoBK1DJWoZXjVh0lOUsdCHpU1ta+xSUv2AJWoZahELcOLIypE830pPJm29E2JCuYiKplw2zQE +Zu1RvVK/gpzRfWNPvlmb+7bOTD197YT4DlHbesIV5GSLD5Nczhhx+VydcZrHZ2Tr6NnTO2XCNQby +TDtMinXk9fg1RS49hGceJuXqnCnbHOE0Lk4LcvQeJuXKOJDLZXrqYZLrOFMmS1TOdshLnll71JSk +yOztxIXyRBVozGeFOF0o8qjxIMwNyCWhyKOuSbaOnudFZ3BkBtySUORRO7JF4SWj2KO6fCu26SRR +wxjE3kbGrm6nEhW43HGhCaICKiYzHSQtNohQRNQ1oS59y1CXvmXIEhU4P7gmdp9K1Lk96hyoRC1D +JWoZPqnDJNASdfj91mB4avjLx//Z+tVX43vDr6L7OBxgZU7/70T8JuCrDZUL/OqrzZXti4ydNwXD +L77fGkZjdpMAd/5HfJhIc05l9PqFl1G/BqcxKCcQT96pRCXTF7p+r0E10JUKPC2gS1RPj+sI1xgI +kAvn4OVz9cTIpHeJOin7k2Wbd8B02mnKdIg6q2+OXwmeNydbpp3ZiPM14Q5RlyXbQvD2J+XoEDUr +W1TGQT7kIzzveDP01NUTlyUq3OGKTjP86RJVceVEFZyohJNZocijurLo4JON2o8ij2rKicJp+pJQ +5FGXSoZ+FHnUNclW5FGRbV6iPgG9RI1548QNWA5RARXTCFfSkvQioq4Jdelbhrr0LUOWqEbAYGsI ++H1X9g5RIWYxUWegErUMlahleJF71ClYnkeNleKFIlSilqEStQwvkqjOG7t2ZV+ORyWTL3tB5kCp +iKi+XwC+r1kBiogayxaHl4wiosa6WqFsRURdk2xFREU2l2+lNs0QFd7AI+dSwp/lHSYZUQUKk+YF +4zJOCE+L8yT5DSZAAOE0vVM+ufYhU6ZD1Jwc02TzcJreaSeEF5KtCXeImpMjF1ci2yxkynSImqsn +F4c8ToKsbHE4Uz6Gp8f5QrhD1E56N18HyOUy5WSL0SmfqcvTM/3pJSr6dJJOIyqyeR7CwNubSlTS +uO8hKSjyqGtCXfqWoS59y5AlKrxx7jAek0l9iXvU6ahELUMlahle9WFSuUedjUrUMlSiluFVEzX2 +qAsT1QsCY303vYioiftfFV41UVeowycTdYWyvdilr4eTMTm/R/2XP/zU8d8f/vunv/72P9r7wb8J +vxb+EOK4/1bwdKFThvT4CijvYce33+bDjrj8tLgYcTsh77cud5o+LQ55vK2cbJl2SmTr6HkR2X4d +ZJpXtlmIy4Twv2XiOsjVPUu2uEyuzhieN1PmXxT3L0mcIVfGgTwu0wpl+/Wv/8P40MaD/5CdSQeU +icek8G9ehjS41UEka1woS1SvPGnAMVFmg9Ah6oZhU3UGOkTdMHSIumHIEtXHoJM1ThMmiPqHgHhy +AXGhLOmowK+OKL0StQyVqGV4cUQFKYeitOV41DlQiVqGStQyvEiiTsHyPCqD3WcB0hIjVqKWoRK1 +DC+OqHAnHofJmFz+HtUq0nUaUckTX9OwIxYgDjtyZXJxMTLpHaLOWyfyePws2XLlc8iUmdCzh6fF +rUm2DlFz9eTiOEjyck+VzdMzZTpEzdWTi0M2lyknW4xc+RhT2uw9TOKKbsDKiMrVK4/TAibKzINZ +A2FJKPKosTyxnEvGwjoDa5KtyKOuSbYne9RV2nQWURmPKyEqUEVtODZGQBFR14S69C1DXfqWoXeP +GtsaAkZp9TBJqEQtQyVqGZZymFRMVDeaVxSnCZWoZahELcOL9qhclSdOmySqyBmT1fPGhSZIR2Gu +DPiBriAZYEVEjWeKDPmXhSKixvKsULYiosYEinW4ZBQRlTI+XlYoWxFRkauVLVxXgJl7VK6J3Zd3 +mAScqDSWGLGIqHEdSX3LRBFRY3lWadQnEzUKLxnFh0lrIMOLJioyzCRqn0f919N/dvzXx//657e/ +/ff23nCq+7cCV9IIR+mU+S1pUdym4LenP2TjNwG/TfW8QXib2HiT8K9vhUz8JgDuwIdOvOQ17hDm +mnClLWMcI0+E3zZ5DHGhLFFnoBK1DJWoZXhxRJ2BqUQFnjculCUqM0IuHFCJWoZK1DK8SKI6bzL8 +WQ5RqRj8O5UIA+67RiwiauzSM8IvC0VEjeWJ5Vwyioi6JtmeTNQVyvZkoq5Sbzmiwh2u6BSbJ+N9 +eUTl3knq16RMS9REiN64WFmxMI5564mRSe8Qdd46Y3nmlW0W4jIh3CHqvLKhN4/PDbhMOzORKdMh +aq6eXFwsW05vMXLlc4jzhXCHqPPWg2yur6fKlksPcb1ExdaAfMkkuByiAkjo8PsovUPUDUNd+pah +Ln3LkCUqdnZ+xOGA5RF1BipRy1CJWoZ6mCRUoq4PlahlqEQVskSFhE5E3xhHKCJqWNOvGk8m6grl +fDJRVyjbk4m6QtmeTNRV6i1HVOzs/ECvid2XQ1Q6xb0TdBZRXQmxMhLBmrhwJV8sTJueKZMbPH3t +hPjZh0mZOpHH886SzfPl6o6Rky2Oy/U3FxfLlj1Mysg2C3G+EO4QNdvfnLwBHk7TO+1kysdo24ni +ghzdw6ScbOEaI5YtZ9NMO73wNjNlskS1w6RxnlS+5RAVUPG8RN0w1KVvGerStwy9RIVDAJ4UE/Xn +f/wnx18//PWfvvxyfD8PrMw3f86mPTe++aPkGuTTnhtffqPr4Jts2nPj5zYGNlS2gFzacwPuwIdF +xlxbBp3/UfhGegcWHufLEvXnGuDzgMoboooUf/7QIpf3OfDNn/+ajd8EfLnJsmXiKmYjJl0uPYcO +USFmimlE/fKvEdn+qgEVBtWXhKP4MVFD3IahErUMlahlaEknLhlvPD6E7RrZHZ51iNp6VK4Bzs82 +IIyJqquRlUqbcNyYp6dE/dLyjwXvi/v5n6PwX6Nwi0yZbFyMyfSYqHPLFssTy9lilhw5xGWacEzU +hfWGvmfKlimfxWSZmKgLyeYyrVC2GEWyZcdbjFmy5dKbuJiozpmGR8qDvUHLo5AeEzUm6NxETZlP +Axogdg1x1aOW41V71CxRXz86RP3QENSIahOr7G1EHRN3gqiLelSr0AXoCwuVqOWoS9/Xh5ionTS3 +tV0ju+u+Q9SYoPMQNY6bBSdqLu25Yae+mfhNQHPqOxm/CainvmWIiTovOkRdyKPGiXOgErUMm6oz +0BB1M/GqiRoT1OF540KVqOtDJWoZXr9HjRGtauJClajrQyVqGapHFSpR14dK1DJ8WkSNPeqb0584 +fvz48Sfv3r1v72diQJkff/LudIEya4TJJRlzac8N0/OGyvZmk2XLxG0KsCkcWkR36NrKvJHOTxWX +wvPGhSpR14dK1DJUogqVqOtDJWoZKlGFStT1oRK1DJ8UUd81eQxxoUrU9aEStQyVqEIl6vpQiVqG +SlShEnV9qEQtQyWqUIm6PlSilqESVahEXR8qUctQiSpUoq4PlahlqEQVKlHXh0rUMny6RP3i+390 +/OXjx3/84qvx/UwMKPOXf/xqkTJrxFff/8VkzKU9N0xnGyrbF1w3WbYNBdyBQ4vori3DPWPi+4Cv +vmrgeduAUIm6PlSiluGTICpXQyXqs6MStQyVqEIl6vpQiVqGSlShEnV9qEQtQyWqUIm6PlSilqES +VahEXR8qUcvwaRFV8LxtQKhEXR8qUctQPapQibo+VKKW4dUTNYXnbQNCJer6UIlahk+LqNWjPjsq +UctQPapQibo+VKKWoXpUoRJ1fahELcOn61EHp//g+OHjx394e/pDez8PSsqsC6cbKhfYVJ2Bt2/z +8RuBDZbt7dsf/uGj+JBL60Nbhn6dZtD2NypUibo+VKIWohK1EnWdqEQtRCVqJeo6UYlaiErUStR1 +ohK1EJWolajrRCVqISpRK1HXiUrUQlSiVqKuE5WohahErURdJypRC/GKifr2B3FJOP34g3gFAoEt +b1SoEnV9qEQtxCdC1NMfJoj6/u8dKvD3796N7+dBSZl14d37fPwmYFN1BjZZtsGG6w0+5NL60JbR +9R1cEt5/fB8Q1xUVqkRdHzaZDJssWyWqUIm6PmwyGTZZtkpUoRJ1fdhkMmyybJWoQiXq+rDJZNhk +2SpRBSfdvKByKjNCEBcqbtMJe2Mhbq1wuTYRmyybsMmybTJa0i2AIqKSWAJvhAYn4jQo47wVFRVj +FHvUOG4WxmXeBcTpHpfGV1S8PrTeMZPWh9ijDt7j1LokHueNCj2NqJuHTZULbLJsNmhy8RVT0ZIu +k9aHtgw6h6gKNySdw6M6iEvvzUW39++yRO3kf0bkDpM2RraMDJss27T4tWLD9eZEjWVqZQtxKTpE +XcSjvqeASOgVkdYUeqe0sTB9RLVG07hnQJaombjnwITOPH4D5OuTzcdALm1tmDKu+uReF2i/4Ya4 +Izu6PE447uGP5VfY83SIqnBD0nG5Bm0gJWoTZwOHuFAB936Ny3h+A/dp3DPAZZw3fp2Y0FmIi3X/ +XMjJ5vZP49eOPtk2xKZO1FieJg7bjknZ6LJxhh43w6Oe/p1DBf5ucBquUXybpuvp6UcLc/X4U5WJ +81paEvccSOVq4iRvpn/rRlY2dLkBsvXZLjcuNgG5MfgcQIaWJ5GuXDazL+NP923eqIy8qcHHQXcs +tIGyDm+KkrLYVLnAJstWUYSWfJm0XngZxoM5uIiknTESFXp1RK2oWCOeRNQQnkCbtw1Uoq4a7VIm +GMENFBvXdck1Xv5YfIjzvMDKxmWYlT3e8yne7ylPOGezcdtNu+P77rUiD/TT0fs86JQZdNHRdxto +ClQsF/Hg7g7+Jt313ubx9BAX5+satUHThucdp3fyKb6tT1fS0npiUI/JCuKyCsf5vJ6Kp6PRaSCn +6XkKUStWCx/wHjYSCBgqJoelZ+4tX7h3mJGV1uShnnF+u4Yy43qageHpMdoycR5hHD/OW7FkBF1P +oM0TZ66oqHge5EgK2jxx5oqKiudBjqSgzRNndkxkmgLlW8qSKG4zV5+nx/nS9DSuouKlIB3fjjZP +lLnd43QyzAZE9bKLoFNPKlwsQxwXI07PxOXadGTrq6h4Tsx7mNQcIJQQNZRZFGldcXyc3sb1yJYr +4/cJ4oOVwcfJ9IqKtYNxaGNW5IxBWjue9W9r52xYUfFJY3Q+3L28bcLbZ8Pdk5vh6PpOuB/unFwP +B1snw+3D6ybu6k75LyxfW35X2FOcwttKI49Bde5e3Cj9fJw3wrbid9XG6KbB7sXtcOfwytpt6rgd +bh9dd9tKsK12d8/Vhscp7w7yXzYykL5zpDpV//b+xXD74HK4Sx9o+/y2bWfn+Fqyn4f7EBfX2wPa +Hl2p7qAT2kD+XeltmtwVFa8N5lD5ZxEMfk0cFRUvDjZ2o/E7z0TO5C9HgwPbGqiM4lqnKuBc9h4e +zXniVEd3CqvM3t2D3W9tN2UMOCecJ46Ke8mAUyMvDgxnhlNr88dQ2W1dcdbUsb0j56t2RrdySrpH +vr37h8aJexmlU9/2/qW1ZY45cn4m45nu0Qn9tLLqz/2jyYWcu3KgpFn63rnqo49qR3VRdu9WsuMc +dTUdkdfbT6E0HKjJQ32Hl3LQKkP7ufwO6kxtFtuxouKlIIzf4FLlVDVBQOodyKBJoKLixUATPjsx +JvT2fjdyQCk0+Mkz3kXJiVBOTsqcaiBH61TZ5ZlTlcNRfOtURSTytHXilHB+5hTlVOWYcEgDOWwc +lDm9UPcEjH+NEzVnrXzmzHBU4iRt4zhpk3bYUZKPuJ0DLQzkwNhxen0dp6p7Kxucqu0q4Xrk5JER +Z7r/+KHpr+Vh56ldK23PcqpqZ/cscaqtfvuBs8cWZjM5cOJ2sGOdhypeEpg7woI6uFR2qiIPhI4G +fEXFa4Mdg+KQcHxpuhyIOTXCcgbmSG/uzLnsyGGYE9Xkb0e0ygcI44x8p8ruEqdHHbtXusdRXnD8 +q3rNsSVtApyQHAltQUwcGbvbvVAXMuMEievb7eaOf7k3mSgrh4Xj3bPj38tm9xycpuW5bNo2Jy45 +OcodIbPqsv7PcKo4VJtUJEfTn0bmdjecKVNR8WoQ+E84uNTqVCsqOk71hWHCqVZUVKwPr8WpDmwF +fD7c1+r9gFX4YTh62tXqmpW+7gFh4si/o4mTMlZW2NWKek+r8CbvldK1wmZHEJ5fWZjVt8K0s+/t +RHJUVDwLbKyfaxepXegBz0TZ4Wp8M7Ytj+73uvc7Bxy3BihM/PZ+qCPEsXtt748adOKOm6u1z5Et +nCIsnuyorla+GJZXdVBWsN048lB/qJN08sXt2LE1cS53kGdH7TbPgcN9lDfbPjAZ1a7NAeGefiVl +TafExbrzsrPaqHj5kH0HGl+Dy6vZuLhqxr/GxVxOlQGHI9k7ksN5Vkw6Mneolzd3w5OLG3sz8/D4 +enisMA704OR6eHl3Pzy7urU+jETKi9t7Od/r4YniTuir6sBJHl/eDK8eHhrnfHI1vFYYB0v6pcoc +nV0Pz9TO2fXdcGvruK2jkaGLXU0sm6GzHCI9SnabJJiIDyteBHCYyXgbbMnhyKmM7kVwhUe3V8Pd +Cy0i5YBGN1fNVXHmQMULnMXoSnmutVg80VggTuV3L3luy7PZxsHsnHEc3Rw1W5wcHu3vPXAMzpEw +dQgqt/fhumn3SmV0nXA6ut850eJVZZ23e48cazfOa+9WdQ5Up/qwc9Y4M2tHZZFhxMSlclZGetga +qT7JZ3Eqa/JprkIOd8yd9gOQlbKebjKfX9rzZPozQgdqizaJM5nvGjkMQdemt1wb0uWEzSpeFpgP +Nf7NqV5pvB4cCIdyoAprbNj18Gg42Ntr4+yxzrxOdV8T8O2HD8MbAeeCg8KxrBvn18EJRrI5BrzU +IdmR9er+YTjCaWjAQ15kNucnghyf3wxvHh9Vz83w/OZefXq0XSvlcaykUR9xhM35hDpw1PYih+6J +v7rDAYuMCbG4x3mdZ/rwvLgdXks39JkFgw0aTWK7x82EyGTFRMKkWLG52JEDiMebgReEZEccUrwD +M2cUHCxXnIY5AvKH+uw+5Me54FTbOkM5nIjVGxwKTsYcoa525My4QS45FGTodaqUwVHieHCAOEh2 +rnJc5sy5qqw5dC0EPG8rq+KJoy0mPuShbiurOMsnmTvtRqBeS1edLl/rxDWZejs4XvrEYgSZTa8q +a2UE8lJmoo/eBuW9roqXAbO55kKNSeZDxvlgX/P7vhznYNAAx6p51Byqx41G5lgX2qniQHCqdwK7 +r9zO7LngK95LOY0L7SI5/r0Ku0p2rRwP3dw/2m6VP1fAMbIbZTXv/TKnqjR2utzTR+q+uNXuV873 +ULtdc6DKj3OirgM5zUvFmaPdIH1MA3LSH/rY51Rzu6CKDQfjT2DnicPZZjcaxqQ7G9sBBgeJI9rW +To20PcGcJflxiHK6Ng448qQ8ThmngzNkotEVh2btMFeERZhdFQ+vmJzMMQcZYjmRzZ2nOXAcqNpi +x2w7VdqkPaWbg5UsNtkpnTRztJLDytLf+8YpIzP5OYZu5U/bD7D2tMP1ftuOWG3bxKp2LB5HrrD1 +DR1FO99mERAcc1J3xQsGY0GLpdapysaDLTlRdqMxTk4m446O5VQ5BXoFTrViflSnWrEy1Dmh4qUj +darsVOUo/ZnpLCx0/NtxqoeqQDtASwuVTBCKOK5xfHTcQhttPMiVJy6NT5Hmy+WP42alA5c9jZtV +Twa+i2YXHC9E7D6Ee6H8TZ5GHs9PPRa24+6Qd04s5FRdXq4edmxndPRUqA12CHY0l7aXYlb6ssBR +Jzsm7Y6ybXpcmsZ48XG0All3RfLsLom2cjIxVnLjehlQO+xemYAW7+sKxxG780XlWVj+OcHunZ3x +rHGU3vs4msX1ErnREeOoryzxE2krHkd9XHNZls0prye6dpyq5sXBrnal/jx1v7luHx4Pz+/vhhcP +d8Pzu/GV92jshGNRp0qYr8/wx+Ok8Txl7+GDBnLzKj9/U4dw9sWW4ytN0hyfPAz5w1j7MoucMoN+ +//HRytrf4fE3flEZ/ujb0jmG8Q5H2OWP6VUnafxhPPcmt+qxP66/vGsUpPL8bR1foeHvBvnUGobh +annVnv99IYrg7+msTv5uT/3kbwGtn8Qpn/0hvK770oP9ke8F9TRydGTUPW8TX0vxB6rn5Px6eKG6 +OWa+ur0bHp1d2fEx6SPl65QN5Tm+vsJQSrcyJzK06rqhzMHF8JxnwleL/enEXE51/1x2GdvM/raS +vzvkbWjpkH7b3zkq7EeEE2CFx/GY+jtgcuM4UvUOzkVi4khjEo7LSLbWqcbxAfb3k7KRHe9Jtu0D +1cUxJuPn4VGyf2iOXzJlt/bUd9pG1yLtgJdbRorjCokVb+nK1ynX51SRVe2bHCqLXLsXzUcU7CMR +5iw0xhXm4wXZSbGjI2RTGS1oTF9BR4NURwEjJsNMPOMeWeCPfahB1+ZvbMMYl3w2xjNlW1uhC8nc +6Ehxug5cRxq39oJPXE66MKeamwwF5gT4wqcO7e97xXn7mAXjyGQTf9ROWs7A+wontBnrKNiKHQRp +uXHE8W7P4szmK3Ga8YKeLA990DhizsF+Nu8k5QyqtxknapP+mv3C2EIuH0epjhhH58x1PToSx1xH +g8Gx9CkuMo4Y3+on48g/ptEpq3vGyOQ4Qo4QxzhC1rgcUFrWqereOCYd2RysMHHMfTZPKw/y2Vya +lgWmI7WNLsx+CjPPMJ7ofytbUk51sfDIcY1xy1ixl8aOpBvJZo8RJA/zNH8bPXUcaXy2OmJHybwF +12w8h2tot+tUVW77qONQuW4fHplTvXq8H55pjr6UU73U/ZOdqn2qTWkdp2rOTgNTneWPxpuvvvBM +psnLd01tYlRHGDT2B/Eqw0BCGCYCBhZKa/5YPExG6ixK9T88t3bkKPlSDPXbn86oLH9kTz4mO8JW +XkD59kf3vsPWNV4AkAe5rH3JZCsiZKcutbOriYiJwHShMrTPRwD2pI9d+kkd1BvAcyWc4s3D/fBQ +xAe3IXwl5R8rDceKUyXOdp8CuiV+R3Vc3d4Oz+QAjy+u7eUq3m4+kxO9VDxliL+RUXGMcdvTMJdT +1WA3/TLxoXMIjg4U9rjGziovebPtQBoNUki1pbrBADCwIRUTAYPbnE8oJ9n6nCpymn3Vrn2HV2Ok +WdBonGEPbKkxaF8OSmzB/cCJzBW5fBLU1RxXSLfJJy4/xanyDV+Tg0/9mb5kC1s4NvLYV5QgfZbo +0nvcNhNf0JPpCr2ZnJO6ABNOVfLAPziFvbCR2QoZNJ7tm70uv/rUKRvKu0PHoVoY+wQdjfVG2qQu +pjlVPvto3IJXcIoJ2ceW5GsWSPl+Wnu0S92mG4VdV8jEpI18cTnJMM2p2hwgm7ijghPO+2YcSYeS +baKs7k0H7hwYw7QdFosmIzJxFBgc0bhNnFK/U8VZsCBiwcMLk/zpHuMI/ZhMPo5yZbETctE2c0k7 +jnQ/bRzBtb6dquLsOXPkzG2zorHe5u8bR6G9Zhwp3DeO0FFSNutUQ5r5GeYA/AJzFmOceV06svE+ +dRyhI2RS3a4bFovOP8WZXWkn3aluH6tc16luHbFTvTWnenF3G5yqZHmSU5Vza75Bet7sQDWhsXK0 +iUaDAeEagstByOnhJFmxQybrOApSXbaSJl7Kod12UpDCWSVZ/VZH18Ey0BpH3Qw0Jt09OQnyMkAZ +nM2ukzJhQtagtTeEld+cqCZljNTU6WUaObjat2A1SJGdPLZLs/6pLrXfEEGThe6tzqArAFHbnaoM +g1Nkp7orHV3JOR5r53ooWW2nyuBVXuJo2x2s7VTlQNmV+k6VF6Uosycdnqvt8+tmhx63PQ1zOVXJ +Yzud4CTYgTULoWZlaPZhYux1GGqHwYoDVV02WNU/W8X7ylCOaqCB3ZFdsvU5VfLZV4mkf1uhMo7C +Agswhth52Kp6oqzkoU3aVlkjPTLRd2SEaBCLiVHydspOcap8EnB0G1bM7ObPtaDTJGaraI1vYDtV +xrsv5iLYwoL2g2xMiu1uEDlwHugxKQdyTtV1hL2wm+kIfoXJB34ynlkgdso6kMOcBDpS2y6b6UhA +NtIV1ymHLqY5VaFZlMKtZnJENrjqE2PvOGICpH3GUZDNnIeNo0Z/NlHG5STDNKcKB9APn2FkDLWP +YegH9mODIBnTcqQPFN/qCIfuOmI8+TgKY7xTdoZTBWMdNRsRc2gao9hw7Nxy4wg5VK9zDdlpx8JN +XHYcScY+p2p/N8xJDLt545nyMucyjiSTjancOKKu2FbIhg1THeFUUx2pbNapijssXm2e1b3NSZob +8RE2J0s+W9QyZ6d1Ajk5X6w2CyCukiGSoxlHKqt259upNse/S9up2otKrFLouBRscCUQjso1IC6X +L8R5GY9ryzUOcXwfgJLaOpO0HDy/t2Pw+6iO9j5c27IZxEafAgjsdTXh8ZX4cTgPmwAkT5zP4+J8 +84KyCz1TzfY/6CeSKYtZ6SnoF0TPOtUI02Qy5NITxLJNk7PPqTqIS+WxOMkBptU9C1PK9h3/tkCm +uLzLNI/dwCJyK+9Up+rtAgt7WgjPamsRWYDyT92pum1aeYIcnuayxmVyWESuWU41lsfCiiOf3y/S +lmNWGbjWt1MFHZlCnMtE/Dwy9eXJxSuud6dqCLKYbYDiLF8cngJPn5ZPafPuVM+CUz1fxk517FTZ +9TnGQmXjvC5Lj+4/AeDIYqfYF7cOLORUQWzHGPPITp5Fyip+plO1OvvGW4+sMSz/HHGOWU4VpO1a +fXPKA3J5rI4kLsJUp+rtp/eLyJPmy8VFabN2qtnyubgc+vL1lVX8VKfqiNMItzqaQ0+Wf444xyyn +CtLydr+g3dL7aeVmOVVA22043C8iT5ovFxelTXWqVja0PxEf3fehL18cp/A8O9XBwZF0dz08vrqS +Xc+H+9c3wyPsy+JDc2rR8e9AhZvnljxTkgB2VNg8B7CtOcfDSrejXZ59cg7ONl5bdKt7HiW8AuDA +eAbK8e0BixH1+/DsSnH8Te1t8wWoTLlVYRGnakeaHLvcyH5+hLl7YTbk6N92y3125EiFYzAGJVfy +0hbHLBz95o7IGNBTnCoycPxkz54kF3E85yHMkRDHiX3PeIE/N7FjKJ/cOAIiDrmUPlFuqlMVTxj/ +yCO57LN+WqnusWINvx5jzwtZveb0FHRk+uAY0XUU6Y1j64lyQtapqg3TkXRiMgnEc1THkSZx9mxu +mt2OJIfpSW1zxKl8zXFrE2/paRnaneJUeZTAkbgdF/oxuPqFzuwR0jR5go4mxhHyYTPiUh2pruk7 +VY13je3mJRzVoTz2vJBxpPEz/zhS29E4suN64jlqTdud5lR1z/jliBcd2d/WKx+64RjY5lf+xn6U +1+/kOFJcMo4mdBTK9TtV0u6aI3I7dmYcNc+b7ZEXj8mm2M2P7TkGbseRxodzbeLlMqA8+eNf5qer +hmOyi71LQfvKw3yBz+HxntfRlovhx+CuI+J8HKEj01vTn3l2qvbhh2P6eaI6VI92roOT0JbqWXyn +ynMIHKQ6aWBiUUftjV3O/sMkwjNPO3vn+YmudvZN3r63xl4R/EUlXk7aF2F40/dOTowXjOzlJBmL +Z63XD+MXlXL1LBtzOVV/UYlFET8l5s93sCtv37Fg4rnhNFLxrAJyMIlo0NpEDRi8qscItuCLSvZc +nnEkeexNYC1IzOmLYDzv2dEYzD5TVb3NyxFqjz7EL+HYVTIgEwTLELr/RSXI3bzxu3OMvh7tmY2N +caUh3x4Tt+w/sbpWv2nb2qNt142upi/0ZjJP6gJMfVEJeex5LwvcG3MwxBvZ/blY3JdQ3vSBjmib +SSh+wUT3Y31N6mLqTlVzRbMQot2gBxyHvcU5zamOZTCYfiSDrjZpozeeSyNfXE51TXWqapvxFL+o +tMfzTOzGONLc1/tMFZtocrZnqq0+XE7GUbBrqqNpThXwfkYYT8yt9v6Cws04Gi8AcmXtbyip18Zw +0E3QlemtbxxNc6qKM4cFz0O75vixV3C4vePI20NHPMNENxaHjohDbyE9KTt1p4pz9fHLvEGerWPN +XfIxM5yqy2BtB441CyCF0Rv3yKfyc+1UcapyouZUT9RHrnKyW7tsPNBPiVPlZSB1jomMSmxVZSti +GUHGYOD6JMhOh05ztZUOZXo6/1qAU8VZ4lS539NgIszXnm4ftRCRcTkquP0gR6u4TXOqZjfZjBe7 +eJnDJiBeDJHdWcVj23Zg59pxp0p6cBbmOJiQVEfr5KjDyynvTKcqh8Gks6exZL8ZqnjLY5OSCCdH +MiGT7m23BbFIUxsWdsIzXpWPcHYy7HOqIjg7HNtZiJw2AYW6kIcJduxUo7IGta3+m1NV+dZRMBGi +L/Kjo4wuwDSnai/fyG52UiQ70r/WqYrX/U416AObuI5cb5GObGKMyys81akqrtnd8OcijVNtdIZT +lVyUyZUjXzSObNGBEw1jiTdAW6cal1d4mlO1lxXVH8a0Tajkj+w2TUftOOI+ONDOONpuwgu//St9 +uI7I26ZJHhaKU50q7dk4UtgdhTtV8uu+yKlKNzaedLWFiOQwezGOeGlQi5CJstzbuKVe6cN11I4j +pTPnEEZHSdm+F5X87fp2zlG9pNk4cqdKfFwuQuvQld7u4J1zqsNeqGJuUvrcO1V3qsvaqVKQCc5X +dPYmppwEk5+tlElDaQwQGc8GBNfsBPO6wS6VN3jdcdrKWPrkTV7CHr8O0NZcx79BpsaOY5sRtjjZ +0Y7JfDJKQX6NB5tcOI6K+8jgtgkoigtl+pxqm77XtN/cNxM0O2cmaK4TdabQBOiEbME98XGco8+p +gnCf1RG6mTXWSUMP6MN1BFq9Ka2nfO8zVeV3G/k9R8KNjiST1dnorQ/2BnSsI2TQ/cSb0VH61ONf +2haYI1yuzjjiusg4CnG2M8zpSPfTnCpzVtw2jpV4wgbxMlfO4PGZcWT6IT5XdqpT1ZwZ9GPHz7oS +33IsGltZkNaOoyATca63HNcAXMs5Vd2nOrL7aBw1ep8xjlId0Q73id7i9L6dqrVvbTcnVfY4SvFc +TW8uZ984AqShD8LUD2wcRToSnrZTBSVOVcKv0xFULA9zO9VM2ZWDAQ3R+5zqc2CaU31GTH1Rad2Q +XqbuVNcNxpEm7j6n+iyY6lSfCX1O9bkgOaYe/64DavdZdqr2U2gUDOf99nyLZzf2HODeQKWkMWn7 +Objl5ciY52IcFXuc5b+TMpsXUTgCaV6yYPWQWQ2p46xK7AG18tsfSWsFs3vudYb21AZy0YYZjCPN +K8mkVU3zcYqmHTsiU732taQgt5Wx9pO2FwBHwBz78lWki+vb4Q4ODHJpMPPyEs4NJ5JdoCiODz/Y +z9ldXluYj0JQnl/FoU7iKOu7XnbE/P3qtAXP3E6V4x6eywV7UJa8zYs52Fw6kr7sbbekDYPasaM5 +jqMgieQmfsARI8eeHE1lyvQ6VesT47J5loO97YhV48PspjGEXSfKOSividaem3I0htzEsZrnGIgj +IVbQ1k4E7NXnVDl60jg0HRlfQnmBP5JnlzF1Na98fnxpx87EqT1/bpd94SWg70Ul0xH8MR0xXjg6 +07jn+apzLfAhWx4d8YzfdMQ4UBw6Qh7iZJ+Jsrqf5VRbTkkf2BgemjxzjSPsk4wj05HkVFquzPRn +qkrX7o85wH4fmTzS0+hc8mh8zxpH7EibccQ82MShF9Ob5MzqiHE05UUl0Ogo+riCrnxgBjsiX6dM +DOVruKZ8Xj9xs8YRXOtzqorjpJFxZKeRurd53MeR5qXGnvmyHa4Rh91GksnjcvamDck7MY4Uxl6M +ax75YKNmVyr5mI/QG/O45vpOfQn88ZNxDXlUbzuOvE3aSneqO+xUg0Pl6s71jDqjnepRsBE+z+YD +pfu/ZvJqIh1dpyrB1LhPsAxgAGFM8XearJmQecakDtgbiYrD6dlbddvKz/MfpaMQUxAEFuzZ7LHy +yaD25lkyQBlkZmSFjRic+bOlRxk4S91bntAOL40QR1vNc93mGae9IUnbmvgYKFz9uRP9t3oYzNMm +xSlgMjtSf/2Z6mj/fHgvJ8abvzxHxTHicCF17AQJk8az18ubW/tgBEfH5KXOi+sbc6j0157PfuDX +eK7U1pW9Sey28fpSzOVUeVFJduR5IWXMFuiGiSM8E7MXhCBVz2RozwIZvDga1c0zHnNeEEoyjJ9N +oe9QTvF9ThU5G5tc2i8NuXNwOzImeidD2oM8PFfhHsLHz3kYu4o355YSmsmw75mqxr5NOrrfxp4a +Y4wZPkKCrpAJmX18tmUNjQz+DLfVDboC6MXky/dp8plq46ysPdO7OItucFiK5w18wuboY5235QXX +BxzA1oTRG1fdm3MlHGSOy05zqswN2Kv7ohJpOFdxj2fjSZkGYzvZfTyOcKaqw+xKnricZJjqVNUX +FvCdt3+Zd9CVj6NcOcU1i6Bgk3Yc6Yo9GEdBR27XtizjqM+pqozZCK4L9pxQ8vnzS8YPY8qdW6es +YC8qhTHWjiMbS+hNMqEjH/sx1GafU93exZ7NfOrHzza/2jyuedOOqHvGUVgo5seR0nGupjfk65bt +3alyL70YpzSu27nZ5qNmfNlXw+IyEay98Iin4ZnksQVH0zdf3BIu2qmacw3tqd8FTlWNY2wGnw0A +JhIcYPPtX3ugHFYCAAXY149kKJuEKesvVagO/qTEnLLatZcrcKpSXuxU2WWaIakTmZhEUDDEYLCh +cIyuOjGk5aFzTP5aFeMMbHes+kmzyVjO14xC2TCAbCAgh8LLdaoXjVPVapZPC/JlpcHWeesUyY+O +268qUYdkc5xIr/xZDl9Q4s9xkIu3hymPo+ZN45ML9U+DBKfM5wypZ0Iu6W9upypdkb9Z4EAuvpjV +rApNL5I5rd/ROlXsFU+GDGiVK3aqNgaaP81yp8ZpxGBwpHJh7PkYcei+M/k60V2GMMZKnaqNRdnO +33ZnMmQi4uPp9oKXTdKprhoZmlUzhAy6QVeAtky+SV2AqU5VdrIXlYJTZbK3ePGk5ZqN87i80NpE +YcYFbXhcWDy53lJdTN2pKs7f0jauSU7bdbArYwz1jqOxneyll6Af0xU6wqnGMjvU3lSniq6U3rz9 +i640v4V5h8+lNnZtFq7dcmrPnSpp7TgKumIcKV/7Nm5cfppTtXReVApONfr2LzazBZvJmu9P61TR +h48j01Voi7G/oFMFjTMft2vzqxYfNt+SJ/S3A+pyB8U94wgdIQNXnCoLjzC20rK9O1W1aSeS0ov5 +lngcMcbhV+84Unu0bc+7JU/QTetU0VuvU1V8705VdQVnurSdKisDCkMYe6COUzUnps7bREJZNaB8 +7c6CFQUOUhjHNQSz41/iVAfxdLaZnJrOxvIAeyOM/DhHpdvRgNUpGTTZNe0032VtVn9XJi9XdtnA +Bkaomx2uta3B3Rhqss1FgI74wgZOMP7w/ZEc67kcPQ6S7/fuSG/mWDF41OaeBhc7VRwkP86OY8bB +2o+wq06cqE0IugfE41DZzeJkJyZOgfzzHf82pNrlmIexoHv7dGGwmU08mrR9VzQBtWMfZYfk1Ee/ +gCYzG8y5CVj3fU4VsCNsxlLz94TNm5GXzZhDJl2ROVfW2lLd7YTscbrasRBEi8ZCC/o95UUlc6Zh +/HKPjuwzgGHxaLLapJQhvPKbs5c+2hdciGOSRk76SFxaTug9/hWMk+ygDzSmmKzhCYsP4pF12th2 +HaEPFjyWL4pDR2kZ5ZnmVN1mZieFnX++QOOvAtqJOgM7/qX9SEfoy/RGm2kZpU9zqjgHk4WxrPa5 +b+N8HImTaTkD9TGGkcfGUZAJHs0aR7071WaObGWSvWyuYhwpHVlsHO3l+wPMKaAPyWZ5BFtwIKec +RK4Mcva9qMRcbLbBTugD/UTjyOyp+6w8xKU6Uv9NRx6ncK7drFNl7uZP1uRXsI/P3aajENeMo3BU +HdcZITeOjGvxOFJcdqfqO9TOTlVl5UjHx8ChLezJnEmb/g8lTHOq9UWll4u5nWqm7MrBgIboPU71 +WTDNqT4j6otKU8A4muJUnwXTnOpzoc+pPhckx+a9qMROFae64p2qO1UmZMK8IEMZjg35apCVUTpp +7NTIx/M/wG7K6+OD86yk2YGxwyKeekfsclnpK0x5ay/IQXhHhNmXE9gPdQLqsnYXAO1yHEt9uXSD +Von2KjmrWXYcxEk2j2vim7bZpXicyR+V5Y/xcRgTZcPKKi7LCozVKfVyv0u+3Oq3AHM7VdqSrNva +7bNK9Z0WYY7F7WRiyqrQyiMzk4jLbnWGOF9RJ2WmOlXSaZ/HA1andqroCnkCTNZcWQdtp2OFe+Lj +OMeMnSp9M3nUrv39I+NduunoKC0Xl0cPqY4I9+kooNepohPJgt2cQ+w6Wv0EWbNlHaajpG3i+nRE +G9OcquJMpqhdwuhn5jgCriPGDvUD15HrLc5PeyrT61RDHPqwOhW2cSTdtHrq05GVDW0vOo6mOVVk +Vnlrl7B04rIsNI6CzQ3TdAQUP+1FJa5wy3XUGUegT0cO2kauNC7Vm0Nt9jpV5iLXBUfAkd3mGkfU +N4+OhMmdqhxm3041dqr8SQ1tSbYyp4ozlDB2BKlw4wwvhvePD8P7Dw92ZMnzRI41r8NHDzi65NN8 +vLXKlXzXvBmrMEekOLnru3tzvByNUjdO+i7U6cCBcoTKEeiuFIVsIJZ3HiAz5XgmSXgyj/RwcWO/ +5WfHaBjVjKKJVANqz46sFSeHOOI4+6zRBU5wD/2hE451VI4y+xzhMJA1KEbh6GTv8q5xpKqDMOlG +KAasHOseRy8YSWX2Lro2KcFcTpVnquqPPVNVfyDPPs/EGXjUg/NQOZ630P+0DdD+0T7HPhyJMTgB +Ry2MF6U1z11CnUCy9TlV5LSXSY4aHfPs1F6UsnTVobFljxJuwx+Bx+V133n2pjaaZywhjn6RR+Hs +s7C+Z6oca0oO05H0sHf/QWkaMxyZyZbk4bmd6Uh52rIGyeDPcNGH9GLHYiwuTUfKYzqa1AWYfKaK +7jReeJ4bjn3tmSqPAeAIutMYs4Uab05Ln2l5JpeOjmgj1pHiXW+pLqY5VR6r8KIUevGxY0Anqtfe +x8iOo0ZH7ThCLxzhMY4YU4obj6OoHLpQn/ucKotjZLHxq7DlcX3AhXCU6AvJFsrXtBfKqI1GH0FX +riOF/cWhtizjaIpTtTfYxTfTEXFqu3msoDHO2OfZJnNCKpPQjlv0wThiDDGWNG5Nb3Y0nNGv5O09 +/lVb/Exn55kqcxTPntU3G0ea0zvlQlkbR24T15GPrfiZKjpKyvY5VfjvY8benZBsxvsQx7xh4wgd +ReUcjQzKq3ptDkInfhSN3tiRoiP6WbJTBU/eqaojY6eqwhKGez7FRwfZeeIMcVjX93KqvG6uMrzR +an8qImdKWZ6T4Viv7m7tJR0cMfE4WuLtN0kfUaAMrXqp350q6Thr4pEhlnceIDNy9jtVgXihcaLR +58sY6NIRqzfThTtVDfpdnLw7VTlPHFT8JwPk3ROJbMUU2micqupjUKg/ZtzYqUpOqzPUUYq5nark +Y1JmkLJCbN/+tQEMqZQ/TODZdpjQIBLEMYI3E6FNhhCNyYmBDjG8nPW536naG6zsJjQBM/nYn13J +7hAcZ5p3XoLqbSdD+qD8MdFtQiJe6ebklL8tq/p7nSpOXBOffcqRRQ8LD+SUbPYcSmOFxYhNShPj +U+3RNvXSttow3aArwfRm8k3qAuRfVGpeLEEP2K19+1f6tpeoTHeqLzMxW99oDx0wxnEUkY7sy0Po +DR2ir0QXs3aq9rwwfvtXsHGkuvzFrk4ZQySDjyN00xlHCi/oVJv05iWy1qkqHp3h2BodJWVCuc6z +S9cNz+WwJTKiJ8KpjhhHU19Uko5w5hpPli4QZh5uuCYuRrLG8Jd+7OcNmWcD3+xlHGSysZ/oCMC1 +nFMNgFcdp8q8pjnDT2aaXxFLylGXvfTj46jRh8nAlXEFkAddJGV7d6qk4UxZ7HAf8cnGEbL1jiPm +o6Y9+0CHjSOFbQGittAbizVksn7GTlXxGrPdnapwuIqdqnYG7Cz5O0rbhaph7u8fH5ufv5FwtxoI +OD+cKC/a8Hug/DkIu0+uvLxjb7YqnfsTOVV2n5Rlt3orZ8yLPHzWDxl21XkcNbJcXN3KAT/Yj33f +Kd2ce26y6AHOhT9T4e9Hcdx5pyo94BSVvquJypweg1R57fdeGfTsOJXXdqfsajXBEjdSvyEuZYi3 +FZXy2Y5LE90+u1L0FNoanSiOlWloB8eLg/U2rB3qyMo5P+Zyqrai0+LgXLKzWtVujJckbDWosOmD +l8IsftyHFshIvSxWfAIMaXYf4m3VmpTrc6qWxuQiUtkK3sennKgvACbKxFB5c6y0LbS7LV2NXMTn +yNznVAPMsYoDyGXHd+jIfqS/cWjoixOHXFn70wJvO9VR0BOEz5Xte1HJdKQ2sRs2Gmji44USfhez +8wPTOZCW6ojJK0yCJiOTUFqH7qfuVLUztpOF8IatLVBtHGlcM47QHf1MyhkU7/qx9qmfnU7Qjzm4 +3Dia4lQZs9htXzsbTjvMQTCO4KrtUCfLtFDaeBypHJM0+W0cKc6dRVrHVKfKV+jQkeY2jR07XlV9 +hEfX2r2ajhquZmVzHQU9eZ02tkxPivMjz6Rc705V44gXPtn9NY5dOmOO4vdVZcOsHA7S4nGEjtT/ +5qWgoDfN4blyfS8qsYA23cjXsECzHTNzpcYRL6Q2PIRrM8ZR0InXb/cGyck4Uvzz7VRdKJQF8UK+ +NJzm69x7WFcL+zUpzzWOa8uQ7gjpi6CtO2pzAqTFyKXl8vblmRWXpuXingD6PJdT9TLs/Cbk8bgZ +ixjLG5DGx/dRvDnVy/Ggz+WZ2I0SN++CyvLOEefQ+Ng5k8PIOZM2T6qjcN/qaQZyeax8EhdhdKVJ +IBNvoFysI6trTllAru1cXJRmC48+HXlZkyHIFd/nysTw8rn4NC7Et4uz3jyh3bh9v0/z5mB554hz +aBztavfGn1ll87TyhLCVCXHp+OpDmqetL4qLgY4YR7l04rxdlyeOS/PnYGXniIvSzKn2LNy6OvL4 +SEaP60NcLo2PwpNOlZ1qcKaOXqcqPNWpAltBRXmfjnPVKYGyaZ8YTM/L0+/CTvU5EA/yTcCmyQOq +TC8P1Wbz4TllUtsdpyoHb1/QurxunpU7OOIHHg5XO00sdqpasfCW7smV8q1ACRwpH53zGT68P3FM +9KvCZPsAh2Nvu3FUwaqOI92RVuTqN7/vZ6thxdnLS0EHlLFFBsZpy/Bi04U9D7Q4ynJUyBEVz1sV +l7ZNedqlDEc3/ixxIl8HcZ96IH1is9sPj3mn+rABTrWioqLiOcC8rflvvFO9lA86DjvU/QZ7As9T +/d6hXas96lnEqd48MBE/2vVYux1eGDq+aD6sEOd9KthN7cmZ8ZNofL3pNrS5KlD/+c1dZ/cNcDjm +9OREGweHA20cHQ6OME6XeHeqfjxtThFHeqby+82fE4zOCfNsIpSlLpyurnG7Dsundq0t2pcsaR5k +5leDFtGR2/D6LnGqcqgVFRUVFQ122alq/h7s7eEgG3D0e307HBwdjeN2d7Vb1fzMBmlep9pM4Cdj +2E71YnimXc+27YK6eZ+KQzkQe4FI7XTaXRnycphD4xX1Pe1Qw65yvOvEQcrBylF6/pGcX7tT1bV5 +U655O41VDwrnikMlnjrNECrLkbeV9bZxwOxUebECRy7jelqKfJ9mo62D/ldUVFRUjMH7OpqH7fiX +HSrPVgnbka+uh3KsIzncELfQ8W8f7CUhGs+klYL6VlFvRUVFRUXF3MAXmVMNz05nYClOtaLiJcAW +ahHStPQ+lzcXv0hcXI+Hc8iVTeP6kOb1/NPuPa4Eadm+Op/SRkXFs4GxvEqnakexFRUbDju2icet +7vnRAj4icn13Ozw5ux7e3N/bR0N4dHF5fTu8ebhrfoZvcGKfrrz/8Di8+/AwfNCVv6fm2L/5opfi +H/kS2H3zsROF+Vvn47PmJ/3sq2D3d/ZhEvtFIuXjM57UdXmjetQebTd/Vz0pJ3lph7/PPru4sbzU +eat2+GAKv8PLuwZtv/bO7W+17W35rTP7CUBkpo4HgZ8DBBe3kkflrvgdX93zkiF57McaBOqNZYlB +O8jAry4hP/f8Hbn9UIT6zgdd7P0D6e/q9t7q5O/OKeN18E6Gl4/rjpGzZUXF80LjcqpTDUfB4Tq3 +U+VPW/jyECRlcmgI+7giNG2svp2K14hHoXkWn0zYcgT2lS45KZwgP6U3khNgnDG2cU58ZMSf4+Ok +7Hdv5YisLpXnGfS1nIY5RDlf3srGeVidqtvyKC9O6ubhXmn3FuZTmjh0+ylAXRnjua94mZPE+ass +jtk/jkI89zhMk1l1UCdyEsdHUHD65GvqOFe/GqdPHuRzmflzKpwgspuMcqYsKA6PlZ7I40AXzU8J ++r36o7J8AQ3d0fczfpJQV+JYTPDBF3TjCxz0glO3fmdsgwPO2bOiIsa6fANtcGUs25eqznmhc6Cx +uq0wTlZ8ubiUb9xt4o81jhW3mFPVStM7s8fbUJC99eZLguqEXP6tX5vQBsf5vBUVPUh3qjaGNbbY +6eEc3FHx9jpj2n7bVuPMHF9wqpTBqfIWujsbrtfanbmDghfsJI14umd3yWc3+ek9HCK/3eg/TE/d +7A5xKOxicT6pE+Meh4XjRbZt3VMn/bFPdsqB8qa37bJxqmrTvjwmBwdnvT6cKr+z2zjVxnlae+oj +TpU+IDvlcIrObfvqTiSPo3Gq11avy4ijRlf8iRYOn6+hnWvOYMGCXBfqvy80qINy7Kj5DeDUqTpS +O1ZUtNCYwu80zlS8hEtwMJf3KVCdjQ+6t7Z4YXawfaj48JYv2NoaDk7ILwcbxx8e2UulRU4VIqYT +wjJAnRwTeYf8e8G5vBUViwCHAFkA3zb2eLsPzmR3P/yNsYWbvMCdDbvIXTkqnBXHmNRp+XTPJy/Z +PbJjHLcRwpBMdXs9lKEs4RSkAeqjXo5UXXZvo+We6iPeykDmto4mP/Ee5+W54uhwyoSRjf5Tr+ft +g/Uv9AE0co3fSEcu4mjHd86eNk/9FRV9YCzhg/AL6/FBTTuHLALhnBaVtjt1cOQb34e44p1qdaqb +B2zEhNY3WVf0A8cy9ef/KiYAV1k42I45k14xBUy6dbwtBMbbszhVtWO7z+sbe266reuWHOi2sMdp +1OWVrtfDXWFbYRaTLHYXP/5VmFUwR0B8AJ+JPO4gk5T9JmoI82zFj9U4fuMo6vi8+fUafuKNdF6U +IJ1t/TxO1ZR81LwIwip9FQp+SUBP6Bg7TdMFR5Xo+5xna7Id+s4dl34q4CiSH3iw8TlFD5CYZ6T2 +C0vSGy8Ufcpjjr6z0+Wlp1njh7zMFzcad+knTj9FMF9yDN/30hZjkvHGMT/PqpkjOVZHh5+q7uh3 +7FQnfFDiAyZ8EO8kwPHByfD86tYe4fCOw9gH8fOjwQfh6xKnar/ydHPX/CgE86aulw/3wwvVe/kA +FNb4ttOhUqeKcHSGwRE/I6FjvARCGl6bgdO8DXlvggKISBpvNDJYmKA4VqJs06HZThVF8XyG+j7l +weaY16naRx+U90SLmmZBND4i/BQxr1MlDeJyHGQvOmkMf8pjjr7Pcqqeh0WIvQmtCaz5xGk+/6eC +eZwq+uJNc65M7OnG5VMDfY+dqs1zmseYw1jopj7oTE6zmd+aRzo4z7EPan79zH0Qb7AzLrs+KHWq +8jPhl5b8eh4cKo71KlyX4lR57Z9w8+ynEYoVAm8fWodUF4L7j5RDMMrQUTrnL1PYte3QHDtVlaFN +Om+rlBKiSgbDRFx0/0Iwr1MlDztVe+YlnRUd3aEjle/GCWncCwA6mMepAtIZw/YWr0hquptRpoPX +pDf1e56dKjzfEeAqL0bZS12LcrVPR+gzjXsBmOVUAWMLTjPe0CEvvPG1toV116e3FzbHMd56nWrw +QfiBsQ/SeMMHqa/oj5f/Gh901vgg9K9480H8tKH02vVBqVOd3KleJDvV86fuVCnMNprjXPu9VF39 +OQHHu6RBIN6GJI8fMzJ50TnSKMO3g7nayl9Kmvf4l5chrG3Kqv09fr9vCrlfO+Z1qugKe3H8Yb9x +qzK5fJ8K5nWqjHc+yYne0N+nfjpC3+c9/gX72uE7z5nMPmXdzeNU0RFjzfnKnLnQAu6VgfESO1Xz +QfI3cNJ8gMAb5+aDlJeFr/mgszl80CV5mzmRk7zUqfJj6yvfqdYXlTYP6InFzKps81phTlUEm+VU +K7pAV4s41YoA6QqnyiQ/zalWdMF4i53qul9UYqe6qx2qO1XbqcqJ2k7VnOsTn6lWp7qZsOPIioqK +ileG53Sq8+xU/VrkVAHHEWydj7S1Xiaok208HaIdtuT7F7fD0cPjcK/FB/uFlyPbXURlOfpURy2P +VhV7jx+GuyrLMXGcr6KioqLiZYFj3LPL67X4IOqed6fKLrVxqk/YqZqzu76RAPxZzHJBnRyL8CUb +2uGzZ/tyittHV+ZMcZjbB81bWsfn/qc5oayUsU3nb9ThDx9MflYdHO2leV8j0B36WpVtXivQlf3J +gnRX9bYA4KomH/4MgedX2TwVeVyIq9JbNq0ii+YZqZzdmnwQbaxtp7qerffk8S8/7g1mPr/hbS8e +SOfSXjHmfVGpoot5X1Sq6AJd1WeqZZjnRaWKLhhvz3X8O96pjp1qu1NtnWp9pvrqUJ1qGapTLQO6 +qk61DNWpLg7G23M51Ve7U62YjupUy1CdahnQVXWqZahOdXEw3p7Fqdq3f+VU75qdquEudqr8rept +2Kk2X/erTvWVoDrVMlSnWgZ0VZ1qGapTXRyMt2dxqnWn+umiOtUyVKdaBnRVnWoZqlNdHIy3Z3Gq +4Vdq3JmmO9Wrdqcqx3pfd6qvCtWplqE61TKgq+pUy1Cd6uJgvD2LU51jpxrvWOtO9RWhOtUyVKda +BnRVnWoZqlNdHIy3Z3Gq7U6VXWrYrcqh4lTP7rRTla+qO9VXiupUy1CdahnQVXWqZahOdXEw3p7N +qcpeWxeXw+3zi+Hg5Gy4fXU93OKquIMLOdFjceHyarh/hj3lr3brj5S/ClSnWobqVMuArqpTLUN1 +qouD8fY8TlU+SLvPwdHJcCCnOZADHRwrLKdqV2DxTZzVU53q60B1qmWoTrUM6Ko61TJUp7o4GG/P +6lSPj+U0g/N0x9o62BAvx2v1VKf6OlCdahmqUy0DuqpOtQzVqS4OxtuzOtUjOdV2p4qD5YpjFYgn +ve5UXxeqUy1DdaplQFfVqZahOtXFwXh7VqfqO9UT36E6op2q7nlJqTrVV4LqVMtQnWoZ0FV1qmWo +TnVxMN6e1anWneqnh+pUy1CdahnQVXWqZahOdXEw3p7Vqc69U5W/qk71daA61TJUp1oGdFWdahmq +U10cjLdndaqdnSqONFx9pxp2r1ZPdaqvA9WplqE61TKgq+pUy1Cd6uJgvD2rU6071U8P1amWoTrV +MqCr6lTLUJ3q4mC8PatTTXeq7VWoO9XXiepUy1CdahnQVXWqZahOdXEw3p7VqfpO1Xamyld3qq8f +1amWoTrVMqCr6lTLUJ3q4mC8Pa9T9R2p8gQH2nWqcro4VeqpTvV1oDrVMlSnWgZ0VZ1qGapTXRyM +t+d1qvWZ6ieH6lTLUJ1qGdBVdaplqE51cTDentepymn6TrXjWBOnSj3Vqb4OVKdahupUy4CuqlMt +Q3Wqi4Px9rxOtT5T/eRQnWoZqlMtA7qqTrUM1akuDsbb8zpVpZ0JXNmpHoWwO9Wwe7V6qlN9HahO +tQzVqZYBXVWnWobqVBcH4+15nWrdqX5yqE61DNWplgFdVadahupUFwfj7Xmdqpxmu1MV+H3V2Kna +ThVfVZ3qq0F1qmWoTrUM6Ko61TJUp7o4GG/P7lSn7VT9+LfuVF8PqlMtQ3WqZUBX1amWoTrVxcF4 +e16nqjRzpsGpslON7+tO9fWhOtUyVKdaBnRVnWoZqlNdHIy353eq8U4151RVR92pvh5Up1qG6lTL +gK6qUy1DdaqLg/H2rE7VHKeAE+XZqu1Uxy8oWZri6k71FaE61TJUp1oGdFWdahmqU10cjLfnd6rB +ifIRCPtR8tSpqo66U309qE61DNWplgFdVadahupUFwfj7fmdquA71cO6U331qE61DNWplgFdVada +hupUFwfjbXOcarxTJS6k1Z3q60J1qmWoTrUM6Ko61TJUp7o4GG/P61TlQGOnepgc/4bnrHWn+opQ +nWoZqlMtA7qqTrUM1akuDsbb8zpVpc3cqVan+qpQnWoZqlMtA7qqTrUM1akuDsbb8zrVulP95FCd +ahmqUy0DuqpOtQzVqS4OxttGOdV0p1qd6utDdaplqE61DOiqOtUyVKe6OBhvG+VU60719aM61TJU +p1oGdFWdahmqU10cjLeNcqrsVLnaTpU4XatTfV2oTrUM1amWAV1Vp1qG6lQXB+Nto5xq3am+flSn +WobqVMuArqpTLUN1qouD8VadagHGHapOdVFUp1qG6lTLgK6qUy1DdaqLg/H2vE5Vaenxb+xUSatO +9XWhOtUyVKdaBnRVnWoZqlNdHIy353Wqdaf6yaE61TJUp1oGdFWdahmqU10cjLfndapKy+5UiQtp +1am+LlSnWgb0dqDxfHTGWMvnqZgEY2zsVCtH54b0trN7Jqd6LaeaSa/IgvH2vE511TtVhbdGF8OR +CLWriXz3PILuKTu6uO2kkXek1dnoXGXi/MDKiJwi6d7BRduhA3VoS6s6ayctU9HBjnR4fHM7PLyu +uloE6O3w+tZ0RziXp2IS6GpfnD27Fc8z6RX9YD47v73TXJhPr+gCfW3JsXWcauyDcmXwNaRFnOZ+ +fh/UbOy6O9XgRHufqcpfFn9Q3zp0Pty7exjuPTxK2MaBIqzdq+wu4fsHC+/d6npz1+RRmdF1Ezao +I3v3jwYUl3Oq1NXmXyaCMmfGvQDsnASnenXTOIdV45XoDr0dXsmpyrFWvc0P9NY61Uz60nGRiXuh +XGWeNKeaSVs6XoPeJO/W3nnGqcoHyce0Pkd5zQfJl+AgGx/UpI3wQdf3lmfvTnEhbJCzbXzQg5yq +6ux1qoI5VRyorhNOdQk7VXeqBpwlDpQOapIaXd41HbrSlTRdDerc3q06JEU15T805elQr1NV3nPV +LbSKEEyBviOOsH8ROe0ejC6FmwbtINMAtLjbKK4PatsMmeSzPiotjkPBGK4TlwN1SndpPHVOxnXr +7HOqpqNl6g0dST+ja91DWLVr8a5L6TUt04H0ZbInOmK8tCtLh60sJ+WcgNUp2SdsQTtJnOprT1GE +aU51P6OjvYyO0OWEzVMgY9DR6Er36E1Aj21crlwE00WiI1t5pzrqGUc55G2RaSexxTSnauMo0bvp +6KxbJ3G5cZjC9IOeGHM+3qQvj0/zT4C2Ex1Zf1IdYSMW/InsOVBfOl4ZV/PYgrGSc6roLR1HI3E3 +jbO5cJbefGwB5jPXG/Me/JXuJsqkSGwOkCU/H2XmvQzScWTIxWELb0fhXqd6d9/vg1TefZBt5rgC ++Z/RTcYHKdzrVM1xBidqV3aqirN70hrn+sSdanCqvioIymBQNjtTddacpyZwOkinSNM1JjFKblcJ +a96ptscvM+JeAvqc6kog/ZiekriXqre17VR79DYR9wIwzamuAizmJuLR2wvUXZ9TXQX69Paixpxk +nepU+3yQ/M+ED7rp8UFzOdXIidpONbo3p7qEnWq6mn0SVNfkM9XL4c7e5fDo+lETXwwpJVfHJ4y1 +OtVXhLU61VeEdTvV14R1OtVXgT6nqrjV+aBpO9XImXac6hN3qvbmlQpvLwnUxZtxewdqJ3TI3v61 +dnLI1/OpAjsda/DtMQgUzuWpmAR6Y5wdnV9ZOJenYhLMEXuHF8MzOdZt8TGXpyKPXTmDs0stTLTT +yqVXdOG+oeNU/e3fJO9T0PVBTTvr26n2vs6MJ1fasYQQ4QZnmqiCsIQHV4K2131/1zZ+nTlyquv+ +k5oe2TYd9U9qyrD2v1N9JbZBV2v9O9VXNKbX+neqr2i8ZZ3qRF75mpPGB22ZD9KONvFBHPH26YU6 +s39SY84z2aniaOP4J+1Ucx3iXoNlcCmhtX3eOlBYq/8BYf7+j05dPMGpjgLiMuxY1WYnDmglOBGX +Yk/tsdo4jJw29R01iurk7UOunVwcMlJ3Gp9ikf4kdfY6Vaszunfk2snFpVBdNoDQW1zvYdCb9NrJ +n8O8OuqTPYe5bSF09DbFqc5bJ3Gp7BnYeOMNQXTkbR0Eve2P8/UiqyPFzWvfHLLjQJhhC3TV61Sz +es/ITtwsOVU3Y83Gm3TVtiV9md6IS8ukQO5UR9afTNs52XPI9Yf7NA4kdfY61Vydfe3kZE8wYGyh +N3GzjWfeQ2/8+UeUNwtrJ4kDfRxI43LIyd7Xn6hOxttMp8q9ynR9kJyq+yDqkQ8qc6pK461f37F2 +dqpqZ2U7VRwnjlRkG0hJhE0x5HWnyn3PH9mPO7SGnSoyMZExaOK+MOHN4xg2DGvbqVK36yhuB2LM +4xg2DGvdqTLW0FGqt3kcw4YBXa11p5rTEXHoL43fcKx1p5rTkc97cdyGg/E206kC90E40gkfpHpw +uDjVmT4odarBedpV+biufKfqCMJTcRvHPSuR9hqlRRh36BmPf18o1uZUXxnqZwrLgK7qZwrLUD9T +uDgYb3M5VUD8NB9E/EwflHGqfPTBdqruUEHiVKlHdS/XqT4B4w5Vp7ooqlMtQ3WqZUBX1amWoTrV +xcF4m9upPgHUmXeq0ReUbKfqSJzqSnaqnGmfKR2wBVccLy9tnQj+8lKITzHuUHWqi6I61TJUp1oG +dFWdahmqU10cjLe5nWrwQVvn+KBGx4v7oNSpyml2dqqNE51wqtSzNKfKvQaLnVlLCOsYz1DVCd+O +N29lqWM9yhh3KONU2bYn+afGz8JI7fHSyEHXaU882J+GedsulXEakjoXdqpPkYmXktBTHMezG/Q2 +T71r0EcvyBflXYpTnbdt6cj0Fj/P4oUbxuE8z7hy7ST9WRiFZdHVk53qvLKjI01aHR3xTJ+4pzzH +X4Xe5qhzIaf6hHbQkektfkeEcryMua/4OO8iyLU9jzyOgvKMt5lOlXv8jvkg6Tb4IHOgpKmN1gfF +5SJQ5+bsVLl3p8p5te69Q/Z6sztTK5cfTOMO9TjVVPG5OI9P41Ioj30AOXkBYoCTTeJ6MW/bxM0j +E1ikzuh+qlMtrDML8uA80xcguLcPSkdxfehrO43PxfVhkXxR3oWdaq6dedt2BxrnZ+JDb6UvjiT9 +6cSncTn0lZ1RJ7rqdaozynbicvEp0BuLkTgv+kJvscPoQ18788blkKszF+fx0X2vU52jbBuXi09h +Y0t62ySn2pdvRnnG21xOtc8HHS3qgzJO1Xeq5kAbJzp2qkrHqVLP0o9/iacT7FA9Ltw77O2ruEzA +uEP1+HdRLLxTrTDU498yoKt6/FuGevy7OBhvM52qg3j+nCb2QZH/mc8HZZwqzrPzkhJwp9rEr+aZ +6hMw7lB1qouiOtUyVKdaBnRVnWoZqlNdHIy3uZ3qE0CdWafKT73FO9X2z2qE9qfgQj0rcaoc9/JQ +mC0496wK2HqzQmAb7vEJxh2qTnVRVKdahupUy4CuqlMtQ3Wqi4PxNpdT9Tj3QdK1xS3sgxKn+iw7 +Ve7tIfF187xD9/aikj8ktgGEUOqYynfKBow7tCanyksOyTOZwZ7am+c5zYZhrU4V/aQviOTiXgDW +6lTFC3tmH7cDV9Ln0y8A6GptTlW6Mb0lcaa30mfRz4h1OlXTWzq2eEb9wuY4xttMp8q9+yD6rPvO +i0oL+aDEqc7aqZrTDfWsxqlKeIiAU2VloInL0rny9hWdissGjDuUcarUCTw/9aVxAGXy5lccl8Jk +VXt8aip+i5VJjzgpamIgTkBt5NohLl0FmT4yeVNkZdf9HO30OlXy9OkozgesziQuRshvA4k3gMPi +yeJcb/NMconsbVwqZ86+OXh/UtlzcUmdU50q5eN76mLFG9dJmVx/UqiM6QiwcKOcYJ+SQ29Mcmn7 +KVJ9kD+NA8iXxuXQJztl07hkHKGrXqeaqzO1RSx7Wj4BHDW98XINeQGOAb3N86a+txPHIR8yxW0j +XypnH3KyT2sniut1qjm9UV8ax33adgql4VBNd8xxnpc5Dv6mi5QcMrK3OorjwKJ6i+O8P3EcsHaa +eMbbQk7V9KZy7lQjH2T+Z6YPSpzqsz5TpSM4Q/4WyA3Pw2H+blXbb9KyChTGHarHv4tirTvVV4R6 +/FsGdFWPf8uw1uPfV2IbxttMp+qIfRCOmXzmgxQ3tw9KnGpnpxocrDlSYWU71SVg3KHqVBdFdapl +qE61DOiqOtUy1Geqi4PxNrdTfQKoc/N2qqBvOw/64oVxh6pTXRTVqZahOtUyoKvqVMtQneriYLwt +5FT7fBBH1HP5oMSp8vihs1PliiP1+FXsVLmXsIMLfqWdOMISSMQjzb5kwa8H8KsBPYNp3KE1OFWT +Nwr7FaWDOO8LwNqcqusoep7aYp7nqRuGtTpVH1s5va267SUDXa3Vqb6S8QbW6lRzOnqJPJXtZzpV +7jM+aCvng3C6cdkA6py6Uw07Uj7YMrFTleO1epbuVO2nddQJwX7LjrNsfrTczrIF0nlwHJcNGHco +41R5AG0PrkN+2uM+KKyN16Tl3xzuBfl50SG8ONJOdBpsFicFWVyurAOjZNqxtlODIeOU1VEL2idv +HKe6Jvpj8nfr7HWq2ISXazo60n2qN8HantZv1xH66XtRadZbhdSf6og6aDu2L3DZ47g+WJ3duMYW +3Th70cifs5Cnz6mq3ITeXfY4zmWfZV/qc71FL9zYC1+MQd5kjdvPIW2H/CK89SkuS1vk9fs+UMZs +3q3TdJ72B/5F7aCrPqea44DJE0/m3jZIyqdw/bQv3ADuiZ/nRSWX3e8pT//StnM2z4EylI3GEbD5 +CcR5nb9Rvl6nSp2J3sy+EzbX/Sy9Kc305XryvLygaQ4gytsHtTvBP+dA3DZhs3kU14ec7G6LOF9r +i6Z9xttcTlX1T/gg1WP+ZyEflDhV5rd2p6rwWnaqDjoQPqjfvLbsRqFhpfFmVjrwAsYdWtPxb24Q +EDfP4NgwrG2nCnI6erF6W/Pxb05HL1Fv0tVad6qvRG9grTvVVzTeZjpVh/sg3vCVIx2nLeKDEqca +71TdocZXnrWyeKGepTvV/5+9vwex5MnWe+F69a3WMWsQBaLkHKMYQ0YdR04xlqhjyGk4Y7VAVo9x +QNAHeeX8nfaEGg6MUWMJ1JYGyrmGmtca2nmdPsY1hjaOc5sLl8tpu+3/vM9vZays2JERe2fG/qra +tTY8ZOaKrxUrVsSTkRGZ21ELR7Ym3WOFYk11KQ5KqieEWFPtA7aKNdU+xJrqcuBvs0nVUQtHtiYd +edZJFfJ0UhVq5MpGJfI5GKl6Zdake6xQkOpSBKn2IUi1D9gqSLUPQarLgb8tIlXCynCXrUlHnptJ +VfHSZwlXSHUvM1UeK/DM+q2USO+m2nN5fwHX45TrBgmPFQpSXYog1T4EqfYBWwWp9iFIdTnwt1mk +Cr+wdsqnCNdxkGSTtAJ5ziLVkVyz653PVLlGeV8E5rk2u6zsXHKIFlDJ9MexJR4rVN+oNHkOjmFq +z8ZlhIksB7r6RiUW810uY5tMBjLD52lKEE7ZZTzKLm8akDUacQWWZ6k7dazUxzaTPF43SbVmI8op +NyAADZIb651tVLLyU1mj3eZsVLJyivJrNmq1b4kxz0JubVHKlF9WzlpSLe1OXrU8KXtT+yqN3ckW +G5XsGvmcTzzWyqE+tfat+UwN+MEGGxmKtsBWTVK1sov0M9qihdFu/olHsGSjUqH7KKttwqn5UQ01 +3ZGV5eDnRVtstVEJmO6reU4gG63bqNRtN7dRLgNz7WZ5FulrMmCyoXz8bSOpci19VzlIfCNyXeUg +wot6JZBnnVQVtm6mmgjW8tkpqSozWyCmEnJYe6WGSlJBbxy+asECcp424bFCjZlqWWYLM+OdMZDl +OxKR8Qm5QrYIc3VsoZZ+Rp5rZ6rb6lQCYi1JAJttItQebKP7LLutIdVd202DzuR/LE2WXe8K+7Bb +JsdWTVKtpW/lOQc1uwHsNmcgB3PL30bPVtpCfsiZqtmttBHEel2xZw3b2GNbZGXjb7NINXGQkSrc +A6kWHGSkupGDaqQq2HGYQExIde9rqmVDcr2hAzxWKB7/LsVaUg00EY9/+4Ct4vFvH+Lx73LgbxtJ +dRMWcVCNVEXORqI6HmSmWqIM53pDmscKBakuRZBqH4JU+4CtglT7EKS6HPjbYlItw7nekIY8N89U +OdZmqvsgVWQ89vWvVvAYGJmcyGRKZ8/Py3QJjxUKUl2KINU+BKn2AVsFqfYhSHU58LdZpIqsxUEs +PSqPeRxUI1XlsTJT9WvChL2sqaI4i8Q8u/bn2WkHln3JgnQQqwpdSZvwWKEKqZKmTGfXlbwa+Y8w +XQcjrPzfoI4s7ptxNjwmGNAou0zL9az8hEkdKzLDajnrSbWhZ1VWKyuDyrQNEG4jL8s3RMxZj67a +CFlRtsXZoI+jWZ9CZvk9yteS6tw8kVXLyoDd7K+4dI6NKAtgN+5w56xHWzkVWdVGG/RxVPXeXA62 +apJqU8+KrFr+KmxdkM/C+V/mIfcNN6yrluWXQJeynFbZM/QZUEk/M88mqTbTl9eNeDlkE7MXdvON +cciVl/VT+d0kTRVlOS0dkW3QCdR0r7WPyR9l+NtGUuUa3tnIQSLaWnkCea6fqSYSLY/p/NWloLx3 +TKqfBiORjo1KvgOLheIzyalY70alHcMItNgAYSQ7Z2fcE8MhZ6pmt/L/GBncINtyAHjiOOhMVb5m +NyS5LN3cPTu7yVYHm6lCoNgtv2Fzu+1jc9yecbCZKjbCbrmNnFT3sTluj8DfZpGqc1DyFX+VZjjK +3urvxj8bOahGqsrbSFTHg6+pqrMZifo1AwbXQAquxM3wWKF4/LsUhyTVU0I8/u0DtorHv32Ix7/L +gb9tJNUcLQ5CPouDaqQqAoVE7Vu/HCXj6MSq425nqjvAY4WCVJciSLUPQap9wFZBqn0IUl0O/G0R +qXaCPOfPVP2aMGFvM1UeL6R/A/AFYXuWDVye30FkeKxQkOpSBKn2IUi1D9gqSLUPQarLgb/NJtWR +gx7aHMQGpjId8ZRnlVTTTNTATBVS9RmrE6uR6i5nqlzbIjFrqqkirKmivAauseOxA4uF4jxtwmOF +DkCq6MNiPesNeV1Ya3hm6w3goKRasxF2xJ48ZsnlTxwHJVW3US6zx1LpmMufOLDVwUiV9bGa3dj7 +8MzsBg5Gqi3fQvbM1qLxt42kyrVzULGmOnKQYB9/2MhBBakebfdvpUJOqrbrii9d5GkKPFaoQqoQ +dSLrETUZYOdXKcthuqKTDJJvrtHRZDLUrM6a7oImsjIt9piTH6jlWatPEW8tqbb0rMoq8hxuIxwo +r5MGOLNbavu1aJU9aV+wQR9HzUY1WeEza0l1bp413Uu43SACv5EDbrc5g5yVU8iK+oyo2biGVluU +sqItsFWTVLFRqWc1T+JV5AWsj7KL1T9TiFw3dWY3CKIsv0TNj7ieW/caarrXZKDwmSapUvYkz4QV +WSVeCdnE7IXdsJ/bSP3T7DZ3M+bEHrpu9osNOgH0nmv3rBz8bRGpJpvlpGocxCw1T1OAPNszVZBm +qAeZqQJkqoxNsyFQjJLi+XcXx8at4LFC8fh3KQ46Uz0hxOPfPmCrePzbh3j8uxz420ZSBUnW5CAm +eWv8lTyfzkx1B3isUJDqUgSp9iFItQ/YKki1D0Gqy4G/zSLVLUGe7ZlqmpUedKYKkEuJlX8yYPaq +a3tnlbA8fobHCgWpLkWQah+CVPuArYJU+xCkuhz422xSRc5jYDjI4yzmoIJUj7amKmexZ9jpWbid +8zULe64tGQTJtJzn2mV64o8VOhCp1tY6l6x/PiEclFSxUW3t9Fna7YCkin1qdqvJnjiw1UFJ9UTs +Bg5KqrW1euz2zPoq/raRVLl2DtIRHvM11ZGXZnNQQapHmal6hfhEFHcI6fuLRqpUgGfZKuwVn4vq +2f2LQcxQWRquE4GvgPJLWQ50ZaODjGCL+C6Xo5lMRtrodIRTdhmPRXHqmcu4Myp1r4G8JrorHXmu +yISi7CapUm71fyMr+pjuhayEOqTZh40OdM5UljkWTjVnw03NbjUb1XSvgbxqutdkhR+tJdXS7s1y +lF/Z5iWUxuzDxiTfcAO4Rj5nx3nNRtQH5DLXM5e1UGsLbL6hLbBVk1St7CI9fl2WU7RFC+5v44ab +3G74YSXNCiijtFFNBmrtW0NN91qe+EXRFk1StbILWW+/kI3MPq+T/byN2KDp/bdMU4L+XZbT8q25 +djMbFelrMpCVg7/NIlXZf+CgwUb+Nb+cg2yt9Vns/k04SxWxhWIUl6GtQpyrY9l2ZipXSztW6EAz +VZygdISa7BngoDPVZ2qjGg7++PdU7CZbHXSmeiJ2Awedqdbs9gxtib9tJFWPyx+SQ5zGQToiKzmo +dmNAPOXZJFUIFPgM9WBrqoCwMrwmK/BYoVhTXYqDkuoJIdZU+4CtYk21D7Gmuhz421xSHVGGc70h +DXk+uZnqo9JyGD+3Iw603okeKxSkuhRBqn0IUu0DtgpS7UOQ6nLgb7NJdZRvw0E1UhWcVFeOKWwv +M1V7Xi0lWEflOTbTbDmQLxZbxVhTfTdMyUs8VihIdSmCVPsQpNoHbBWk2ocg1eXA32aRKhwEb7DE +CAexr6fgIHs0vJGDaqTqJKrjQXf/skiM8jzX5o9iOb+iQCkG2bJwrPQraRMeK1QhVfIWxvipPFvg +znWhLHX28boG4vtGJQzBGoPll2Qy1OZ1h1R2IbeyZdQVeW1TQw013XGSUoaulJ2V0yRV0lN+IZvY +TajqnsNthCNhp3yjkttt1kalohzyQFbaiDYvN360YLqvyob6rMrGtnC9W6RabQuh1Rab2pf8sBsb +bPz/LQW7xm5sVMrLr6Esh/jXkk3aV3kS169bIE2lLczmpR8UbYGtWqRa86NJW3jZ6F+kL2F9FDvl +G5V8Aw4bbjakt80rme4WHztSfp7W2zzXswbSeFtk6W18ytsHyA6WZxavSaoVu03GjpbuJRTmf2Np +9vO4aaPS2dssbgvontstyUzPvGzOa7rXUNOddMjyeN4WyUb420ZS5Zq2XuEgcc2EgxTeRarChFw5 +epjsvPs1VRWSdvdiEL9LGMN1bjJ2S66kG/BYoQPMVK0BBDqQ14UjRAHK+E8cB5up5jbKy8GOz9Ju +B5ypYiN8rixnzo3IEwO2OthMtWW3OTumnyAOOlOt2cjHvVL+hIG/bSRVwI0AHMRMdR0HNXZPk+fT +maluAo24oSEfKxSPf5fiYKR6YojHv33AVvH4tw/x+Hc58LdZpLoOzkFr0pHn5pkqx0SmOakq7NXV +zmeq2+GxQkGqSxGk2ocg1T5gqyDVPgSpLgf+tjWpzgB5VkkV0vyoc3tdlHONFxDse4Uhh1z9oxMi +7kWk+l24ea2Mz5SJBqSdQnnevL4fK/Sa/77bRzmnCNkJYthb25wqZKvX8u033MCF3ebjTAOHBpu3 +9xpcdENXjROo4lzj6TvZjZuRWnigBrgh4yAmD/vor8pz4KBhYmekyiPje5XngFhFoAY7TzLWb41U +F8xUKcQrtU9Qhs+Ka+GBOsJefcBuYbs+hN36EHbrg3NDLWyX8DLevNNN4zUceAlBDri6Gkj0+uZR +dv7KZq2zSXUkV+6sAoFAIBB4KWDDE494X4k4IVefpfII+Op6INUPisPTBxHqIlINBAKBQODFICdV +g4jUSdWJNZMFqQYCgcBLAQM+jycLnN8Mjy0vdTznvVRhIkvnQ/zHcAPnvJ/JO8eUwbnL2G1LnDEc +PbJwwpBdvltN4+ccbUdtdu7lroSXaYpyvO4ebjLFWadbIsgzvmnA3oGcTEFaRx3BRyVSmi5SPX/7 +cHH96aspdX77UeffLs5f6/jw1XB1/+Xi6sNnxVVjKO7VR8k+fLEwa5DzQWninVOhV3cXl2+U/vM3 +la24AumIe/0gmcoyRTGC7h5qOtmLv6lyHO3D/dxlcM2mJz6qrGtLD/jAMrq8StcYxOPxcjAG5drf +vcVojXds7UVjK0f5kS/5KW+T8WFnyU0ndBzzBIqrxrQycV6lsy9OyRamFy8ru068W0W+tfLThzUs +X/SkbPI3nXQNiEd6bEi5nhYZ6U1P0iqcP0bgi1icK76V77YfnZHzod5uR0vPy9aep+tOPl5eDWw8 +cB0B9iYtMtdV+ZqOKWzUQb50+Vr+KN+xNqRcs6+OCRYP2yNXuF1TL2xG2xCPemBj6p7KcT+w+DUk +29hHTrhWvc1n8Vf5+mgz5PQP6Tj4f0pP21MONsrz3Qa0O/m5fbxuXm9hrBt6UOdW+fRT5WN9WnU6 +t/4jmfo1fff683cbC4Y+dHdx9f6z1ZHjWMcSiks+o43M7ynnvV1bP8fmSn/57tNQtsYOwsx2ng/+ +QL28nrQvbUE9vWxskY8J6I9fcY1dUvvaRwHIi/Z4o/yUbiwnh+k+jHcr4xG62piYfLCW1mH2/Ka6 +yW5KR518fPRxb4yb6uR9is0017cPF6/fapBP5V7dfLy4+/D14t37L3ZkN/tKeYC01JfZFgTB8fb1 +xSvZ5Pqbxtevqs8Xjd1fv+vI9eORMA+/+vJ4ff1Nbf+gvOydTeXPDlh/5ST/KALh9ndpyHT0eBY3 +XXN8k+Ll4XYuvCcfjuST0nmZ5TnxPL2/T3pHGOmQEe5xU/gYL+WT60aZspUdfVbKu67qAxM7A7V/ +lVTpMDTE0FkKQIBquJvvPy5uvoHvdjyXs1inUkNcyglu1ADncs5rHc1RlM6ckc6oQnGgs/N3wzUE +rbxvaEw5Fw5HOmTWqZKSlMngZK/a5DrRKXFmOoUqbU5oFXy8Nqei43BOByPcyAMZBlO81JmNODCK +xZUR6XRODCvlAgaZVI4Pypwjo5N7R/c8U0enc1nZEL+nlb29w9tAx9HLIb2Xl5edwlxXj2f1TS8/ ++wvPlje6jOUI6OflUL/0EQcjVRuAdMSeVo7ysDpJhlNxLd3NRoDOSv5m0xm6Ux66Uw46cp3BBj3s +QHpkDGLJbvwbxWUiqsu7T+aH5+hDXtiTuDZgKx9s4zLg+QHTT+nQHxtRnrXXUM54g7Oiu4CMtiNu +knm/8HJudc7NooW9GcIeiUlpUvlmf9KUZSyF8rN/5sjrx8B8Lxn5J5nbaIT3B+WBDQZduBFIOkNm +V+rT9E+zteolwsMGEAR92fo2N9LnGge4gU791/O1cUVpL9mlrnyYHRi5qt3o72YrkcWlZOONBzoQ +T+33mP9jnmY3b2PSuM+lepodrH9lNsl8wQiXG2XO6f9qS2vz7EMm/lU42obxzXRXXRiHRtvoevBB +tb38ZiwfSB9u+kYSlT74qt14MJamGxFmgH5TMuZBXWg/6XUp+3/4KBuIRHkd5/39V9sRSzz8/v29 +iE6Ez7mly6FyyOPs4bPa93ZYBzx7dfFKdhmIExJ9JNWSUKvhjPmQjBOoEZWO5flIhulohJVhJDgP +19HTOznm6Tl6HnleY5osnLhjWJJ7PA/3PC1ewhgO0ctuV2lTEmuq+AxjFX215CGu1R7GnzoaofIj +EEdhwGJAmEByI8IvP+xuibg333+2TnIpp7+WwW0LNJ1FHchJle3KfifKXe+VOqN1KslwJPKi0Yhr +g5POcVabuZqSyvMHpKoZrBy91MmciYrQYbxzqTP4gGJOlQZMG0CR0cEYUJxUVZ4NlqkDWhof7Mlf +cnResQ1lywZWDgMSnZi8VL4REMRguiT9GNDQA2IjbytD1wwOyIzspD+dm6N0su9ZKu6kXVLZ1ml8 +sEBXylZaI0TSWx2Hcqx86q4wO/fy0JG0CjM9CScP9JeOnu/jTQP2GM7thoMysSuDOuWRBvsjU15r +dbcbjcFmVg7lYiPOaQ8vm/Mz5YldBW7kLjWQMMCbb4i4xniAAQmiJz/yR4bOtM+Kzqn+qT4W5uWo +/lXd5e+23uK+JjBwGqmar4hUzV9Vj1fvlMeHIUx5nVVJNfU7L2MpZAdraydVdCJ/bOCk6nVM9sMe +5uOEJ33s85Por7hGHD/o20N9rE+nGxgIkIHFyO6BWav6aSK9gVSH8YF0EIfdTKitH8mH+pInBJ3I +G93O3g0ySEfn5h86ciNOGWO9dET3sR3xJW+LVBcDbUT93Cbe39w3SUN/wI/JD7uQZ0pvnx+0tIkA +v0p35WPkSPtSLvVSG4ykSjmKj/2u7lQP8vI2V9hwcyKC05h4je1kQ+qIX5kdKDPFtfaTXleQqojz +hqcyItb3Ghdv8XfFy0nVxmT6RO4b+CBjyDuRBBtuclK1mWpBmiOZOirh8oGBVJnlyU4lWeXnI3Hp +6KTn5GXpCIfAinA/XyHVlG5Mm5+neJ6361bOVMdzwlPZHJF52Z6XkWrakARuNGuV/9C2K2NCbuvk +P/Cp/awxN8Ae/4oM6cQ4lREkjQ1BWidzIlRcNbzNNiFiOQIOzDl3ra/kVHQyrrmTh5A5Gtkqrj3W +EwEbdD06Ww04IMQBSUAEyOhAkBOOTueiwyDjMS3hOKyF65xOlgYbG5gUPpJOOlqn9fJyeLme1jrD +UN4IOjLlkRfXHH0wL+JanpIbUXJNuXR49CzLBgxGrivEznUqg3DLI9XfvqnJYArRePmpHIuHQ6AP +9eWcAcfIeShrtAX5MIhzTrugL3mgqw/W5Mc1cF0LeDzTHdtB2pxTDoAMpY/JiYeMfDNbXN1zh68O +r5s4yzOPhz3QzfNDluljs+5cvzXlrAC7YRvi0jZJjo+av9I/ICjZwnxb/kvfQI5fW3rFtxsKK0d6 +et69sHomu6c28T7g9fF2t7annbxfNPKj/kO/9se/Q5jZXP31XAOJyQT6MsTH0fq2xgkGeE8z5im/ +sjHBxgNdQ0o8nUoyfzJlYwc34BAq/R9/9Lzcv7yeY1uslmf1xkdTG9sNaqqvjQOSmw8ShzGAc+S5 +zg7JbGziBkI6neMbklHPwUZqX+lpgysz09J3dCN2pRmm+4eNgaq/1xmZPU73+IwX1Ek6Xso/3vEU +j/oq7N3dl+G9dJUP0d4pjFdAxrQ5FMfqxQ0mRAhpiFzt8a+IckKawiDnOJy3Z6qJkEaC49zJTHBi +GklTspIMjSw9XNce7scxnHwIL9LaeUpPPA/PHyk7sZq+KdzLdBnpStKVjc4ur0SmmuF/1I0QN1+M +SUwqarbOkCh1HqkGAoHASwDv6dfkzwo5qUIKAEJ84MZoINVL3SjkpMlsdCRQwo1UuU5xbKaqfEZC +ysguJz4jKYgrHT3eCA8nnzKc8yJ8hVQz5OV4Hht18zxTPHtEXIbriK1GuwncpCa7Vu2dkChVv1/8 +9GeBQCAQOCH8+rd/dvb73//Z2W+F3/9hPP7yj//QjV+Qz18rb/BTgp2rLJetHF1eHj3cj6W8dV3I +WyC8jDO5LvNMR7Bis2TDXyi8Zucc468WWOAXP/3hz371D/8wnP/qtzr/k46//7Nf/v6Pf/YrjP1r +nftRsl/+9o/D+R8kU1prFMnPzn6yMEvzS/LR8a9/b3nZufImzQDlobSlLitQfKv0X6cK27XScMSp +MAZhZpQsvsq28J907vEI87ice9q8PIfnQzh5cE2epPE8CUfOtefpcTmSlnPCiO/pOfd8a2U7iON1 +4RqnID3nno8fZXfLDxCfo9rnTLY35GVzjg0937wcC9M5euflILc8dSS/dbp7XNJyTl7eZm4Pjq6b +y8g75TH4o3yQOhBOfpYmO/d8SOdlkT7Py3Up2yKVM4GVkeUl/PK38m98Pvk6Mnz7l6nPcOR6JQ/K +zGXbgLywwy/TNfrTRhwJy4EfuD08PXp4WsH6K/0v9WOTpb481BPZb4c60q8Vx+qua+u/ZX0Fi5PZ +aEzLOXkzHsiuFk8Yy1P4mA95Ugfsx7W1heDXDvdXl9NeXl/SU3/C8DmPj9zTl7qjd6r3L1I8q6f0 +NB9U/lb3VJc8LXkRznhHHh7P7EAdk+3GMmkH9BN+Kdlvf690qR6c/+rXg87IuP71X2f2KUE+Tgy/ +/CUD/p/9Qudm906skqryH0mP41+vXjs8roVzdFke7sdKuKGRPo/ncoPimazQycPzNGXeHH8x2Mvi +UGdrd+S6Xofxp4vBmdXYVQwNb42vuCOpqtEtHGMj40jHSEcjUNJZ+pQHDkUDpbzsnDxSmKXBgZUG +BzOilfP9YkUfMDioVSQfCHFKrr2j4JCEucw7mDmcjp6Hw+NyTM5snaAsm85DPOIAzklPmcD1AZTp +uniYp3Wyc305Em7nqjf2xH552cm2Yz7e2KTl2stzW+RlehhxXT8Hco+fy8f66Yi9OM/r7bpTxqg7 +g8mg78Ru6I4elmcqw3UlfV425YGU/5CfIB8x38Bn8nheTz8icz05J67raNfpHBnX6XyqexowyYu4 +ST8bMP3GUOHur9Rx6Ce6Jk2KPwKbqc7Wvubvj+XMg9JY3ZUPtsR+nndZX2BtIvg54R6WYawD9UE3 ++rLKMVLQkXDrq4lk0GW0VerTlo9ukr1tCB/HBs9TR7eVEVQq0+1GHdwunqcBu7k9OWZtMQJ52d5c ++xGbeRxAuWUeCSsEiB2y9nRbrdg5pSHsUTaMjeP4lvK0OnKOPfI8Ur2MVH87ECcYSHXIl/g/KeyX +srNd0wYrfqS+Zjeqv1KZIgeH6outbdztgOWZk9QKMRWyFXl5TOEOk+fEVgvPj8grcf2co50Xea7E +RV6QqcdJNyEDfmF2+4WNB7mNBztzHMeh8adGp0HGRq1g6AR/Mica8CdzJnc64iAfnYTGP/vroaEF +G2CQ06mSk1qaJLNw8ky6WBrlPzoxA3GmjyE539Ax0jlyrnFSwo04JfOBMx9Aied5u4xr5Hn+eZmO +PD1xPE0+eFFHykY35JRDPI/vZVsanZOOhvX8kTEAeJk5yIP4nh/xOZblUIbXGZnlq6PH82vy9DRj +3FRWbgevt8+ELI1gdRA8jsevwfUmDdfEpww/t8FAR86RjTYa4uNz7ocWx7dALzkAAP/0SURBVOtD +XI9PesrAflx7mOcJTPcUj/heDsjT5HBbpPB8YB18ePDXXygO/WD03zIf2jbXZRtQ/5xUyZc65fkT +hzZDTtlmmyx+Os/rgNzOldb6NAOH6m0EwYCS+ikyO7cZbMrT81Mew9iR2Yi8kt2GcSLFVx1GW7Xy +xPYeh2PpZ+RHXb1tPQ7XlOP1x15+U22+paPnkdvDdZcfrbQ18tS+efwRyChbR+rwqz9pEpLsN9ZL +4+OQ5zBBWUmb6gWZ/rXS/Voz1L/+abAFs1TI9ScN6IQ70a6AupIHfem35CViEEGsn6lKr6r8Eauk +qnzHc45pVpjLxnPFzQlsTDMj3PLZFF7IaM8xTRY2Ofc8s7w5OqkitzpXbDwi48/xlwsbsM7hzsw1 +d6K6xilGEE5jEpdrOR7HPJ/cAcv0ls7Tgqy8KsiLOA46SilbiZ+dO8a0xE3nOUxeSZeX4/mWZVtY +eZ3F9bw9nsnTNeC6hTye5+XXLivj59fA41p4ni4dyzzyePm1x5urO5jkI3ha1zWX5XENg58M5Rfx +8rgr11n4KAdFek9bRT3e6MOZzOVjfUCuaxZvK7gtvR08/7wMyh3bSUc/b6BWH++vKzLlM9RxfX7A +8kwzq1HGOAIJjulT/2fsKOI+1inFrdmSfFwGymuQ5+V22aD/oHsZR7qi44a0wOJl6cc6lrZzfXOf +oZwsDufMUB152AogFm4cDJDrcLSZKoAo/XzOtfCLPyRS/SmRVUlSE0gHPzphefyVYyZ3lOGlvDyv +YSU8y8OvV0g3D9e528zhfleC9ugh1UAgEAgEBuQE4+c1WSs8RxleS1uGr4tbC6/Fq4QzKeSmxp/q +5BhvUDJwQxOkGggEAoFAA0aq2Sw1m+VPZEtI9Re//Mme1YNf7hleziHKOiWEvfoQvtaPsFsfwm59 +OFRf9XLgPVuL5hEwa6qsRbMm7SSa1qbHtdYlpEoBf/zTPxh+/8c//tlvlcFvFWfX+P0f/vhnf/yH +/ZdzeviD2WuwWWA+cruFry0BffUP/8B52G0RRrsFlsC4wTlI5/vxO40HqZx/EH5tm8l+PRBnDki0 +lP1aMlv/XkiqFPQrNhJkYbuElSNSHSqkcnimXYkXKCA7sSsQ+1XDA3XIbr+WP2O7anigCXad/vTb +sNtSsJHoJw3ev/B1u8AsMLbBCwflIMrxx785/JFvKeuZqQapPlEEqfYhSLUbQap9CFLtw1FJ1XcN +cyyRy9Pu8Smp/uv/9q/+3f/8P/8VR8d/+E//81/9nz//v//q/xU4z8Mm+A8KB37975KMdJzncQus +lPNfFf/sv1bjBQrITv/pv/1/N7dNYBVng89hu2p4oIl/pz79X/9n2G0p/rXGQOz2rythgTbop/DC +Ig5yvunkoP8EB5Huvyrsv4qLwH9L53406Pw/6fivBeU/8uf400UXqaIsncyV/p9JIQ/XAHb231Cw +kV5YKWffpIoR/kORP9f/Kbt+LjgkqVbtluQbHPbJ4ZCkar5V2Oi52k04GKnWbMS5+WEmeyY4GKm6 +3XIbPWO70U83kir12iEHrZIqkO2cQPNrl5GHyt4xqaKEjlY5nRMXoBxHKtRKL6yUk5MqebuhHOX1 +JnkO4phzpfxzGZiTRw2kq6Wdm19v2l2Q6pxyiOM2yuW09zZ2A2XabfICrfS5fB2pLil/U1zC/YYt +j7vEbsQp481JtwtUymmSak2nlp5z9He75X3V7cYxjzsX2+jjKONyXUtfyJqkOjN9NU4N/65iN9Lu +w25gjl5zdQdFXPrpPFJVGEfgfAOcgwjfllQnR8HGREF6745UgVcE+B0CinmFTJ7FL7BSDmn2OVM9 +JRxypnpKiMe/3YjHv32Ix799oJ9uJFWQc5DH6+Sg489Ud4CVcoJU5yNItQ9Bqt0IUu1DkGof6Kez +SHVL5Bz0NGaqQJmWU/eqrIKVcoJU5yNItQ9Bqt0IUu1DkGof6KeLSHUHHPQ01lT9sa9PwTnmi8XI +ULRMm7BSzr5JFd3KxwCsP6x5NPBkcUhSNbsV7ULbP0u7HZBUzUY1u+3Rx/eIg5Fqy0b4G2Gl/Inj +oKSK3Uobmd2en8/RTzeSKnVtclCKs4CDHklV9nLyXCHT4ohdpcNuSdUJFHjliM+5H71yFayUk5Oq +OcJqXLuu5UWZpawE6biz8LsLQH52BzIYZiV+CS+7jEfZc2Q1WJ4V3asyIc+zRaqWZ3bdkoE5ehKO +fcoNN27LWr4l5tqN6zn5WbxK+ll2W0OqZfpmOUIpK0G42UjHnCC4nm23mTYyWaXuNdR0r8kq5TRJ +tVZ2r91AzW6kdXketwbKmFEfQ03PGmbaaJCt2qNJqlUbVWS1cmpwv+LoMq69/+Zxa6iV0yobWaln +DZZ+1R7tPFfj0U83kir57JCDnsZMFSUAcTgio5KehgohL9MmrJRDvH3OVE8Jh5ypnhLi8W834vFv +H+Lxbx/opxtJdcccdPyZ6g6wUg4VClKdhyDVPgSpdiNItQ9Bqn2gn24k1R0g56ApqabjZKaq415m +qjvASjlBqvMRpNqHINVuBKn2IUi1D/TTJ0OqrWNzpnrxu1d/8bu/e3V28dOI//ib3736uz/9P6/+ +H4HzPGwFhP2UYPFSXJeV8Qv8RV7OT//Hq7Ozeryd4C8aspr8qUN2+o3stbZtdoWWjZ6p3f7jb/4P +s101fNc4FbsJf/Eff/fqp2Kc2BtOyG4XfzHY7cLHxn2iZbdnaDvGNnhhIwfJL3fFQb/R2HCm9jr7 +jcIsv9pR4AjIQ/FH/hx/vaRK4b+TElQK/C4dPYyBy68baJIq6UEen+syP5xlQxmG/yi4IdzBOJay +JiplA2SlnjVZDTXda3UEZZ4tUq3aqCIDlmchK9Gy0Wy7CaXuLVlLzxpqujdlWZ4tUiVdWXZNBso8 +ayCt2wjfc3lN1kKtnJqNWnrWUMtzZjlNUm3mmV2PsiJeDW6f3EZ5/83j1kA5IJdV6jPardSzhpru +XNdkRTlNUq3l2SqnyLMKt1tuo9wP87g11Mpp+ZbpWchqqOZZkwkme5TPIlXyWsdBHlamy7CRVO08 +HUs5eiv+7kmVY14Bv4YgUQDU0gsxU+1Ei1T3gZaNnqndYqbah5ip9uFJzFRL2TPAIlJ1ztmSg+qk +WhxHuUAeir87UnV4BcrrEVncAgcl1VPCIUn1lHBoUj0hHJRUTwgHJdUTwixSdTjXlNcjsrgFtpqp +kofi755Ut0CQaieCVPsQpNqNINU+BKn2YRGpboFZpJojl0PWOydVMmWA8ik4MuJbwRwzeQVBqp0I +Uu1DkGo3glT7EKTah9mkCr/ANzvgoEdS/U1KrzhGpOlo5x6uc/JQ/N2RKoWPz7N1zSKxV4bn2hAk +56CWXmiSquVZpKvKkjyX1UA8NwrnLVkTlbJBVadG3BJV3XWN7VZkQplni1RrZVfLSbJN9W7ZaLbd +hKpOM2U1UCY2Ksuuyco8W6TqedZkm/KsgTQ1G/lGkjLPGmrlVGVJnstamJ3nVNYk1Wb67HqUFfFq +cLv1blRq6lPKXJ7JWpidp64LP2qSaiv9HFkNNRtRt63sJpT9AtT6RQ3VPGsywcp5lM8iVfJZ4SCd +E5cj+S3koOPPVMcKpeuyQlbRRtqEg85UcbrSEcwohew5oEWq+wD2yQe4luw5oEWq+8A6uz1DnzvY +TPXE7HbQmWrNRs/UbstINV2XHITNF3BQfaaaZqUrpLqvmaqDcJArX5NVcFBSPSUcklRPCYck1RND +PP7tQzz+7cMsUnUQDrbkoObj3xxOqpxD5oq/e1LdAkGqnQhS7UOQajeCVPsQpNqHRaS6BWaR6tFm +qn5tSmXI4xYIUu1EkGofglS7EaTahyDVPiwiVcLzOJ0ctIhUOd/5TJXC7Xl2Cvfn2E6MHFEA5Oky +NEm1tgZgFShkhnb+I0iHEcq1GjdULmthbtnYoxq3hprutTyL66WkWtNnjo7EcRvl8bFjKWuhVXYp +n5OXoxq3Ybc87lpSnWH3lqyGmo2W2q2MV5MZtvCDdfIMbVKtlT2jLVqo2YjzXfubYYHdWvmWsiLP +9kal7HyUbWE34mCjfIyryVpolVGTz9EHEK+MW5MZVus+i1R3zEG7m6n+m//+L//9//r//UuOjr/6 +q//1L//vn/9vw1/9zf9aCRvx74X/pTCOXP93nf9VOpKGc1Cmy/Dvy3LO/qYab2ug498IpT5/pfI2 +6PgkITv9jexMO1XDdwlrx6JdZrTtk8SZfFt+hu2q4buE2837h8v+ppA9E/x76f43/311nNgLajZq +9d9ngH8j3f9G4+u/qYTtFGajim+Z3Yr++wywmIPALjjI7YjdxqOjkP+bwa7/xvlz/JFxD6kCr4hX +BlleIQpeU6mDkeqp4ZCkeko4JKmeGA5GqieGg5HqieFoHOSk+t85OgoyHUl1wG5JdUsEqXYiSLUP +QardCFLtQ5BqH47GQU6qKyTqKEl14KvDkSrK1eQZglQ7EaTahyDVbgSp9iFItQ9H4yAn1XGmCoEm +Ep2Q6oDdkSqFMzgxtfbzvBLINwxeByVV1zOXcV3KngMOSao1G3GNPXPZc8AhSbVlo+doN+GgpHpC +djsoqbbsVvbfZ4CjcRDpJyTqKEl14KvdkiqLxCjuyvuRAjnPNzJVsJhUa3mtyX+EGypfsHeZGWcG +5pY9Rx9Hb57rSLU3zxqI43bL49O2yOfmMUe2Tl5ibp6lbB2p9ubZgvlWw24c87g1tMrZRqclaQt5 +k1Tn5omsVVYObIO/5TZaarclOpWyGubGA0XcJqku0WdO+TW7kW6u3cBcnebo4+jM82gcRFxstnFN +VdjL41/CXPk8HopRsdrgleGgM9VTwiFnqqeEePzbjXj824d4/NuHo3GQk+oKiToK+cHXVGcgSLUT +Qap9CFLtRpBqH4JU+3A0DqqSau0oBKmeEIJU+xCk2o0g1T4EqfbhaZNqwn4e/wKFO0ymI1NxlGPw +WpM+SLUTQap9eAqkSr+oyZ84glT7cFBSfaa+VcP2HCT0cBBpNpIqR+FgG5U8zJTLZBU0SdXT5/G5 +ruW1Jv8RxMEI1Y1KmWwd5paNrNS9hd48W6RKnLJsrueWU4K0Zrd07nK3W1lWDXPqA7iekx+YW58y +z3WkOjfPmu41uN1yn+Pa5XncGmrllPVxzMkPzM2zImuSaq3smqxWTg3b2q1WDtdz9ayBeLU8Sxko +8mySaq3sWp5cz9HTNylhJ5eRdq7dQC3eXFkNLd1nyHbGQbmsgqc3U80V9nOU4loD2MrdQwUxU+1E +zFT7EI9/uxEz1T7E498+zCZV+rJzjp9vw0GkHYlzHanCVQNfTUn13/70L371P/7wLzg6fvWf/8e/ ++L/+9H8ZOM/DJvhVwiZZBXk5//knlXNWjxcoIDv955/+sLltAqvAbrIZtquGB5r41a/+x7/4qRgn +ApvxbzUOYrd/WwkLtHE0DpKfn/2ksBz/ubh2Wcrj33q/GH9kvA2pboFJhYJU5yFItQ9Bqt0IUu1D +kGofjsZBRydV7gRQxJRJMr8mnZ+X6RImFQpSnYcg1T4EqXYjSLUPQap92IqD/ke6Jp2HlekSJhx0 +VFKlAqRDCTtPCnklvYJrMKmQkyppy/Q1mctLWQniYITMEAY3Vi5rYW7ZyOboBJbkmV+vI9XePGsg +jjtQHt/tNjePmqyU12QttPKsyXL5OlJdkmcpq8H9LY9fk7XQKrslL2U1bJFnk1RnpB1lNXkJtxFY +J2uhVc5cWQ21PGsyl2fXTVKdkXaU1eQlajYiXUEAa7FEp1JWA/E681zGQekaDiIu170cdHxSzRT3 +CuXhrqTLCkwq5KS6D6BPaeSa7DlgHanuGi0bPVe7yWYHmamekt2Eg85UayTwTO120JnqCdntaBx0 +VFJ1EF5WxGUb0k4qtE9SPSUcklRPCYck1RNDPP7tQzz+7cPROOhJkOoWmFQoSHUeglT7EKTajSDV +PgSp9uFoHPQsZqoomcfPMKlQkOo8BKn2IUi1G0GqfQhS7cPROOiopIriebryebY/615DlJMK7ZNU +MUJmiKbsOeCQpLrObrRvLn/qOCSp1uyGveiQz81uwsFIFduUvvWM7XYwUnW75T5Xkz0THI2DngSp +cuQahTyuhaFgCmtgUqF9k2qpzzN1uKOTKnZ8rnaTzY5Kqsg29IuniIOTak32DO12cFItbfRc/S3j +hoNy0FFJFaAwipgyhfypkeop4ZCkeko4JKmeGOLxbx/i8W8fjsZBOak6mS4m1T//23/+53/79/+c +o+Mv//Jv//nf//z3Bs4tzn/53zvFpJwkq8UNFJDd/sv//t///C//djivxglMIVthM2wXdluAvxx8 +7W//99+H3TpgdqvIA3VMuWEOBy33yyoH6fqM8gz/5Z+f/W06+nUe9udA5Tp/jr9cmDAhVeHPcQwG +8V1AeZFnjVT/Uro8xt1hmacE2eq/yIZ/CTnUwgN1yG5/Kbthu2p4oA7spuPf/n3YrQdht2WYcIPO +D8ZBlFUjVTsWsq1IFZkc4y///mc7umImS8RHGPlYeKq8yTiXsnatc8+jRaqe985RI6DnSkqy1cFI +lTJOxXaQg+x2MFI9JbvpeDByOBW7JYTdlqFKqrvkoJ/XcBBl1UjVr/Ow3ZGqUCpsFRnOxwol2LXi +DucpfatCpsujcXcKnKt0MK7/vpAdHcle6yBbHYxUsc8J2a1NqjPSLwE2cuSymj2fOrCbjgchh5bd +StmTxdRGe7eb2mfFRlx72LPxt0cbbSbV9Rz059ibYzr3uLM4iLJqpJrPVHdJqoPymSFMyUFxQy4j +rssmaX4+PKmeEmSrg5HqKUF2a5NqoAnspuNRH2NKh6r8GeCodnuGWEeqaznIw1zGNUSbxx/DGxxE +WTVSXSHUdL4tqZpTL8L6NE1SLeIF6jBSxUEqYYE2sJmRaiUs0IaRatitC2G3ZejjoFp4BwdRVk6c +e5mpkkEWtktMKnQ2KBnYANnpv2iQc2cLzMSZfE5+hu2q4YEmbMd52G0x/lzAbhxr4YE6jsZBjKlG +miAj0MkRrgJBqqeBINU+BKl2I0i1D0GqfXiapJrL4aqBr4JUTwFBqn0IUu1GkGofglT78DRJtTgG +qZ4QglT7EKTajSDVPgSp9uHpkypcBYJUTwNBqn0IUu1GkGofglT7cFxSzclzzbFJqr/86Z/98vd/ +/GccHb/+9e//2R//9CcD52dnj2E7g/L8pZXzx6Gcn/ZUzilCdsJee2ubUwV2k83C1xZCtqKv/sQ4 +EXZbhF8KZrdCHliD1E8Px0GpHMaFXwo/KSzHr4trR8pn5M/xlwsTDluhINXFkJ2CVDuA3WSz8LWF +kK2CVPsQpNqB1E8Px0EbSLWFlE+Q6ilAdgpS7QB2k83C1xZCtgpS7UOQagdSPz0cBwWpBmSnINUO +YDfZLHxtIWSrINU+BKl2IPXTw3FQkGpAdgpS7QB2k83C1xZCtgpS7UOQagdSPz0cBwWpBmSnINUO +YDfZLHxtIWSrINU+BKl2IPXTw3FQkGpAdgpS7QB2k83C1xZCtgpS7UOQagdSPz0cBxWk2trtWyLl +E6R6CpCdglQ7gN1ks/C1hZCtglT7EKTagdRPD8dBBanWCLSGlE+Q6ilAdgpS7QB2k83C1xZCtgpS +7UOQagdSPz0cBxWkGjPVFwjZKUi1A9hNNgtfWwjZKki1D0GqHUj99HAcVJBqjUBrSPkEqZ4CZKcg +1Q5gN9ksfG0hZKsg1T4EqXYg9dPDcVBBqlvPVP/id//0L373d/+Uo+MvfvO7f/p3f/qTgfOzs8ew +nUF5DuX8nZXzGyvnN/W4gVXITr9Rm+2tbU4VshV+hu3CbgtAX9Ux7LYco90KeWAN8DfjhkNx0FCO +cRDy34iHON90/AsdFX/kz/GXCxMOW6Eg1cUIUu2DbBWk2gH6qo5ht+UIUu0A/mbccERS/R3HdD4e +XZ5kKZ8g1VNAkGofZKsg1Q7QV3UMuy1HkGoH8DfjhiOSKufj0ZHL4SqgPLx9x18uTDhshYJUFyNI +tQ+yVZBqB+irOobdliNItQP4m3HDEUk1ZqovDEGqfZCtglQ7QF/VMey2HEGqHcDfjBuOSKqcj0dH +fg1XDXwVpHoKCFLtg2wVpNoB+qqOYbflCFLtAP5m3PAUSLU4j5nqiSJItQ+yVZBqB+irOobdliNI +tQP4m3HDUyDV2jGdx0z1hBCk2gfZKki1A/RVHcNuyxGk2gH8zbjhKZCqn5dyHVM+QaqngCDVPshW +QaodoK/qGHZbjiDVDuBvxg1PgVRrx3QeM9UTQpBqH2SrINUO0Fd1DLstR5BqB/A344anQKp+Xsp1 +TPkEqZ4CglT7IFsFqXaAvqpj2G05glQ7gL8ZNzwFUq0d03nMVE8IQap9kK2CVDtAX9Ux7LYcQaod +wN+MG45Fqg5ItCDSXJ7yCVI9BQSp9kG2ClLtAH1Vx7DbcgSpdgB/M244Fqnm5Fk7pvPmTPXsp3/y +qz/84Z9wdPzqV3/4J3/405/+yZ+EX/20GrZLHKqcU8RPshf2q4UF2sDPsF0tLNDGr341+FwtLLAG +2K0YXwObwdgGLxycg9ReZz/NBHko/iN/jr9cOCBI9ekjSLUPQap9CFLtRJBqF4JUOxGk2o8g1T4E +qfYhSLUTQapdCFLtRJBqP4JU+xCk2ocg1U4EqXYhSLUTQar9CFLtQ5BqH4JUOxGk2oUg1U4EqfYj +SLUPQap9CFLtRJBqF4JUOxGk2o8g1T4EqfYhSLUTQapdCFLtRJBqP4JU+xCk2ocg1U4EqXbhmKT6 +qz/onDYT/Jw2/OlPfvyTHekTlofOh/zGXy5sFJSF7RJBqv0IUu1DkGofglQ7EaTahaOSqs6dUP1o +RCpS/YMhI1Xij+07/nJho6AsbJcIUu1HkGofglT7EKTaiSDVLhybVOHEYZY6wGapCRArxzWk+vt/ +/Ovf//4fc3T8+te//8cqxMB5HrZLTMv59SROoIZfW5vts21OFdis9PfAZoTdOhF268KUG/aDSTm0 +l87Pfq/r7Pj7Cohr+YztO/6mjX60CgWpzkSQai+wWQxyyxF260TYrQtTbtgPJuXQXjoPUn1xCFLt +BTaLQW45wm6dCLt1YcoN+8GkHNpL50GqLw5Bqr3AZjHILUfYrRNhty5MuWE/mJRDe+n8AKS6D7IT +MTTKMX02OqLiKs2vVemxci8GOanGjch8DD4XduuA9TXOw25LEaS6FG1u2C0q5eDnOt8bqZLQj/tA +nredo4dAJYYKDfrkcf3olR/jEk/x96nvU8NLqusuEXZbDmwWdutD2G05cpvt036eN8eDkOpYUBa2 +S0zKAeiSo1V+GbcVLxAIBALPCsfkoNMi1Xi0FAgEAi8eR+MgIUj1RSJfUw0sATYr/T2wGdjNlmcq +YYE1CLt1YcoN+8GkHOEwpCpYRhSguHlFy2viDee/tvg4lOdHXK5NodoicYNUCfP1UvIY4r5kzCPV +oc2S/dJ5Ld5LArbAdrUwh/lp8kn34Vq8l4Q5dnMQl74adhPm+Bt+5mObYOOjZLW4LwXuQyM3JBvN +4aDH8w4OEg5DqplyeTyPmxfAuStHekuTzilnyO8xbVmOh5XwuGNFloJ0Zdqa7Flg/kzVnXCdbdfi +pOw22Kz09yZSXGxYDV+Hlo1O2G7EsQEMm3nfr8TbiBOyG3ov8jfhcTxciFoaZD15HRluh5wblnKQ +52H2T3E4H/J7TLtSjuBkakAumD8bkm8Lo11HncbfT//o7Kc//SM7Jvz000//SIUYznRuMp3/VMTz +uMCvPR1x8zQreXl8HR/jP+YxgcLIK8/jpaO0+ya02u+lYbHdFNf8rhL2omB222wHs2/qq0vsfMrY +ZLfwsSncJrkfzeWgR/8TsjRmY8/L4+tYliMincDyKTBy0ajT+MuFA8i8LIjjWOg65HE4zzDmAVL4 +pEJrMKv8F4LRlpWwHB4vbDcg7NYJs8XqOLEWYbcRc+yW+1v43GCDo3GQzg9CqnvBocoJBAKBwLPB +UTmI4xyMeQSpBk4d8qXJ3aRg19m5+xzH4TrrB4Usj2NH4THOap4eNqR7DC8xyTPFtfN0vRrf5bU8 +koxry+cxvccZwtJ5St9CXsZwPaPsTO71DwR6gA8djYM4zsGYh/en8ZcLB1QrlGe2CxyqnMBpI/ls +iWGwz/xacUc/y89TeHkNSsKoxoFsKCfLk6PrVkuTI4/ryMvNw8e8s7iTOFlaJ0KPg9xQySPHit0E +T2thnDvGcqZ5elm5bAWEBQI1yD/wHfwu971q3G3QKufsbBU1+SgTxv4y/nKhRxo6SSDwlLHaEVZh +cSAVhXu8PH6ZtpbXkEcZZ1U2XKv/SOZ5uG6OMt8cY5o8zywN4aPcy0rXDouT4nu97Zz4KX1ejsta +KMvI62D523Umq+TpZeWyHGM+gcBTgfurHTPydJQy9+exv4y/XBgInADk8EMncTyGTQZ7j1t0rFXZ +IH88H9AqB5l3ulxeYiXPdFzRh+tKmvx8jGvxXT6kHfPzeMiyeOtQxnnMI5fV87PrQhYIPAu4787B +mMb76fjLhYFAIBAIvFDUyLOFMc0WpGp3q3lmu8A+8twWNX3m6thKOzfPueUEAoFAYLfwsXoOxjS9 +pKpM7HFSLWxb7DPvJVgxVIFcluKs3AwUYWbTiay4zpGHrYsXCAQCgf1gHHt3vKYKWbA5wfG4NrMn +4rO8p+XuC6wDtfQYjeXnNVkePz+vXefy/LxEHndNvG1sNOajPMwGf9K5YOtigUAg8MKQj4GPY24i +z5xEq7KEKan+6f9z9ieBYwYNwobh+myU5XF2hbKcwK4ge6Z2nLQd16UsEAgEXhrKsdCvNyGPb+f+ +u/xwEQi8WJx/uLj88OXi1e2DnZvs1d0jPN6r9xdnZ8jSdQ7SjWkB8RTfjklewxjXkcvSsZbOoTIu +779evLq+fyyfo6Wn/Md4Z2d+LpzreoyXZB42ptXR81wHz2O8Bin/PF4gcOrw36vL9xeBwIuFCObq +/eeL89f3dn5+c39x/fB1wMeBsIh3pfPrT18vLt9+sngreSjNq+sPF69EMFfvPlk80pLH+ZuHaXwg +2eW7h4vrL9+H+DpeKu6VCP760zel/6IyRfaUX0tveai8e+lO+XYtKL7pqrIvVa9XVx/s3PJSHI6X +bx8uLl9/HMsBxLu8k+6fkSXdFaddtmx1Sx7K+07lpHhXHz4PadGplTYQOEEkSg1SDbxwaOAfSdWu +7waSE7lAejciOwgHsrz5/uPiXOereShM6S/fiIAu7yzuzfefjbjOBdIgmxAMBCxCvP763eJwvFQZ +pLtNaYz0RFBTcko65KSqOOc3Khu9RY7nIvnrz9+tXlcfpLvyP1P8m2/fjfggQoj1lWaVVo5Ambc/ +fjYyvXz32eKuljsFcY2UVR+ur9BXZZ8X8QKBU0ei1ESqdIhA4NlBvgvh+PWEfCogjgZ9iMfOz0RM +70VCOakyexOxcm5E+lYko1nkhGSUHvn1Z5+RSgfJb77+0CxRBCmyhZxX0mSA+CC2m28qI802IWcr +81YzZmaB98MM04C+inc16v7OCNLSqv6QMnlZmHSxGwDhUgR6/eWb1RPypFyzl0h4KEdh5KMySA+x +Q7qm+3l5E5FB5Rh5oyP5SeYzYtMhj1vC2yyls/i5LBB4Lkg+nSj17IxOxZ12IPCsIBJgJgkp2cyL +6zsRmw/aLYhkSA8R8fiSdJCXk9oKqeraCE9EB9EYqV4V+WnWyCyTPK2DKRxShVyYta2QYgXM9IxE +RXCUTTnXKuf64dswu4QYE0Gd82iXcnQk3aD7I0kOOqaZMfkbuYqoRcI3moHe/KxZKI+T0RM55Eke +zG51DglTJnW32bLsw5ppru8KlEeVVL38FhSOTt6O1N3bwmSBwDOCLxElSj07Y2DCmRmcAoFnAxEd +N4SQIbPF4ZpHsJVBHEAwDObqBJdKxywXgrGZpoiAvIZ4+UxVBAnh5aTq+UFk6dxIlXXFRKrXIlVL +I31IbyRD+Z42Q41UIWUja2aQdFpm5BAqBES5kJnV++OwfpmIcpyp8niY8pKONmP+AvGrXiLrkfTY +UKSZOnkMj3/TTYR0MoJbM8s2oIfyms5UU/4t0A5jO+pGSHqeX6V2dHkg8Axw9cDYMYw7iVIhVR59 +sbYy3L0GAs8a5QAOkGvghqCsA+TxJo9/WWNMa6oiOMjIBvy0pmr5QDgjkWh2JyIaiUWEQTybRbMm +u45UJeOx8S0zSEgdUqUczSrZoQvRXdNpld4I1R7zZulV3ihXWsjVyFPlUiZ1GNZUPw+zXqV9XFPV +zJR+L/0hTzYnUY7rMqwhcxPR0D2BGwfb0OWkaiS/gVQBeTrK60DgOYD+d/fJyBUfTpQ6kOqwyUKR +AoETBQQ3EFcRpmsjW0hV5/aIVaQK8l2szMBs5sjmos/fhzVZdSojXpESO24hIMphjRXShWAIn5SZ +4VIEx3qnr/F6OUZ2KsvWZtHdZrJFehHp6u5fEavO0dsePStvbgLsJsFmkJDesPuXdeKhPoOeEKER +OjcRysPqxWw9Ly8HtoJQKYfZNLqhP/a5f7RbNW0gcAqg/9E/g1QDgQwQgZNqLXyCNAtbIYxhlja9 +njFjM5Tpc6wJK0l1BXnZNUJO8paOhCv/atgKKnlXZYHAiSFINRCoQIP/QKrPsA+sJdVAILBXnBqp +2ldhXvE1F778UpEJZfzxHGTxLF1K63HLc4/neQROB0aoc9YBnxLwRfnk8Ji1MjOsXTv8mvXZ/Fie +g1pasLK2K+RhJcr0+bXn4+cur6V1uZ9vKjdHGa+W1vPMZS4vZYHTg9r57PX9xdnbj5thmx1PiFQh +tytV6vbdw8Vr4VIDIpswkN28eRA+2vGSXZGKzwYMi0PnEGzHYhaPdFcanK7TJhbW1W5kKMj36vaD +lQMuWdfK9AgEjgJ8VH55+YYds6yF3ptPj4O/zvNrWy99S9wBXFufSNcm47UWDSgWz6F+8ViO4OWQ +3/i4fDg3ueuX44pXd4a05DHqnpVDvGEdd8D566T7dUqbyrZypOeKPujh9W5BOlAmR5dZ+jytjtTX +9RnhaTeVEXj+UBufvde4//FhM96JKxaRKpk/EazoJSCDDD99/X7xRhW6u/988cleI3h/cffx88XX +Hz8uvvz888W3Hz8b4fLKwNsPivP9h0hTdyHs9tTxS4r3VfHuP321tA/skFT47duHi6+Kz/HjN8r5 +fPGOciQjbakTKPV+aljRl+vA80Hedqn9IISbH58urh90U3j/8eL68+M7utdfJPukPg2JEPf9EPfm +508Xtz94n3cglNvvn02O7FoDBURz+zM7mz9a+puvn4xQbr/z0YihHOTnIh7ikS/lWZ6QUTnLU9lX +H5XPZ40xIsCbLzpq0Dr3chRm+j/wOhS7qKWjyrR4kKuImrpcf3u4OH+ncOmK7ujB+ZXyIj55V+2U +YIScxUEH7EU55Iv8/K3y1zVy8h/Ty4aUs5FYCQs8e4yket/CpyFcs9VFpHqtSDcilBslPCYgtXJ2 +CEHcanYJqV4pjOsP7GLUOfEhWsjvrY7U4+3dJwuHYD9+Jd4wK/Xr9yJUZqt3H7/omtcORKrkLzJl +dvrw/buRMc/PP7CLMr2TVIKZLeXX6nFsoBd1dl2ZCTCInGuWE3jiUDvZKzmZrzmuv4psRFDM3m5F +MhyvRFKXH0R+EKDIini0N2R0I3K02Z0Igp3QnJPH5X0iHc06jcBUrs0STTaUY+eSQWbnOoegwZXK +snxrpCoQdnWngYqbWUhUJMngBYEOegxkaeWI0CBqk4nwjdCUP4RuM0Z8VnWE/En/6mxIc80NROOx +LXUhD3/ca+QpnQgnL9NPsw6OTpzkjYxzBzcd9qoQ10U55BP96RlDbXeZno6cvRdhfhCvXN/oCMGK +RI1MdY7s3d3F2YNkGlcXkerHb99sFmczuSPiu/BapFjOtIxYpffDl29GhhAe3zUFkN5nzT790e/n +n38Y0WIwZp2QqP8LByTKDPTs7J1mvKuk+tneL4TAP6Z4wysJlJHrAs4V77Py/lbo/xTgbYgNsJvd +/WuQ9EEx8LTBjA7iLH3OCFDtaDMrZpG6w4bYjPQY5BNZMWiciXz4JKFdQwxOQPIHSNQJkTCbLUIq +DCKkUzybLUJelMWsTbPc6+/DjI480ROiq5KqZpKQ2kiqysPyVLmWJ7Nq5Wm6cK64pgfEx2Nmhd0o +rs2a0V06eT25abDZZiLDsmxkxLUZdSLV8UZCcupBXQmnPtSBARY9uAkZ81RadMeuk3IIkx1op7Lt +As8H+ATtaUR6ewtBXpy9fi0CFT9ArG/fDTKI1a6XkqpmcJ81EDPjY3YGER0DHz5/sdlmrpsD8oPM +TD9ekDeZOrHiG6mmmdnnHz8u7j9/Gx7f6hwSHgiYemakWsxUIdVLERCDAUREOI+JrWNnegwg/PNR +bTWF9P381W4qINaRVBmMNWiYMzF4amAKPG3YYF74HO3ohGDrmfJ9IxtmXsxSeewKiXIHrrCRZHNi +UP8xUmUWim8kUiVPJ1riEQeyI08jPWaKzOykg82AlW8eP8c6UiXt9echT8qvkSrXpEFH0z2R6phe +aYxUi3INytPWTlukqhsOqy/5iFSpF/EtPCdVHZukSp7Ki8fitbYLPGHgj9ywjaSq8f6d8OrVQKDn +58MMFRI9vxxk4L24ZumaKqTK7IZBGWdkMD4Wcr0AMh7XvoccjVg/mK6QL9clqUKkPP6EOO81s4WA +iUc+OakiN1JV5yd/SJXHvwMJa8BROZATYaVOwIn6yUD1YHPWOlJlPYtBygaKwNNFxd9GUvXBX4Aw +jIy4lr8a8a0jVWFCqqRRXG5Qydv8xUhGY4TS3jCj83IUn818a0lV8VZIVb7n5doyBMSWdB5JlXK+ +8fgXUh2Ik1mzzSSlmxGf0ls+zJ41QNbKNptAlMpjJFXpid3scbLVR/qxTIIt034JO/fHvymfdY9/ +TRZ4dsCn8B0bC5Nfnl1qJurkCW41W33zZlV2fb0NqQ5fdsnDjg2IgY1Kn78PG5XefRg2GPG4F2Lj +Gt1ZMyU+pGKPkNWpbQ1VNwrWwdWBbBYroj1XnrZ++u27pXv/oFmyZnu2IUpxKIfNToS3Nio9NWAn +1pnXkiprVZLV0geeMNRmvlEJorI+igyyk4xrCOAWEk2kyiPWW7W5kSNtji9AaJIZKZEewuGa2aFk +RnyQMcTDY187H2ZxyIxkRE6kaZHqlcjIZpoKN9L0fJRmWM9KeSETmRGH+LZGqfR2DfFTJuStI/oZ +MaZws0GNVBOwi+mX6k16ZpaktRsKpTXbkRczTs1OqBdpeRpmTwPSmluZd+AZA19Qe9tYqLbn1bSz +q9uLsxsRqwNCffN2VXajOOY3J0KqDjYPMQMFzEohDOT+mow/NubaZq0KR+avzbBxxzZjKfz8eiAh +wm/Txh5/BYf4oyzNfp8DglRPG8yybJOSUMrsmpkY504OIuFJfBEZpOYyv7YZIXLSIONaeVueyNQ3 +OI55Ew7BpnxXkPSA1CwNPpjytPSWl4DuSZeRwEibZJaeMK83eSl/NlyR31o/Jh+/GU7xrI6CXSdY +OcjQy/PTbNny97wCpwO18QqpSnb24WHYiMQj3xa6Nio9A1INrEeQamBvCJ8JnAJqpPqeNVR2+65B +zys1Qar9YI1pjqyFbdM7ngWpahZgs4Ja2JHQnHEdCbYJCVTCjobriuyI8MfQtbBjgadfNfmxMPhR +Pewo4OnBsf26RapsTmIfgOH+4lJEeq4Z6jlLGZqlvnrQ9XZrqkPhdnQjcO3n1ljDOWsgJvM4dp4Z +bsWIWXqOcwb3/LEMR0cZbyNcj1w3P1b0mVEGhAXIczhm8ixeC57mrJE+l80B8WeTqoO0fsxReY1o +a6icca2rth7W0ofrmo4l1sVphNHJWU9rdnZPV6a3+MIcvZZA+bGGaI8kG+HjMT/niE5+3sK68FqY +ZBCYrWFuyruGnjSbwHrx3fA6UXtdteK/6DJHn1oclzXSm41sXbbhRyXIh7hz43eAtXR/ZD4B5Tfq +srVOtXwl4zG+2agarvZiPC592M9raXqgfFZJVWPv7dvhVZrbNzoKt68vXotMP37/evHh25eL+28c +v17c8REI9uZ0kaoKJtz+23H8myh1dKXjL6vsD44F+4SYMudvsPK/wsLBLO2n4e+n7G+u3rC5QNfI +dbS4tcaj0ncqhzz5i6mHIa2H8efL/Bm0NYLuGvj7LP4w2spi85L0YS3FZJ8lo2zFsXjkiQ78jZfq +Nfx3pvS2+gzleH1eXalu0o98x7WZEtLnPV9l+vL14s27h2GHsTr5mzs+VPHt4t2HYYdjLa2Rnez0 +UWk/qgz/7CJlfngY8uR9WWS19DXMJtWUp/3lmOpq9kWmuIOd+Juz9NdeRRkG5OjLS9NsgvEbH+l+ +projZ9foJL3ljx/JnrUwEYn9N6j0Gn2DeAL+cvme95OzNDkkP8NerI+kdTyDzk3Go5tKOh8Mq6Qq +2WAj2QOfU4cy/zAf5u/a1vvGo41kD/JHJlthM7ORwk1WpDMb1QZDtZH1S/qafNZlfO7P+5v99VuZ +zqG8z0REVnZpI/SEpCpp0JmdsHZeCbfy8aO0dwFC8z9JZ8xo3qARd5MftWxUvAJUhtvf291ntrA8 +aE/5kfn2mptGbCSfMRulfnEm3xxs1PIjyiSs4kdKb2OSbDR8tzm1I+MOfqSjyct0Ke2ZwgYbSacV +G+FHKhMbVdLal6xqfpRw9UE2EoY6yrfRET+SPrRfLY2VrSM7Ya198H9kAD+ir3FDmOLl6daRKn9w +wRg8coO1uwhM/mx+pLF6rR8p74kf4Vv4EXZzPxJWNyphX8gUUhVGUv148SBShVA/fv9mxzvWVrtJ +VdeQEuTGqyf2P400vOS3vMupDMmDPz9GKRsIVXmD4pksDTr2cQZIQ+WNadSIN5AZTj8xlCovh7E/ +fVYa/tDZ/vRZYT7g8+fL9kF0dJNTkD/5kifGRz/IknQ2AGkwZvDk2m4OlCfOg5782fTlW3ZMytCc +ixxvf/xsdcLRkaHDqo6C0vKe6j3v1cqxPn0VCarDsZP4QQ2A7KPCrCEUt0wPiZIGAn6rNJxDiPef +hjQ3Sv9Z+dj3iCvpa5hDqjgU9rcbHLURnc7teflODiy7jIMkHatWNs7KIxPpOAw2yjPJXuFXODiD +ko4r6ZRXi1TPX0s/04NP0qmz07FSGs75I237k/CaPoCBkA6FHkasOgL0QEYY5Rbp1pEq5UKquY1u +zJ94JUudS/pwXZ0VJT3MBrLJYCO1EXZj0MF2yFo2qgyGuY1ou2Hw0Tl+D3kpzo1uJIebztW0gN2L +jzbSkc1Bt7mNZLeSWMlnDakyEN98/WF9xv4MnhtdBkL6PGHckBixTtOObYUt1Hd8QDS7yNZmo6Tb +Sjps1CJVhTEmmL+4DxnUBzQ2PfpRY4CGLHjMl7WffXB9tJHCShsJa0k1jSOUbSQkGe3IeIYfGbny +KmOZDtzIHqYHNhKwDYTqfoRuyEobCU1SxUayAfpQNm2GXiN5YSv59eTP/RMmfsSmrryvQW4lseq8 +Rar2f8bSh6N9d0C2MeJKfmTjsOk2+PgEtJHKPuNofqSyU1+zGyP8iBsAbETdy8e/TqoQKseb1xe3 +H+8vHn4MZMoslVkrE6itSXVo9FVSNbKT3O4sVGGUtMbg7k9K3/6AuGQ87oLkKMTDIciTBoP8uKO1 +QVv5jM5tRh+IlwGOjkpaMyxxIVcImXyU3ohWjYCD0fg339SpVY4NjtypKIz4NsDISeyD+eiuPCHJ +c81EyetG9bZyVK6Vw1EdwEha4NwIHB0zsO3+i4zODBW7fNAgd68ZJjPP959UhurFZxOJ42mcHCHe +t2rkrz+kn/SFYL/a60IPFv9GDkmekPN7vu5ROGELs0j1YSAQvzmyAVPtaH80rfrbTEe6m93lA5Ny +0IWOfD84pHUi3QS8glyR4bgqzzoYHatIWyVV1ikgKJGDxVGbMUjTWZAD01E2bg2GVrZ1ZJWdOr3B +yqLTS4ZORboWqeIfN7z7zA2VdDLbQGToA9Gqo9IneJKzUhfHWJ7yxUbohwxbmY1ynbN01L9Gqqo3 +5RqJq82sD8g38d2hXyhPpaUe1GklrSHpYIO6zhkUsRMkwjlx8nZ1oBs+UiNVylMbWb/Gn8hbbYk+ +DDxn5xo7aDsRay2t3ZBRHmEMiNjGddA18UYbFWnXzVTtj9TTjMuuZZPrB7VbGkvQyYikSAdsQ4rt +RMaPkg8xOONH2Nh1LtI1SRUbqT0YV+ym3uwve+I7mR8Ns+qKTpQHcZGv+xEy2sN8Nsnwl8LG7Zkq +Y6b8W7ZAD4iCsdj8iDyAyqquEatMK9t2R+vc/Mj7ms6VdmhXdM7SYQfrz0OclTBAe3CzL3+2iZnK +t0lO4gjrazYRKmzk5dHXODc/GuxhdsFG8t/RRpThpMrHH0hjj31XSfWNzVS/jYT6QdjJTLVKqqoo +DWEV1p0zxnBStTsKJ9XUmXBi6+TKh/wgKQZI7jzG8s3gvIumPMxRhnK40zSjKj0zSJzSjC7CtnJw +UOVrMwgclnJoMPJTPiZjUCQOTqRre8yrPLxToYc5uMIYQJ28Lb6ODKx1UtVMU0bnES8f+Ocu5kOa +uX7QbBOyfa9G+KzGIL4TI/Ht1SA5AgRqBCvZF5Vlj43ViO/uPxkhf/zCrHUPpKpraz/5AfY0wmLA +kdMycJuc9oPkynLQBYe1mY7s787LkcEHBwfpvEzbJFWeOMhXaGcjCvxPgzXkSnvRTgA/WUmbYOVx +J0/ZHFMnN4JFD442OK2mG3y1QqqSGznILuhktuEcH9a52Qh9ZeM83YjMHmYTzjNb2bnbMK+P2wh5 +np/k1ia0lexB+d5HbTB0/Ssk47CBjnLRxwdD7IKtXM/KYNgkVQtXP8JW39VOIjPa0mYa0tV0VB8e +BsMynfQxG2AP6c65DYYaFLHLaDfJOC/SriNVG/jkx5TLkoyRvvyI9kO3cUyq1Ad9bLaOPmYfAVsl +3zKboVORbtPjX5utMh4xZunaJh0ad8xG+FNruYUy5TtW9mgvHc2Pkt04T/nmadc+/mWGTNtIByNV +6YFtRv1bfiQ/s/JoG3+6wDHZafgbNfTEFlk66baeVGWT98MYwPiEX5kfWf+TjRijhSap0ibUlXMf +j9yP/JwbgZxUbaaq+pQz1VtmqiJVm6mCL/YIeK8zVXtUyGBMXFXKSFXXdC5mQAxQdKorDQCWf+rw +1nBpACAtytEJjVBJTzwMrqMN+uoMdApkVyktTumPhM1BSe+kyt0t6QUbFJFBqsRR3CHO4GTMXDGo +D97nwnBzwGA1PG62GTBpqqT6OFOlPNZBma2yFsrskjKNLBXHSFHg+poGVsO8liPaTFWd8VKwmapI +lfg8RqaMh6/pkQPpi/JrmE2qsu84U1X4SKq0iTo7Nrdr62RFOehCHXBcrrkLLGeqyOj8OHSRdtNM +1QbBNFOlray91FYMPNap8vwyjOUp3+lMVXGsYyWdMzRJdZypykbSyWzDQK0jRGr9QjdTNnPM6+Jw +G8kW2GTs6NgKmeKYLOk8ptN5c6YKqabHhLSd3Xhyw0GfsoEIvbH/al0GPOpAPJtVYCcnDXRwnfN0 +yKXvpjXVFVLlZkQkwaO8QWfpV6bVtQ2GXh428pkq7bTJjzatqSZStXKVj/uSLTtp1jrRJwF9hpnq +YCPzIW6I8CPioHPVj6ir4tEORRh5jWMJY5ZkRhg+vpquDd+28pRv7kfIaI9UVt6uedq1pKq4toap +PmWk6jdn5IG+LT+S7HGmqrKxTSJX8ymlHdoVW2TpyHMNqQ4392ov2lw+RBy/8bfxSHoO7dkgVdqE +c5Uxmakq3mijklRJM25USsSa1lRthqoxeIdrqnRY7sJZE8X4ckQp6DM3nm/fiAiQMfgywDAQU3lL +Lye5/pTCld5mgRAjaTAYj10V3whYBh0HNYUZITKgJYMic1K1cDoHeiRSpS5cj3drWRwI0+IwCHGt +OEagqQFxdCNVwjlXna/TIGWPjJkRF7ZyQHjDmqrualSf18rH1lTVEJDnRw2A7BiD1N6q0W9oaOkG ++BoU66g8BoZMIeMryZjlkq992Ul3SRx3TarmWOrIwOrNzQRtyg2F2o0BYJyFlWVzTedOd4bm0AzK +aj87Yiscm4FAbVCmrZIqcvSQDbG16Ya/MWgojDpAZjbw5OkyoMcryqds7uzRTW1tnV4ywibrhUKL +VCl7XFOVTrY2iI7SA78lzjgY1nQyG8hG+CRtiB7YDT2wEfphQ8kmtmjMVM3Pma1LD7ORCAsfNaJX +/6ANrkQadhNY0WmcWZiNkm6ZHqZbuqEbQT7qJ01SFeyG1fsa5M8NmfoX8kG3Qb6SjrzkH26jUTfz +LR2xEXK3UZF2Lani74w/PGnJ21XpuHFmjKr9SQYYbzKSjWyTkNkoyQjD14p0a0mVcNbDsZHaxiYn +8vVr9yMjjAapmo2whfLNbZT0yNd7y7SbNirZuK724Zzx0iYVtKHy4uaj6UfpZmzwcY7uR0mmo/XH +PJ3yaZHqOJ5THv1OfICdOF6ZH6XlQtZUK/o8+rOOtB+6uR9R/9yPlH7emqrPVHe4pkoFh3UIDRxq +dDov6agcRoAkWU9iwDEHFujolh8dHUfx9JCqnMruWi0Oxh0eq1kj4vhuLDm7PW5TOcT3MPQd0ko3 +8sfYNCZGohNjdAYW8iF+0nUcACGMlKfpCpFTB+qjutoAwONm6TPUYyBV7uZoVNMtAwM94O7lI497 +2eShDs63JZm9QpI86uWmgF28/B3bWEfSKy5/cQcpszmJrz0NO30/WOOxyck2KXFTkZW7DnNIddj9 +m25UzBaqX5rNmyzZyR5HJdmkLGSpY1vnTrIz7jLToMRgNEmr6yqpprDBl9RG7kfEQU7b0Gb4YS3f +hPGxLx2JOLQHnR1ZhVBBk1RT2Y82Uj1lj5H0eXwnf7FBq6aPZHbXnGyEbTyey7yTl+mqpApUH/PZ +3EboJJvQ3+wmIPn7BJSDTj4wM0h72TxytYEw+ajLPV2LVHVtN2DJRtwI2WAjPW3mQd+iT5pfV0B+ +NiCqbAZCl+FHbjeF18pdR6r2GNHGH/Vn7JHGEBvTzHaMZ5V8E2wXOf1ZfmdxzI+SPk0/wleog/LN +w6zce2svL9uIFaLI/YgxpqWP+xE2mutHQpNUZQ+bPGQ2snGCfobM9NrgR6mf242Zy6yvyW4QKrIi +XZNU0zjr/crGH3hBvj3uqWkRKkBufqSyW37kHCNM11SLmerNra2pMkOFUNkF/GH7NdWkAIrYYJNd +l05j14KFJdkYz+UcXe7pHJKRdykb05ZhjizdWEYW32WGFN/jjPH8uA7r45gzKj+OpcyveVSchzta +Mt5RLfOcA+LPIlXPl2PWSUdkui9GmVcOhTVJ1dEsG7mAvtVwYUPZNXl7ppohD7N80CPps67MHii/ +Jqmm8IkNVnQqwrZFKm/dTHW0BcdcN65babaB8lw7U3V9qjbxsFy2AI36rJ2pYpPcRsgsH78W5tip +FmdNf1g7U6XctTplcXcB5b12TdXLdZ3ysF3qo7KXrKl+FKnuaE11h5UIHAyLSfXQULkbSfXAmEWq +h4TbqDUYHhq00yZSPTSw0drHv4fHpse/x8Cmx78HhdpsPakeCCp7zprqm4dhpsrj3088Bt7+8a8c +g4qz7mB4jLciH6+LMI/7AgBpOVbkrUene8RiUuVYtq/L8+sWavEsz0KWhW0k1Ym/cZ0hj1uiVXZL +LmwkVUublet5mT5Jtg4evyYvZUm+caaal51fu2wTavFaaZHPIdWaPdbFz1GLh6yVXvKNpFqmN/0y +5HFrqJVd5plhFqmWaUd9CnkNtbJrsgwbSTVPb+dJlzV5jmjFa6WVfC2pWn4Ne7TyLNHMd/V645oq +5Hr34eLNp08Xr+8/XFxK57c6v3nNx322WFP110vYIGTPt1M8k98h+zTIbuTc5CVlGZgItwGqVsET +g5GYnJaPN1BnJ1feO+WVGP4yjuta2n3A9JlLqhqMcAx8wR6vuJ460p6sr2xqQ1u7TLsARxlrw6Tl +ukxP3i1S5Rr/kT7DBok7Izx8z/xVwBdZf2npdab4ppMGOItjeQ56ElZLs5ZUlR5dVm2U6WT9op6v +62ibpVgHzsPYUNEa7JSuSapePmVjI9012/4G9AHqe5M0OUjvNsofG2Ij1sGwUdJ7Jc06Uk0yyl/p +9zraWEDda+kyDH6U1VfxzW7ISVumJ+91pKpw/NdsZH6UbITdhMGPKnVNaW19zm3ENVDdkI1rc0W6 +zaQ6jI2jjZUPY6jptK7dUlkTP5L8jL7nNnJ5hrWkil2wka3lZn7EPpc0nlfTAcKqfpRk3v+KNE1S +tTCVn9pnaLfH8NGP8jQl8GHKntgo+RHtQp7CxpkqeCcCfftO4Ci8U7zrt0P6LlJVQojTXruwTUNf +1UByxrSJZdis8XX4hCEbEr5+NwangeyVmpbDnhAgK3b42gcaPn6xnb6QF47F+6VsPrIdvTRqJf0+ +MJdUra3kFLaTlXZ8+Gp6kwftzQc8aGu7ayzKcNimIHXacYedyrFBB5lI015lKdMpzrqZqutiGxOk +H53J3lO9U6dKHZ4Br0xn0MBpZSt/2+lHZ6ej2eYFyWxDx1SnJqmiq3RAF9+8gcw2nOgasuWVMTZW +1OoC3A6mV7LRuEsSGaRQpqPc5kxV7aiysRNtN3Rsdmp+t2s2wtBvW/rYQIhtbJNJZiNsY3pKRt/N +05CX4qybqbJRyV6BQh+e0EjmfsRY09RHqPmR7Wplc4nZiDoW6RRnHamy6Yed/NjKfF262OssOo5+ +VLa3w2yEfShf8ZAlPxpsJH1KGwmb1lQHG6VXaqS/tWPyI8ZX2xjUsFPNj2zXfdKz9oUnsI5Urf9/ +G97btzZTnXjjwz7tih+taTfbOIU9sBHl40eq/6APelXsq7yaG5UUly+B+eZRextB7UQ8xiHzo3Sd +p8sx2kcYN0/lfsRNI3HJc8aaqpEopPpe4RDsna5vZSfZqptUaXwckUJ95xoDCztk7d0qCJZ3vpxU +uWvGmXEcnG6NAU4BEBWfEWRGSsdlFy/P3G03r4A9+CgEH4iopd8H5pCqvVKjuPalKDkquuPE1qnl +3HR2e+ewNTgj40bBB1kGIc4hC94FS6Q3vhdWpK2SKo6q8nl3D7tBpv6OmvkgZSg+uq7kl8FeK0iD +rO1MdNDpzxSHDkacIl2TVOnoKpvOjL/bJzB9Z6J8Hl1mvafKOfpTNrtssVXqH263lfQ6r5Iqcmyk +tjKikF7oZ22uPmm7gZWvkUeZZ4J//MFsxODDTmAGI2yEzGw0+McI8mmRKjoZKQyfBDWdbdYjm+JH +3BC1BmfJ7CYMG3GOjThnFo8O2EjxBlnFFi1ShcDUTrx+Z6QqfdxWtKntsN/gRzYAJxu5D2EnK4ub +gKofqdwaqUpXI075j+mQ6jK8yzuMHcP42nilhjZJNhpfr8FutAdkBilw7kSSpW2TKj4vG6mfM55b +vWQn22Evnx79iFlfkafZEH2srQcbje+pmh8xs9N5xY+aM1UjZdmNdtERcr9UHrwrDu88vq5VpAPo +4H2bc2yUfMaO5Kv8BxllFKRKmslMFVKFSFVXn6VCrtvOVGkMKoPR7d04KTl+gYNOnZMqdxYiVAZp +awwqojzy/E8NDMKfRZj2nqnufJmt8vEHZqkfPskmami2YBOnln4fmEuqXNNOV2ovHkENnSnNuAQj +WaWttiEyOjVOTEdmEEzkMNwlqu1xaO5WGZyKtG1ShbAe35flM5U2GPIOtMgE/Yzoy0ErwfRhVkPZ +iSjGwZDBQf5eHwzrpIocG1GmEwc6+itk6GT+r/5Q/byc2WOwy0gUyGwATDZCH8JzW7iNkOf5SY4N +KNseG2IbbowUhg4QK/Gs/9Hh8zwTxsGQshkA7cYjnWMj9DNiyNKRT4tUgQapwVbDO5jj0w0d7cMq +tFktnWQ2GKYBcLQNR7OLbMR5y4/WPf5lM4nZ6vFjIuhyzbv07kc1nQT0MVI1P0o+ZLYaZItJFWAj +9UtrG/JQ2Xwcw14jSTeTjKuTdAAb4Ttuo+Qzj34EyaXwok5rH//KLtcfh6cwRmZKi7385pr2rL7m +M5Jq8mFs46Qqexnxu855OuXTJFUgHSBx++gQTxKM6JVGfctvsKvpJBtJFX3MHslG7kdOtNiiJFXl +UV9TldyJdZypvrPytiJV+3Qfgy536QwsDVIdpuvKUzJzHBmvaoATQk6qr+VMEOoKqcp+T51UGZiB +dSYnVcLmkKoNhqlTj4OhfMo6m2Q4dG0wXEuq8jN1ZD7sb4TBzZn04QhRPA7cWdoEKw9SpQPtmlSl +k5GqbITvm16ksxkG7dsgVevoissMdUKqyUaSrdTHbYQ8z09yIwpslNptZ6SaBkPTbympSsZgOCFV +pZlNqowX6FSS6jo/2rCm+tg2umYmRPuaH6UPVWAH1zUD+hipSqedkSo24ikebUMeSe5+ZOPqupmq +3awq3WibZCuzm/TsIVXF9S8q7ZRUkZmNdJ6nwwbSt0qqhPGuKv4iHjF9UhivI84mVdqMvpb8fPQj +5O77JamSvrWm6sS6s5mqjMOjXJzEH0/UHv/ad1nVAHR2+wcZGgNnqRnghAAx8Z1ee/yrzjl5/Pvq +nX1Nia8i1dLvA3NJlbjj419mFJCoSM0cTLCvXal9IZCyDGtX79Rcq63HwdAHSPJxJy7Stkl1uGPH +bkYYDECsgTIgEtc6ujoXmzrytAnj4Hum89TBfVC0gdc7XpGuRapjediIx7/YxGw0DECm88PQL1bS +OdwenGOj1MHNVvQPyd1uK/XReZNUsZHayh5p8ohc+rFeP5Kq8jW7lXkmrDz+9cEw3YCYzGw0+McI +8tlEql4uA2rmM0aqDIYNP9rL418BHWysUtuYPmpLa990bu3Kd8sr9aGdjFSTjUZixWcpq5NUuWkx +G3Hz4uVyVFtCbGtJNdnIyMH8SDLag76h8uwcGxX12ThTpVyBJRe7lg7HePxLP7cnU/IVb6+hbgOG +p1Zr/Mj7NufS2X3GjviR8hpk+IDaac6aKoRarqm+HuzUTaqQ5e237+aMECn/a8rXUfxO2dZxeJSi +zG81QPtMA5gDF4Y7NUA+fBj/4ZtmphpcbaOS6g04h1g58tWkWvp9YA6p+kYlu3OmvWxAFlkwGEh3 ++xykBkPkNuOplAPO3sjZ1KHGR74qxx69IBMprN2EU5Jqgs3EuHnDh9RpbQbEUxD5on0BRufVjg4Y +lNPgZzol+Sijw6eBOkeTVLGb6m8+jY3STJ74PJ6C4EzPSp4Ou2PHFtgk2chIA/2QyYaTdIpTJVVD +usGVPnw20WY90hsb2VMj6WOPzmr2AdiIx6l+s5EGLjs3PdMglKchrzWkSnvwtIoNitc8Hqd9pJM9 +tWLji8YJH4BqsAERW2ATtxGkkWT2lacyHTZqkiq7aocvpZnv6Nz+go4JgHzbl6uaT9OSjazdsIvF +wbew26BX3Y/WkKrZaHiKh9+MNkIf2cw+xYf/1fQRjKRS2e5HNiNLNprM5BPWblTSTRk+Y7bAxtKJ +maJtVMKvZbemPu5H9C33I+nPuQGdqE+eTnk1SVVl240O/Zy2Y2aqc/KwjUq2Pp6+1pWle4TKdmKn +bPejzLfsRom4kh9nTRXHlNE9IZXmb9nIEJk5KjMG0upOiwGauw17XIDDUaEs71OFkZg6LN/8pVNw +bSSmc9ZZ7dODB7SF6TODVK19NBgxKNOWlh6Z9OYaMqF9rbMVZawA5y1vGrhWve28rLuum6Sarrkj +NbJIM0LX0fQiX+R5uhx0ZNLSyckPcG6yQs+EJqkCL1/9xa/x71Ef0iAr03lcjuhcDuC6tsHRr3Mo +XpNUUx5WNn2NmyP1O2+rdTdBBtIzIFJ2IlSDzm1Xaa3vcq3w1kYl2sT0sXFBbUd9Ff9RlsaRde1W ++hHlcO2DY6XcdRuVbOxyqHzkK37EE5AyT4eXjT2wkZevtrZXxRptvnaj0oqNpI+ueazp+rTy9PR2 +dD/K5bmNXJ5h3Ual0WfMTjqio2bvox+19AGEVf1I1+ZHKU6Rpvn4VzDbpPYabDRMUDhf9aNp2hHr +/MivhZFU+Uyh5IdZU8UxkgIWXjv365bshcCJtCTP8voQoMzZpEoajg7PJ5fl8hpq4evSSb5upjrE +Gcj08bpAHrdEK86atGtJFVjaghA8v0aeK2jFa6WVvD1TTcjz9PNctgm1eK20yDVwrnv8+4jMTivy +JGuhFr4uneSb1lRX0JKtQy3OmrRrZ6rA0+Z5lNfrUIu3Id2mNdW1qKUpUYvXSiv5OlI12Yg9+pHO +j7Om2nKMwJPGYlI9NFTuRlI9MDaS6qHhNlpHqocE7bSOVI8BbLSOVI+AjaR6BKwl1UNDbbaWVA8F +ld29prrVe6o4BhXXQDNsFnm8JswGIJcRl3iAx0fp2vEok1Ez+WbDpnQGXXt+wPN0eZ5XimNHj0e4 +MKTl0UuRphNsEql9/N6ITGUP5a+myWGPgBTH8ZhPfj4fxJ9NqinvFVsQV9crbZ7lP0Wq30o8ZI16 +K95GUqVcHil5uB2TTmXcEinuNEyyRnm0UZNUPU0ZhryR3xSkzdKP6SrlAYWvJVWFj7paXjo3m7m/ +NfJdQS1OIx1lbCJVi5OlT9ez/MjCUtqVeMjaOs0hVfx+vE7lVNu5ilo8yRp1mUeqCsO3cxn5NfJc +BflmeXuaNfbdRKrsHH/ME90G7MuPNs1Uh7EnpScOMNkMPzJkaVdkmU4Kmz9TTYQKINebrWaqapA3 +D8MGDTYgffo6PHNXOvuT34/DrjEy5nm3beZg49LHLxdsfmG9h4XlYTfZsIGJ/PycrdvD7rvisRow +g4gEFIeygG+aIe2w0zHl+RaSUFhay7WyVS/+p9DiUp7iE0Yc21xFfXTk/w1Xjb8MRnjC3cNn++u3 +4SMQGPm9nX/88tX+7q1GjLbjVs7+8Hn4Q3NevWHnMGuw1J1Xch6+yJaqC+nf3au9lI4/OV83KMwm +1bSLDjsMdhxkHIdt9YPdhrWVRhupnGFDh+IkErS/fuOvn9gYQF2IV6RrkiphshcbJ4Z3PyWzDVX3 +6gRDu63EL0H5GmTHTQkMtrSHb1ZgAK6ka5Jq0s983WyELZBLJ8XFdkNHr9gHoI8GAysbm/iNgtlI +MjZ6yIZeTp6uSaqqj7WR9LHykcnOtrkr9TX6i61rVvIFtptVOvnuTQsbbeR1THJPJ53XPf5lHBj6 +PXnmfsS7mF/slaRWWvqm+ZH0cj+yr/OYHwktG7VIlbgCtrCdrElm4wc2YgNMPsiWoH3ot5TtNjI/ +GuxmfoSsSLeWVBXfbORtYzL5jeo2tOMaPxIe/0JQbYCNqDN6og9+1CCcJqkSVxhspH6V+pn5lfoe +X8pb7EfA/Eh2q9lI121SlTyNy6YTGyevGCey8YhxPu3rWU0rIMNG7NxmbHGZ+dFgtzOdm0xYWVPV +9dmtz1QTIFcnUydWSHW7NdXh3SASvzp/Zzt/qRSN538my64sdoliEHu/SB3IztUYdBQbjGQQ8mKQ +pDwj5e+KC2GzMw8dqGimh11rMLIGVhzbkWb5KO/PGnBxgpSn6fD9h3Qd4txIfwY/8kdug4Hktr3e +XrRmB6c6PY2kvCdlLwS7fvlT8Ws5n/9Juf3h+FfpJhu08od8b6Xnt59lAwhf8fyukfdc32sw4D3X +T8pz+LCEyFThEDCz21qeYA6p2is1xMN+sgs3G/bqA+2jm5ShTdTmdCzat1YH+YF1ZnXEgbSGjm0E +Sye7kS5sqfcBxKG8qqSKXOWyWxN9Lqm/bEunpnMb6df0yGCEQPl0JAYb6WHf/bTXMxSHzsXAXaRr +kqqu8ZlL2YEBx3aSSkfaAQJhx6bdsJXpHJAF2/zZJIEuDEDIGHQgfXTDbvhJns5thB1LuepIm9gN +ovSy8iVHF/oovs3uzeFmKEub4K+HDDYa2u9RD8lqNiIf+lFjoxKESf+zvkd+EP8dn5tDh7vxpqSm +D/5hZXN0PzIbKS31X2ejNTNV813pM9hHhKHBmFeizI+KuCUebTSU7d+OHe3GEV8r0q3dqISNNO6h +03DjIRn+lflR82YZ21hf0jk2Mj1S/xt9vGIjYd1MlTFxtBE2NN+RPhBaOkfvWrsNfqJ8sQe6USdr +P9dN4aWNqHODVOmD7Pb1p1H4ODc/8IXf2Ns4LnCepzVAqOZHOsonzEay52Aj2QU7uY1U9uaZaiLV +ck11q5mqEhI+EB+75TTwq2PR8Lb1WWTGYOOdxbbzi3R57WEYZCBVhdPZRWAY0/KEVNUBLQ0NqDx8 +1gRsVqK87W7M8lCH1ODqjsorA0YGEPi5dErkSSNwE2AvoDPwCfZivIx5zfd31UDD9zWHl/dtQIJU +U7k9wB5ffgykx0B7L8K7V52ZofI6DaTKbHSa7oMR71vh64/vRq4QqD0Clp0gWmQQ4UeRM7NWyJRZ +K0fLR/Yr8wVzSRUd7LvOcgx0tzt46e7vqw3f39QghP5lWVzjpLz3xTUdS6RvnQoZbS3bmBNDHkXa +KqmmNqFM9DWCRT/zl0FPe7JBpyv1SRjLU7i/QjN2ftKgH3GKdC1S5RNp9pEA6TIOONKRd1PNVtKZ +Aclm1TWd3Eb4Mp2dc2TYSjYjjsmSzmM6nddJNfktpKk8sYl9Z1vn42xafWd8VJanNTzqMNhI9crs +ZDrk7epArjJaM1UbEK29+KDCYCuLp4GaL3bZjZKIoUxnOrjPcK18zDbo4APgOj9qkqrGBdkbn2b8 +oZ2Y8UBqjAem4zo/Uj15XcX0SwRrZIEfEafpR6pvjVQtTDbCFrRXIlUbM9WeNh7R/xjnajpZecoX +P8JGyWeG91STjbJ2zdOuI1V0MqIyUh3azK/tpqjlR5IN78USpnN8x+2ET2E3a1dskaWTfP3j32Hs +sacbkCdloxc3aeZH2Sx/JV0qjzbhnL6Gfu7L7kduI/xy9ppqRqzbr6lKdvbO7jyNGO3uZWgIm8Gq +E9uXUhhA04Bjs0o1iH1bU3GduIx4qRj5MbPMSdXIcTCcESrX6W7FnE35jY1+OTgfeXIk3GYyRp5y +HqW3GZcagGsGRPKjro/l7ZJUP9h3fd99+GQfeeDx7Qc+/sCH9EWGEKfNOiUjPmRBA/IIl/dbIT8e +E3N9pzh8fB8S5oMSPO5FDjkTBlF/FVFyTZw7hRlhW56PmE2qujaykm3shoNOTQcXqfLR8Wu1kQ3q +ZvfVMqxMhVlHJw7nyWFt8MHBQTov0zZJlacHaiN7B1Q6eVsyENGO1tlaA7Rg5XGXStnpbtUGRYgD +PTmic5GuSarm637HnD3OTIO1+TJ+zwCQ18WR2cNswnlmKzt3G+bp3UbI8/wkN7+lrdJdvPm+wuwG +lrt7+kptIEzwjz+YjXwwdGJ1PSuD4TpSpf+arQpSNSKTTgOJ1P3IZoAMhpAYZdtgqEEOu7g+zDY4 +L9Kum6laH8cmahvO/ckVg6H5GX2fMalIZ2mljz2+RDezj4Ctkm+ZzdCpSLfp8S8zzpFUJcM21xor +zI8YJ9Wuk3SAMuU7o05mLx3Nj1SWn5udV9OuXVOVXWz5x8dXpWXWDHkNfqQ4RX4GSJXyaBvd/A+2 +ebSTtSm2Kvua8mqTKuVLH2ub5MOjHw32sX5f00eyYbwZ7GJ6+XjkfuTn3AiUpEr6g8xUdW3Tbion +JYw05aT26MscQ2E0BGlViBGdDOIdDMcyp6ZxyEsyBnLraOVMVWRrj/w0UNjApjDLl46h9JaHyR5n +CxZOfpq92MBCmYpD3kaq3DnbgIP+g552Z7hTUl2dqfKJwnvdbd5DpOosfIUH0vzy8zD7Ig0EDBna +2qtkkJjdHKje33QnzScPiX8rR+CRMP+Aw0yVNVfSMVNlRsuR2azdwGQ6zSZVlecz1cGuw0yVdsBO +9hiPWWvSewXIqAOOyzV3gflMlTZUedb5cegi7eaZquzhMx861Fe+6jXEG55EDB1hTJvgHf3VK5Xt +RAEoS7KhYyWdM+A7VVJNM1Vm7ehkvpcGao7cUOKbDERrP1OIDyrvsaNjKwYsxRl1zuvjNlLcUWby +RBTWP0UUaiv+vYO86CcAPSGLoQ9maQ1JBxt8dc5giJ1sMEw65O3qQK4y1q2p2s0tbZPGBfogfmQE +ssaPbDCkPMJlz3GmikzXxBttVKRdu1EJW6UbECNVbs5s3JEuagtfwqrpNc5UzY+SD3FDZD4ruetc +pNtEqnbTX5CqrfniR3ajNoyVE1CeE5Ta1e0xzlQlNxn+UtRnLakqbvUzhYyvstOsmarSDH7kfU3n +jG1mo6SzAxuorlVS1fVwwyrfpkzzGdoN4ksz1KTjSrqU1sqjr3GOjezmLLVTaSPpt35NVef5muo7 +/EBHI9Wt11S5S9egzICXOi1EYoOxBvSxgjoOpCoHofNxV6GK2TqmBmsGdYxFecPdtcI1UFk8ORiN +Z2nLgYBy3dkoS0Y2YkwzP3MA6TeSqmQjqUo/c2DkSU9LK4cx4kG3LUmVfJmhfrTZ48eLz5qd3urI +rPKDZOhP+OcfQ2exGSoNrXQD+YkkVRd7fCdn+ypShSiR+ePeT+QpGbNViJsjM2C+NTzaP8OQ7wZS +lUOZY2EDnjhIZ59RDB190J0bIPuqSVGGAcfl7pn6cFdKx6KzccQ31OHMycs2lS5VUhVs3Qk95Bvo +hh7j2jgdXHmtI1UbaBj80E1l82K6AT1kEwtjEC7StUgVoANPZezJB/6q+tp6quzCDRF6cl0l1aSH +DT6FjcZZR9J3JZ3biAEglwtmI+lBm6EX5Vu/oC8wOCqODUL07YqNxrbCHqabyvBzs5HsJjuupCOf +TaRqhMENiOom37GnIOrTNnaoz/PxeCO0Mi32oK2wBQMzfi252YU6IEe3sm2w0SZSxb9lF/uko9/M +Kx8G9oFUG/WR/67YiKPq92gjdKu0zSZSxXcYk1K74uvMUvEjiK1JqsrXykaH0o/Qw2w0yMq0a0lV +GMhc/kvbSEfbs4JPKcz8nZukio1W/Wg45n2eoz0dytNiA8Wrkar5j9/oMB7Kd2wJCj+S79iNkfqc +LQ1W9Fnp8+5HymdsK2zETBYbKf3R1lSpIB3V/jMVQpXipLM7dmalpEvxaBhI1NZ2dE5j2NoT6XFu +paG8q/sh3PKTU5vDy1A5+Y35ytC2QSqVbbOolNYGFOTK08NJh8zK4TECZYpgLV/PD/28PjiMl9cJ +Zor8vdu9bh5eq+Na48tx7jW7hBzvP+vuS+XeSiffyZunt0fGmtUyw2UWm8t5dAwJc82MF/KFUInH +Y+Zr2b3Mbw6pDrt/FZ+40p0bFxzYbmKwG/blKLl3sAko1zs2nctlzIZwauR0NJNl0HWLVLk2X8K/ +uINP+VlnQie13WVmoxrGHZoQGXUUrKMhU1gtzTpSBeiCTvgL7Y1NeERuvivd2FRVJVXpP+7aNLJ4 +tMdot9TJy3QtUqWNrF9620gf/NoG5WQj03XjozKVjY2IA0YbVcokvEWqujaCp3z6Jm2kfj34kWB+ +RHtC/kXalN4GRMpOhDrEe/Qju+moldsi1TQoDzrhy2of2dlkadxgzKnq40g2Gv1IcY34abemH+Er +hClNHqa01ma0jdso3TgyPg1joHRDzzxdln7VjwaZ2cj9KI1/JZqkmuxhPgNUPu04XrsfcTNb2snL +zm4+TAZ4dI/dmOlX0jVJlYkVG0lpH/mKj91+Uzv4kcKwETcAWVoD+WGj0o8Yo0o/Eo60ppoZRU5q +R7uuGMvD16FM05LtEq38V+qzHew91ZRPfhz+lFyNxTlylenhY1oPG68fnWUM8/As3gC1Q8XupJlF +qp6351se57RpD5R/k1RT+Mqxdl5L5+gI20Sqk3SbrreF8muSagpfOZbYRp9aWmTyh+ZMtQS+4/H2 +6UdrNirZzYpf+3muO+dz6pLD4zfSrZ2pltiXXQqsnamu+HtF56X2AetsJFl7TTVD7j+5jOO6dHOh +PFZJVeOmZqADmWaPgSFRJ9S36Xy7NdUZjhF4clhEqpX0e4fKXUuqR8BGUj003EatwfDQoJ00qM0m +1UMAG617/HsELCLVA2HT49+DQm02i1T3DZW9bE1V4Ry3X1PdgWPkhusxoqfh2JP+BeIkSXXPunaR +6j51chv1Doa71o38ToFU96x7F6nuWaetSHXXuim/J0eqzFR1fdZaU3VC3c3u38Ex+AcW1vEuWaPR +NWt8rBHyqJLBm7VCBm2OrxWPnbCsKTJA8SEEz5vNNuxaNWMqzDfsWJjSkpetVylvNv0QTvpb5Uee +t1les6GBgHXOTWlZK8NAwAdW1qlc5nZi8B3j8WUPycY4IK3RrqQV8rSsrZCfncumHod6E29bLCJV +5OghXxjWG+7syF8+sbGD40aiYc0J+DX5UhfvyFx7WLpukirXKs/W2KWX6Y3d8FX8Cr1oS3TN0+Xp +8VPKtvokGXVA1lhnXEuq6ICNWINLj6VYx3ddbC26NYh6WZRdtq/aaLRRCaVrkip50kayiW0Kos3w +o6SL22n10V4G0nvZxOE65TnarZZmHakmmemQ0nM850MiyY+a+jhYg0OvXJb7UQmVuX6jknRQetrO +zqXPxI/W6bTORoRxXaTZtFGJo22yS+WOfoSNTF6kcXhZlF36EX2vZSNhLakqX8a+0Ub4kfRY7kfp +GriNOHJdpFlHqoypVi72YBMZsmvaWO0mXYa+Nk1n8Pwou2yf0o8UNl1TTaRarqlCqPmRLypts6bK +oMb7kuw4dULkHUx2qV6rMSGsz0rDTtTP378ZebFph8/rQaJ8xIBr0n39mXjDRp4PD58vPn/7NmzC +0TXnvJvJRh7Oh9209xfffii9ymZjzlfl1XS6FhQfwv749Yt1KifxERhXDXUjHWnQaw2cN7ah4sPF +zfvPF7cPXy9uPw1ggCPeNQ0sY96wWcUGM51buI4fZCeVR2cmDS++X6cda5R/w8YNnROHvEnP5xQp +kyPxV/TrwBxSxaGwOzrbpiA2Akgf7MUGEzYq2AYw21TR2KiEf2hA840StsFF5diOP3Vk+29O2zAg +v8nTKU6TVNWB7U+/Vb5tkpB+Zld2AKYBkR2Czd2/qjcbFew1EdvpJ5lgn3YzmcLocEU62qZFqpRl +NkIngbVydLmVbWnXYfMENqpsnlB+ZgPVd/jv1GQj3yiE3SCFlo3ygcBxNWxKol1sl6/sQzn2nqP0 +RN/hNSDJKzayDS/s0ExtN9gosxs7KEUOK2k5V7wWqWI3dpHfUi43lopjG0zUluZH6aMrtbTmR5SL +Ldh5TJ0Vz2xFu5mNlGfNRi1SJYx2+/5j8Gt8HbskP7L/C163+/cmtY9vcMGHAD7lfsR4WKRbS6rY +SLrgN4wPw8Csvq9rbiLHTThluoTBj7CRYDaS3Tb5kbCOVM0mZiON92fDq5D2/7fq95CYtSf+VbGR ++ZHZiLZT/viR6j/KsFVpI+XT3KiEfRhH2fhH27HDXf3dNpUy1jJW8faGwqsblfAj2zg12MQ2mKmM +wY9yGymu5M011ZJUHU6q285UjVR5DURO4JtoIMTvGrAhUQgWAuS1ET6CYFvD1YBffwwEyjucxH3/ +8CmR7kcjWfKA7HgHk7zJ47tIk68LQcTshqWsL0rD7PdKdytv5Hg4Zq7vHPAdXl5PaZHqSJA4JA2b +yrHZphyLsEvuoCBKBgkcRR0CIiQtxHjDYKu8INBrGZv0RprcZSnObSImS6M8BzmNOZRnZeqcfJrf +SJ2JWaSaPv7AH/+iD21rDjt2atmKD3Xomg8KlGWYfviEv6tHZ8rfL0ydyc5x6iJtlVQZZLjBkA9y +48Vd6fC1ILVFSmc2WveeaiKLV2pz61wMijYwDjLb0UmcIl2LVGkne11L5aHTtXSj09vgw2s+im8D +o2xX02e0B+f4H+fYDVtBXJKbzAbJLJ3Oq6QqOeTk7/JiB2xEmO/YpA70bRu487QG6UD9yVdEZAMg +AxEEyzk61GyEvEWqumbAo3xsYjozViRb4kfDbk7eEZ2mtR212IAwBmps476la8vDbVSkXTdTtXaB +IKSXyaS/tS/l6DjcnFHn6QBtNxb8eXyy0eBDiosfkR57Vf1I5dZIVWkgNvuwAq8d0TYqF5n5keL7 +jteVdA5rE/LVOTYa/UhHt3OSmX5Z2japyk80NvCakd94kBZChchGP0ptsAKFDX402HP0I7cT/c9s +lHR2YAflVyNV4O1DHH/tcuyT+BE3/9Jv0mbo4H7LOTbCNu5H5MFNkdtI7Xq0NVUn1RuU0zlxeFWE +10f4sg+EyZE4RqqQjRoQUuWRMUQK4X5jgEemuxd7F1PGv1RFmeXa6yi8UgI5p9dLIFnKIv2DZq72 +ab5Kx5kD9G6SKpDMiFWOdKVZKHWwdDpiH0jVdvJKXyNVnEyNamQLqWrghUCNHEUKPCaHsCBII1nZ +xo3PTNZmpZJTLnW6vnMiVrjSHJJU7c5dpIov2GxMuvldvXVy7hZlk4k+XKudrdPY49/kvC6js6Wt +9ctIdRh8bZCWPe2dPs3MPN74KpR3tAJ2d8ysRjrZ7MdJlbLoTM3BsEWqg4143cDulJkJqg2t00s3 ++xqN3VHLRlm6EdgDndweZptkK/RBzyRbsYXbCHmen+Q++Fr/5aYP0lcYd/OcDwNz3T7Av6hkbcYA +mOxj5+jE4GTEkKVDtxapWvhAonbDg69zTR2kn31f1vyoklbXI6niR5xTxmgrycxGktX8aA2p+jvE +NgjnYUpnH20XnJBKUJ7NasyPMrLI/Yh2LdJtfPzLTRo+TR66xqe4NrKgTbFRmQ7QJvQlbzcjtMxu +yNynKCdLu/bxL5MgbJSRqn2HWIQ2vHutvIv8DLLb0N8Vjh45qQpmtw5SpR2xjb2/iy2SToMfpb5W +s5HijKTqfmT6JdnoR4NuK6TKTJX0c99TVTzi75RU+TcW3qHknM/qQYBNUtWRgYrzL5qBmkwkyReC +eKwM0ZKWLxBxTUeAhPnnFvKHVN/qmvcxq40wA+i9llSB5OjJI197uTjJZpEqM1Wdc3QnpCyI1o0+ +QPnrBuJKM/hR7qSayjRS3aKugDrOIlXqow4NgdrjFh4/UVe1gQ02gg3gdmdYlMO1Oax0VV3HGcbo +2DrixAw8tcGwRaqJMOhYPKK75a7eSDWFSUd7zMqgneeZYPrQmdGHowZCA6RBu9U6utAm1WEQRBd0 +Gkh18AEfHG3gZlAqbQTcRpSNTThHhq2Q4ZPIsFGe3m2kuCv5ZXbwdhtm82pz6cCAyBOQsh6PUHk+ +2GCjglTtX4UII06eDt3WkqpsRT8oSHX0Ix7pf8SPinZTnHEwxI/wGX/ikWxlspYfbVhTrZGqvzs/ ++FEWPwP1t5kq7ZMIdSAO7KbyIBB0LtJtIlUejddI1ZaBzI8aj8ipu8o3HzZyUNnYiPZARlumdi3T +b1pTnXxRST7ONWNf048kH79YhF/jR9gEO5kfKZx2rdycrSNV8yEnVM1MPQ1LHowD+D12avoRfjL6 +UdKPsrA3OrlPlaRKvzj0muq1KuuPfyFVPlTA4AtJsn7KJ/QgS3v8qwrwGNdJla8GnSkvI0hV+gt3 +QRhAcfla0Dvd+fMFIsgUmX30IH2Fice/thFKlUAP66SZvnNgea55/GvrniI14tGotyrbnEmGx2j+ +aNeIUo1KmN08qHGvcDwGXuukQ352VBx//OszX2BErIEQGWmx8ZXsZKSqNORjHS7F7wG6ziFVyh4c +WGVTH+4CmUFLZ+s0PP5lpq32mpRDPelMafBlDe6M9sGZcWLZ0eTuxEXaKqnKvy51g4FO2IeOxQfQ +LQzb/NDgwyPz2gCaMAy+KlNxrHNDFolcLR2+RJwiXYtUKde+gawbIbMR9lJbEY/BkDjrH/8me3BO +P7AOjkxtwuNfpTEb0uYrtmiRKoOkbnKlB/rQdvaVIMmZ7UAi9nm3PE0ByMHWKCmb9oGUsBGkgd2M +MJLODnSbNVMdCIP2s7VVhY2Pf7/IXmVarm3gG/KFsEY/MqKVbip3sFHRNoq/aaZqRKU+a31Q8ceb +oQ03rtSfQXr0I+wDuSYbGYFwXuQxe6YqPV69Gj7Nan50jv9ho9UbgBHYyEkc+9I+Thyyu6331mwk +bJ6piqgY4/Ab2clurnXjaNe1NAn4stlI9TJbMKanmzN7/Ov2ytOlNqiRKn3Q+hfjo3yGa0PaEGp+ +lGb0E1Il3J5uyAboY3402MP6nPJZ8SPFmb2mygw1J9WtH//KgSA7Hs8aOaoyDzL6PY2gBuArP/w1 +GcT3+dvXi7cacPgrNGavbFr6InJlPZRB6LPO36nSA6nK4Ervj3+Z8Tqp8qUgSJU8eVRMfjxyJq9c +1zmgXHYOk7+RYdGQGIfHrQNBQm4aIDVztgYXeEx7y91/Ijoe317LbsPmJhGkbAIp20YmtyUG17lt +VFJcL4u4yGzjkuS3chBkzF45v5EjWx6ljgsxh1Rt969szQAIIVhHkg44q61rMiOTjsPMzNdZC6An +nV0kZUSVnNXuXJGlwaiWrkqqCQwu9pF66WWDAQM0HY7BSHZfax/InXKBkyc6qXMPMjpYkUZokqrS +YiO7exfQzcmfTRxX74eZ0LB5IkuXYZwxYxP8iHh0drdbGphW0um6SqoJPlu1z8gxQGMfDUh2zQDS +0MXk9IPUPraBw8PQMelpg1Ceh6Vrkyo2srVebCI/gizcj2xTEEduHGt6IWNAdntQH2SjjaRTy0Zr +SBX/xR5+I4TMlmrG2fQ0zQjV3/5/M9nE5cP/u2Kjlh/RNsSv+BFjxT3rzrIRY4falmUFsxk3r4wz +LRsJdrND2RpDbcZKnb2vYTd8pZJ27Zqq2eiH2YmbWBsPWIdm/Zu+0LIRcvoaZWMftxHEZT4EpFOt +P7VIlbHQbPPZ7GDLLu9kF13j696eHKt6SWazVexD2e7DkG3SxzfBgWVrqgm7ek+VAZpXW3hNhsyY +idofaeucHcFcM9BwhEiJi3NhUB7b2kBFh1SFyIN4JkMxnXsekK/JMLryR8arNRDwLYRXdYz1oBzI +mTyqd49AZdKgGAiCdd14pGbXCrOBy2XEo140mtLjiAZskvIk/ihTGpMpvuUnjPlKxnWZfhvMJlXJ +xrpDVqR3GfWTbNMdvQHbYIs8HtfIazZXvHWkCqxs7OHhahOzEW1TxJ2AOBA8ZZPe8kiy1GYlmqQK +lN7aym0kmH1GG7XrMUB5uo1cRnxkZiOPl0HhTVJNZdFmdhfPTQf6uU70ozJNDtKji9toDEuy5P+T +NPThFqmqHuzyNV9OgzPxTAbwoyLNBG6PPP9Rz0zmULx1pOr+S/tY21nbDv1tOJ+mWUHuR1ybXknW +9CP1vRqpEqa6DcSl/s+RNsOn3Y+wWSXdCrDPEj8S1s1U8/axo/uRzu0pQd4WJQirtY/breFHLVIl +vtkDW3jbkT9pMj0n6UpQNvbI45U2UtjsNVXgM1ZbU90BqVqBudOiLCjCGLg5z+OunKc0pczheY6y +FHdECl8KT18LG+Hll2XU5Otk6+KUcg8rr7cEdppNqqThqIF5JR9khvWPgAwed5MsCxtJtdUuyMv0 +pY4ttMpuyQXI9OqjOi0DQSXc0uW6YhfPr9dGLi9lSW6EwQytFg6wh6e3/LnOZJtQi9dKi1yEcf1Q +J1WTjTbJ7JHL8/g1NPMtZA61B4Sxbk21apO5fgQW6mQ3Z+mRbC18xUYrR2GOXh53kyzD2se/7kOG +TKdd9LVSluRGqupr9XS5PqU8heXxa6jmm5BdryVVh5Gqwvxoj3/jM4UvDotJ9dBAFw2E52/lX8fS +oQRPIERiT8bnZRduOpqD4TEgG11pgK6GHQNuIzYUPSk/ekI2EsxG5WzyWKDv68bD+tox20xlTzcq +aXb6VsT65p3AUWBm+lbXmlmPj3/ZSaybjq1IlcGYLfs8+szjboshvyfS2NvCHGTLuuzIvk+eVB3H +Lr9E6LMZT1Gnp4bwo804tk4qf7JRibXYjxorP3JMuM+Ofs4mv21Ilcembz58vvig66tbsfWOjMHd +yvuHr8KXUUbe+0auQw6e5Zs93g4Gxui2BoLs3cPwbL9Ik4OvKhHP1sAoh/QyOAvuZuN1ZfPI6O7h +gldt1pVTq08VPBabQ6o8MpM8EAgEXhQ09k1JVePkw2cRJ8Sak6tfp/DtSJVBmvdGv9hmH1Mmi7st +GPg/fPparD9wvk+s6kCdIFT7jKD0wB4DuSHTUTpaOLJRT8kyndkwYp8X5PEYadiIINi54tl7qU7W +OSjbCJWvlvCIJunRJFavwwaozdgAtpZUW2tjgUAgcOrQ2MfE4pFUNYG89rXU24uzG+HN2+FxMNcO +27ykidNSUv2sgZgB+eH7sD3/La+RaJD291R3BhHO3ccvF+eqIOT66ftQ5t7w7bvtRoZgRh10ziwT +AuRdMcjNjWWvAEBwEKxkRnY0hmxxw27ClMcQf1iPgzwBRGpES56el5HeatmUxys2RtIQrO6WkK0Q +np1/0I3O13q9KvgkNEn1x6eLm+/C10AgEHh5uObIGKix0F6pgVTPryDHR0CskGguu7xcTqr3X75e +fBa5OXjdZe+kqvOPmhl/ycrdFyDDCanKBvaIVwZiY4gby+zDMZEis9YV/Q0iwvc89lU85W2PgROp +GsEiN9Juk6oRtuxr5Mt5g1SxUa1OLXCTgk35ZrKRqux98zk5UyAQCAQurjUmGqmKLM/OXw3keX5+ +YR8A4ZEv50aqCnsvAuUzjEtI9VKzMj5I4GAw5otHOyfVRBpOqpTLu6l52bsG+U82W0kPe+QL6UE8 +0oPv8BqR6mhxeITLDDLNVHlsbX/TZnVIYWktdZypskZqz96V55hXe6bqMr4TPCFVYbCRZsKpLrMB +WTML9nyUhz3SDgQCgcDwNFJj4/BRDc1OIVAeA7N++iDZm7eD7Op6WGNdSqqQKOQ5YDh/9/GzfdQh +j7cLMOjbmip3CSvl7g81PSBS+/QcJMRMUzaBfC5ZExVZ2myTx8OJkIcPWDzazcI1M+Xl4mFNdSA0 +m91ys2AzXhGllyeSG4kOwlb48GIzaSinIN+EWn3mYIWgOQ8EAoHACoavQ+l4fSWC1STSNyvxOs21 +CJVXah4ks8nSAlItAdnx+JD1vMl65BZg1vX+49eLd3z2rRJ+cEgfe2SLPZKRmdUOa6PrbWRxIUTd +eIyzTGQQK3mOs1rZUzPXW9l9+OTjYx6XuvuxNdhyNhsIBAKB/UJjsX3K0EnUX6EpQfi2pOrY+EWi +DpDnrkg6EAgEAoEurJDqGuySVAOBQCAQOEkEqQYCdQxr848ow8rrMu4cWU2eX5fnNXh4Hq8ma6EW +t7xuxduEMl4tbSu/miwQePLAn4NUA4EpbuXw/NUf+wBes3ksyRns+cejlbjyfeISz+JeDe8g+zW4 +uv0wnvP/wMQnDmldxpHd2fyLEnLK4jOR/FtTbY2c/QSWl0Ppie+68/eJ7IZfISjed07nrPWTt+vl +5bDngXoD20hHvKQf5ZC//dl+A5SHbvzXsV9T1pgWfZJOb1L5eX6k3eW+i0DgYJDP7o1U6RD8z2kg +8KRRGbiRvfvw6eLbz9/tf36H/+j9LIeHhD5efPnxzf7o3smB/wH+9vMPi//t+3fbPPbh4bP9wf5X +yb4rjHTvlcc3yV6/+2h/qs+nNSEywt+8f7A/7b9TuaQlrxuRK+lIw07uXFfO7b3sL1/sD/jJ8+u3 +4c/730lnyuUP9fk/YUjK0xixpb7KznH+h5jyvyv+Z6Unn8/KD1JGF2TUh/9Bpt5v7xSuI/FyfXKQ +7zvpwc2H6alr0n8QPn79YjpA7tiY/0t+UB34D2Rknv6twqh/qwzk1fYMBI4FfBW/NFLV+ODvp45E +6uc6LiZVZczd51t1HruDDgSeIJjJ4ae1V4+YLX4R0fCVLsgJYmWWBQEww7z//NlIgbj8x67FEWFw +DgkNs70HEdR3O0JspIeArzVrhTAgHWaWX0VSzBAhogeR4N1HSPWHkdiHT5+N5EpSBfwRPzqg2xX5 +q+xh9ovu30x3wvizfl6Jok7vea1N8cjrUnmiL4QHOfPONGTGn/gzSPA6GDcGpKMOD7oRQP75m24I +Up65Pg5mtW8g3bSBEB2pA2kpj3rZTYDyvrwebg64CbBZbBqcLjWzxabjK2MZCMd+3EB4WwYCx4SN +JTzpkW/ae6q8UsNXk977KzWJZC+vRKbvlr1SY/9dKjyo43G37Xfq+4KXwbEWHgi08ENgJlYbuCHF +ryImSM0JB/K518yKzzTyzjUzNvN5dQxmWg/Ky4nPCeSrSBVC5RoigbBIZ7M4kSKEBal+FPl9SrNC +J2iO+PXn78N3rT1vB6TFjBly+qT+NpTDDa1mk5Cq4jATZOZrRKVw6nv3Ybi2PKSD1/Hs7J3dZKAj +YbxHDQE6qdLPiAe5YrNSHwdxIUjOiYNtmKGil838pStxbGac4lIO77D72wHYmJsLn2XnYHy51yz/ +x88/V9s1EAD4q6MWvivQR7kJxp/NP3Wzd3Z7A0EOH39wUoVM848/LCXVj+p4VIaBgkdn+8Eny59y +uBOuxwkE6uBxLIN8baY6zKi+G5menQ9kBfl8Mj8bZocQmfm8ZmwTUtWRGeEjqQ5Pb5ipcjSykMxJ +dZgFazasNJAg5G1l6hzCaZGq9QH1NdJDRMQZSVXnkOp9IlXuoiFEHuuOeqpTvx9JFeKvkyqzQvQj +b+rNjDjXJUedVL/a7PJNenxMnC+yl8+ujVRV9ixSVZ72OF0DVa1dAwEAJ/DEZr/cMHAQxErfsg8Q +vaVfpc8UcrTZKr7tnykU7u7mf1EpJ1UKolPTWe2xzq6hfBl8uFvwR1OBwCIkcilhAz3EBCEqDhhm +ffjzW1sDHWeqOakmUiC+zXZFqjarU1nMxFj/tBmq8odYeGwLqUIezCApgxkqMzsjOZEHaeqkemcz +1U8iu2GW+fHiRvEhL3Tn8S5ESOeHUCFxI1V1Ys+LPKy8RKroxE0qcjYX0Yd9psrgQTq/sWg+/pUO +xCcuMAKlDjo3UtY5ZPpFtrkWcVN3Ztu2sSm1CXaBVGtPEYDlTdxAoAb5Mr4/clAtzi4AB3165KDB +N4sP6vu/0uSyJR/Ur5Gqd4Rdg46Vk2otTiCwFPjVnWZB+C8zNBvABYiHDgSBsfYIqSKHfAjzWZ0T +KzNb8rB12+SrEBaExForMy32HfDoCGJhndKIW+l4/GskJxKyNdeCVDlnPZJZ6pcffFFM+oqYWNt5 +r3MeXbNJifVUjw/ZUv6DZsvnV8MmIMolD+rCo2eujXiVB2mpF3KbmSsdeXHnP2zUan/DGyJltmo6 +C3z3m3zJBz2cYJEx88VGxHWdWIflE52WvpJ/ILAO+A2+ZRwkH67F2QUop+Sgs9s3ItEMPPYFuewN +fwe3xUzVFdg1ahUKBHYBZpKAV2FcxqYiwPm5CM3DmE15fAhhlIk8eHwMOSDza0jF40JYyAi37zyn +MsZyUt61R9SP5Q4bnzhHhl6cG3mlR8IWX+VZfOQpP+ox5KG0Cicuj31JC5ARz3RPOnGkHM5bIJx8 +chlleB6Asrxs1xFY2qSLywKBJcB3jkaqbFRiI5KvpdbQs1EpSPXpIwat9Sjt49fl0c9r8Wtxcnl+ +niOX1cIdnt5RyvO4LXkp8+tSVob79Vwwg6+l68nrJaHX3i8Z2OtopHr3Mb06wzGds/PXX62xV210 +DFI9PTBL2jTjCKwCn8Nu/k9DgXlgTGDmir9xXosTmMLsplm7PUUowgJtHI1UdX3+4dPFK81GwTmb +BEWkNzpecS3cfvp88erh4eIS8j3bglR98K4N4igGSvkAj8/RHzsNskmFVtI1ECRiwI6t9wBXMdh8 +c7wXAvnc+4/DOmPbZweE3R7Bpg7WVe0d2bTmvBlhO2zF+rRt/JINa3Ec7Jgefe6F+x19syRV74u1 +PrlLDrrU+HD97fvF9dfvF1dfvl1cff128Ub4+P3bxf23bxcfvn3V+deLOwh3G1JlALcNDsqAgQmZ +QefDWgsbPO4u3ij8k4xgn2uTnE0UvN5gGyWkDK8YkA+7HHG42aQqQ9x/+mqvUWwaDF8CcAw2xrDJ +phYOmFngkGycwc7v2EVaifeSgO/gy+tIFZvey2Z8QcjwoP5R6cgvCfRVNjfZ+7kbSBW7slGKjVZB +DmzoglQ/rbHb8MUq3nXm9Sj6qm2wq8Z9GcBuTqrYA9nIQbxSlsdX3GHPwMBB2O7T1yHNwEHiG3HQ +8C75IwfZRryz6Uz16uGriFSkKkKFWC91fCeSvReR3ivOg9JCrGyG7CfV8zvb9GBfUNFAnQ9G3F1R +iUHB4QsxKEg6dgfaKwnXHy4+iP1Rnh2HvNZguwXLCqU8S6APBEI8fxG+Fu8lYROpYiPajFdHsDOv +h7BL1TfVvFRgl3WkiozNODaopZkF/eCN+shL9rs5pIp9CBt2Xv+wV4UYH2pxXwqwySZSxUZ8eMDi +aAzF714yoQLs5qRqM1VdQ5zrOIhXwYyD5KfGKbqpMw5SGjiIJ1S2+19puVk2DpKtSw7ymSozVGaq +EOsbI1PNUCHlb7oBErHaV8n6SXUYoHnlIB+MOHInwKff3rJjKpEq8XASXiXgU3Hc5fOuHbNWSNF3 +WJJ+Dqmy/kW5xHWjVOO9IMyZqdJu1uiyN7bnQwnRWTfPVAE3f3y2jCczD3Ssl34zMoNUAf0c+9qn +DTUGnKfvAb9U4GNzSZWxjT8o4DOVtXgvCditRaoTDpIcDnrnHCQ/HTlI5Ofvq/PeNLPVtRyk6ytN ++vzx77UR6/eLt8qLR7736dEvs9YtZ6rpHbmCVAel7qTM8IjRSZUpNq8XWKUSqUIAVJwK+D9vTCqU +8qzBZrVyum5SxYgMjEXaM2TP8BHVHFLFTtgaQoUc1g2GTZB/jVCQJcd8TsAmc0iVR3IMhrzPymf5 +uki1tBHlqdxXutNeifcMsIlUsSU2ZYywD++rX9P37dOFa+1cATaq2k1YmteRQd03kSq4faM4ikdc +++CH/G653TSDK32rMe49dVD3OaQ6xB04iH7tpGocpLGP71zjh8NMFQ4SIRoHDbNdUHJQdaaqdMxU +IdWdzVQZVPzLMTgHFRwrpErnpMrzas7pgDZdTxUyQtR0mwp6pbpItYccQI08pcdz3Ak6h1Sv5FTY +lQ8U0B65Iy4CNqrJnuHNCDaYR6qDz3Hky0H2qGip/Wq+9VzttoFUAb5Iv+Ymepyp1nxnE66F0m7Y +7Jn62xxSZYmNcPqpDf6sRy/1N2xW2ojrnjY4MrBbSaosXQ18QvjwVbMh7p34qiBVESD2dA4yUr2H +gxRnHQdRzpo11Q+Ks6M11cExWCe5FZnyiAcFTDnFhUSZVnPtj38557Nl/CUVz8AhQ2ZM1xrMWDj2 +tb65pMoL8tyNsE7D48xanJeEzWuqd9bo3KX5P6B8/CTbFesRLw3UfR2pIuMpC4+IeDpj/xgjf7aN +dS/ZbjNIFTB28DlFBjD/bnIt3ksBPrORVNWH+cQl64J89pExt/uJ3ImAupekih3hE/ok/mWfAhXP +EJ8/rXAOYtwzDkoTO9LwQZVHDoJLnIOWr6ne72SmqmvIkoGG59I83rH1ObsT4F85dJcgOeTJhiX/ +VikDOIvDzGrZQUkcS88z69Q555AqxEGHZjAE7CysxXtJ2DhTlf2Hj7t/Gda5FBenyr+I8xJBZ908 +U2Xjw+CvgM5Xj/dyMJdUAbMA7+fjpw4r8V4CqPsmUiUOu1OH8ZE/GdB4LP+sxX0pwCZOqnAEMueA +Rw4SKYpE4RfjBsm5iVvlIP4uceAgPis6pjcO0hhQcpDSXBJWrqnquNs1VV1TmHWOdLRz4jaux3N3 +JJcX4XNnqmW5Lx2z1lQB9koI2w022Eyqq3YzVOK8JCwhVYD9RhtWwl8KsMGsx7+C28uOlfCXBGzg +pGozVeRum3R0O/l5eT2eL+SgTWuqO5up7gO1CgXmAVLlyQHHWnigAfkcnWHOmmrgEQxMzKCWffwh +gK348AN/lBB2mw/65oRU94AJB+m6XFOFWN/5TFVxINft11R1vQ8EqW6Hq9cv+xFRD/BtNjism+EH +6rA/F4j9DIvBshiohQXqOBqpSnZ1qDXVfSBIdTtgv5o8EAgEnjOORqq6PtiaKoXvHK+KnVeSsf37 +XHd1bHbgyPU0neJdZfES9qZnIBAIBA4KJ1U4wtdBd46Sg8QhtTXVtwrL11Tvt5mp8uI77zmy02rX +YK2BLc6Uw5ZoZLe6K7j+/vPFzbcfFzc/ftjzbTZHrKRVuteq+M13xUkwI6gO/Cn0StxAIBAIPCuw +4553TXklkA85sBu/Fm9bGAcpf14X9Ynd3tZUKYAKff35m7H4PvBDoAw+I8WR69difyNVyPLHzxev +bj/aC7uEebqfhXveV/387eJWcYjHc3DeX8rzP3VwM1KTB9Yj7NYH7MbgUwsLrEPYbSkY7+GFgRv2 +z0FG3iOp+uNfSHV4/Dv8S02aqerIeffjX1icd1T3Ae4+mAkPM1XdDaDczf3F1SfNTiFK3TEwRee9 +I/526jHt8BdU528fRL7DrPZc5Msj4Lfv83inDV52rskDbeBz2I2drPhbLU5gisFu/GMPHymoxwlM +ga14vHj/Oey2BHwe9HGmKm4owncF2mSYqX4fZ6qHWVO1Z897gBTigwTcMViFXg3/0HD17sFmoJdv +HgZZo3xeJ7lhei4SRkfTvxLvFHGu+vIS897a5mTBF1eGl8T5olc9TmAC9VUGOj72wnk1TmAK2Yo/ +ZuBd1bDbEtzZze/IQdU4O0DJQeKQ+ppqNlMFz273r8jSCDWL28I5lbl+ea+WzPn4Q2AKfG7Oxx8C +q2AAWvLxh8AAfGzuxx8Cj8BucIJx0JHeU3VCHddUf4hMFcfJ9fm9p6qwlesW5sY7MQSp9gGfC1Jd +jiDVPuBjQarLgd2OR6r5mupAqisz1Z08/tX1PjCpUCVOoI4g1T7gc0GqyxGk2gd8LEh1ObDbsUj1 +cN/+3QMmFarECdQRpNoHfC5IdTmCVPuAjwWpLgd2OwqpSlauqfIo+PmvqQY2Iki1D/hckOpyBKn2 +AR8LUl0O7HY8Un1cU10h1R/fhjVVI9Yg1ZNDkGof8Lkg1eUIUu0DPhakuhzY7Sikquvamuo7kSgz +VT76EGuqJ4og1T7gc0GqyxGk2gd8LEh1ObDbsUg11lRfKIJU+4DPBakuR5BqH/CxINXlwG5HIVXJ +ePw7WVPNZqr2+DfWVE8PQap9wOeCVJcjSLUP+FiQ6nJgt+ORKjPVH6ukykyVNVVbT2W2GqR6cghS +7QM+F6S6HEGqfcDHglSXA7sdhVR1vWlNNR7/niiCVPuAzwWpLkeQah/wsSDV5cBuxyLVTWuqsVHp +RBGk2gd8Lkh1OYJU+4CPBakuB3Y7CqlKdrXySs2wtjrOVBUnXqk5UQSp9gGfC1JdjiDVPuBjQarL +gd2ORaqXGlfLNdU3OrKmOhBqrKmeJIJU+4DPBakuR5BqH/CxINXlwG5HIVVd80H98fGv7QL+Ps5U +nVB5/BukemIIUu0DPhekuhxBqn3Ax4JUlwO7HYVUkd19vDh/eLh49e7u4uxe5x/k83fvL24ePl7c +vFe69/cXtwq/un1rbRqkeiIIUu0DPhekuhxBqn3Ax4JUlwO7HYdUP1ycvRPeilAhVeDnHB0i2bOb +t8PMNkj1NBCk2gd8Lkh1OYJU+4CPBakuB3Y7KqlCohCnEyvnb989yjje3l28ehWPf08GQap9wOeC +VJcjSLUP+FiQ6nJgt+ORqsYGI1En1gQnVM7fK17MVE8LQap9wOeCVJcjSLUP+FiQ6nJgt+OSqhMq +JAokt5lqmsVCqrfvglRPCUGqfcDnglSXI0i1D/hYkOpyYLejkepdmqm+E4m+55hmp4Z0HjPV00OQ +ah/wuSDV5QhS7QM+FqS6HNjtaKQ6rqnqyOzUzhX3DSTrYbp+HWuqJ4Ug1T7gc0GqyxGk2gd8LEh1 +ObDb0UjVZ6qxpvqyEKTaB3wuSHU5glT7gI8FqS4HdjsaqU52/+ros1ZfUyUs1lRPC0GqfcDnglSX +I0i1D/hYkOpyYLejkarNVBOJ+uNeJ1Jmrz5TvY6Z6kkhSLUP+FyQ6nIEqfYBHwtSXQ7sdjRSjTXV +l4kg1T7gc0GqyxGk2gd8LEh1ObDb0Uh1ZU0VEtV5bU01ZqqnhSDVPuBzQarLEaTaB3wsSHU5sNvR +SDXWVF8mglT7gM8FqS5HkGof8LEg1eXAbkcj1Y1rqkLMVE8PQap9wOeCVJcjSLUP+FiQ6nJgt6OR +6sqaKiSqeCXJ2kw11lRPCkGqfcDnglSXI0i1D/hYkOpyYLejkepkTdWJVfDzmKmeHoJU+4DPBaku +R5BqH/CxINXlwG5HI9VYU32ZCFLtAz4XpLocQap9wMeCVJcDux2NVPM11XGmmogUGecxUz09BKn2 +AZ8LUl2OINU+4GNBqsuB3Y5GqrGm+jIRpNoHfC5IdTmCVPuAjwWpLgd2Oxqplmuq/A2cEynHWFM9 +TQSp9gGfC1JdjiDVPuBjQarLgd2ORqrlmirH8XFwJos11dNCkGof8Lkg1eUIUu0DPhakuhzY7Wik +uvKequLY7DTNUG1NVUdmqvEvNaeFINU+4HNBqssRpNoHfCxIdTmw29FI1WeqkKcfmZnWZqqxpno6 +CFLtAz4XpLocQap9wMeCVJcDux2PVJmpijjzNVWD4vusFXmsqZ4WglT7gM8FqS5HkGof8LEg1eXA +bscj1TRTHUlUx3FNNQuLNdXTQpBqH/C5INXlCFLtAz4WpLoc2O1opDquqebEmsjVj7GmenoIUu0D +PhekuhxBqn3Ax4JUlwO7HY1UY031ZSJItQ/4XJDqcgSp9gEfC1JdDux2PFJlpuqkqmtfTzVCBVxL +Hmuqp4Ug1T7gc0GqyxGk2gd8LEh1ObDb8Ug1zVTzWem4pprJYk31tBCk2gd8Lkh1OYJU+4CPBaku +B3Y7Gqn6F5VyYrUZqp8LtqYapHpSCFLtAz4XpLocQap9wMeCVJcDux2PVJmpKmwk0XQ+zlQFPgoR +a6qnhSDVPuBzQarLEaTaB3wsSHU5sNvRSNXWVBXmZJq/ozoemanGmupJIUi1D/hckOpyBKn2AR8L +Ul0O7HY8UgUFkZav2HCMNdXTQpBqH/C5INXlCFLtAz4WpLoc2O1opBprqi8TQap9wOeCVJcjSLUP ++FiQ6nJgt+OTqsNJ1UlWCFI9PQSp9gGfC1JdjiDVPuBjQarLgd2ORqqsqeaz0+qaqo5BqqeFINU+ +4HNBqssRpNoHfCxIdTmw2/FIFWSzUo62IxiiTQhSPT0EqfYBnwtSXY4g1T7gY0Gqy4Hdjkaq1ce/ +Bfzxb7xSczoIUu0DPhekuhxBqn3Ax4JUlwO7PUlSdXmsqZ4eglT7gM8FqS5HkGof8LEg1eXAbkcj +1daaavlKTZDqaSFItQ/4XJDqcgSp9gEfC1JdDux2XFJV2EiqCSPRBqmeJIJU+4DPBakuR5BqH/Cx +INXlwG7HI1WgMCdQJ9baxx9iTfV0EKTaB3wuSHU5glT7gI8FqS4Hdjsaqdqaqo6TPyl3SGZrqvGZ +wpNCkGof8Lkg1eUIUu0DPhakuhzY7WikurKmqiPE2pqpKn2Q6okgSLUP+FyQ6nIEqfYBHwtSXQ7s +dlxSVZiTqAGSzYAsZqqnhSDVPuBzQarLEaTaB3wsSHU5sNvxSBUozGeqHCHR2p+Ux5rq6SBItQ/4 +XJDqcgSp9gEfC1JdDux2NFKNNdWXiSDVPuBzQarLEaTaB3wsSHU5sNvRSDXWVF8mglT7gM8FqS5H +kGof8LEg1eXAbkcjVf+i0rimCsECndtRiJnq6SFItQ/4XJDqcgSp9gEfC1JdDux2NFKNNdWXiSDV +PuBzQarLEaTaB3wsSHU5sNvRSHVlTRWCTbNTn71CssxUr2OmelIIUu0DPhekuhxBqn3Ax4JUlwO7 +HY1Um2uqlZmq0gepngiCVPuAzwWpLkeQah/wsSDV5cBuRyPVck3VZ6rj42Ah1lRPD0GqfcDnglSX +I0i1D/hYkOpyYLejker4J+U6+uNeO2em6mGS3d7FmuopIUi1D/hckOpyBKn2AR8LUl0O7HY0Uo01 +1ZeJINU+4HNBqssRpNoHfCxIdTmw29FINdZUXyaCVPuAzwWpLkeQah/wsSDV5cBuRyPVlTXV2kxV +iJnq6SFItQ/4XJDqcgSp9gEfC1JdDux2NFKNNdWXiSDVPuBzQarLEaTaB3wsSHU5sNvRSDXWVF8m +glT7gM8FqS5HkGof8LEg1eXAbkcj1VhTfZkIUu0DPhekuhxBqn3Ax4JUlwO7HY1UN85UhZipnh6C +VPuAzwWpLkeQah/wsSDV5cBuRyPVWFN9mQhS7QM+F6S6HEGqfcDHglSXA7sdjVRjTfVlIki1D/hc +kOpyBKn2AR8LUl0O7HY0Uo3/U32ZCFLtAz4XpLocQap9wMeCVJcDux2NVGOm+jIRpNoHfC5IdTmC +VPuAjwWpLgd2Oxqpxprqy0SQah/wuSDV5QhS7QM+FqS6HNjtaKS68kUlHX2mOkIyZqrxLzWnhSDV +PuBzQarLEaTaB3wsSHU5sNvRSDXeU32ZCFLtAz4XpLocQap9wMeCVJcDux2NVGNN9WUiSLUP+FyQ +6nIEqfYBHwtSXQ7sdjRStTVVhcWa6stCkGof8Lkg1eUIUu0DPhakuhzY7Wik2lxTzc5jTfX0EKTa +B3wuSHU5glT7gI8FqS4HdjsaqcZ7qi8TQap9ODu/S6SqziP/q8UJTHH26u7i3QeRqsYJzmtxAlNg +q4FUH8JuC3BUUj3Umuq57uzPbxsgrAxfFx8wU9CdW0mqG9MFDJfC+09f1Jj18EAdr+Rf2O0afw9f +mw1sdaebuFuRQ9htPrDVG92MvL3/fPHquh4nUEA2g6xKUl3LDYSV4eviA4XXZ6pAPDg+7tXRCLWy ++3ebNdWr958vrj/oDl8OkgPZtTrbSpgKuf6ogUuOlMd9xCCfVAjWV6dtpwvk+CCbXd/XwwJ1XMo3 +sduNfIzzWpzAFNjqvQa3N5rlh93mA1u91U3cO26Aw27zwKNycc5IqnCQuAH77Z2Dxse/4kF/3GuA +XDMg23ZNFYVvvny3DHLcfP52cfP1u/BtUFSy64cvuv5h8qv7L9U0VJhHcSWpXopUPZ/AGsghPnz5 +asdqeKCKS3XYFVINzAJ2M1LV4MV5LU5gCmw1kmrYbRYu3z1USfXqg3ilwUHX4h/jm5yDvnVw0CHX +VFH25sfPUkYD+aiYZkoorfNrnd98GRSlMnbXkCqWk6TFUz5U9OCkWiMgZnql7DngkKRaK+OZkvlB +SfXE7HZUUsVuz9B2ByfVE/C5NqnWOCiR6fsHcYvO4SDnHZ6uPqiv1zjo559tdlsl1ZU11USiK5Bs +F7t/hwqJ+b+LMKW8VUiVsXMNUNefpCjXn1Sxb9wdSHkI9ruUTxWyyij9UUj1I+XrmDtYkl3ruBK3 +hlanrspm6r/E2cu4uq6T6o5t53bLbz5o20/CQyZbh6qNarItdZ9RzsFIVeWa3XIbITu23eamL+Id +jFSxUWk3wexW9t8WZtRnMap22yxbTqpb9AHZDDvlOuBrJpszedi2/9Uw124Z1pIq/LHCQQORGgdB +oMZJw3GFgxRO/JGDkqw+UwWQKUgk2pqpbrWmmlXIFFIFrrkrUOXsHFLV1NxIlYqpMnbHgAFkxOFc +dxlMyUXOm0j19v7xbsRxozRO0KPsw1Q2AeWnTjkOaHJwu/bOWqYpYPUpHyNYQxYy6YI9VmQNkNYb +ewR1VFm5jDjTcuqkusRuIJfVMNqNjsmggN1SR51lt0p9zEalTDC7behwYGiL0m5TGw1+t2qPFqnW +/Ijr68JGyLBnLqvCb9iwkdsNmdtzQz2t7NKPrD4qu9SzIqvB/Khl91zmdst0bJEq9rkp9anYaLbd +GAi/CLlvIUu2nHMDPKmPyVR24TNVP6pBuk/6n0A5ZTuWbdEi1ZaN5vbfGka7OYHSjm43xr2s/BoG +3QuZ2ajQE30qfjRBxY8A5ZT1sfxSW8whVeMgcY7xz4SDIFXxDrrL7sNMdSh35CB4SeXVSTWtqTog +0HHGmo5c3+zi8W+q0HCX8DCQJ3cJMoJVRDPUcaaaNhxZ5ZMBLYxKzSBVN/bOABHI6fIGZpOPOaI7 +4XOC6nGQx78QATbKBjMjK++oedxngBap7hxOBDW7cYOSx30GaJHqztGw0XgTt29/3zFapLoP1Gxk +N8DY8xnZbf5MFQ5KM1U4SMQ6PC0duKjKQUpnHLSRVJ1ABTYpQaLlTHUnpMrjXyrgDYTCqRKsrdqd +AfFUUVPe7hpW72jsGfgRHv+aU1Uc61kSKlBdDrWmWuuQLXs+dRyMVIWajZ6z3Q62plqzka5rfvjU +cUhSrdroGdpt/ZpqjYPENz6pcw6Ce+CaFJbn7xzUJFX/opKT6kiwGdHamuouSFUK5srZ4wQpxnPq +lccBNCQVqTwysTCMovjNV2pWSHUPs9ZTgOx4sI1KJ4RDkuop4aCkekI4KKmeCNaSKtyRx8emNQ4S +mhxE2MhBNVIFiTyNSBOZ5jNVSHX791SlzK4G8JTP9B2h9xevP3yVE/64ePPwiFlrMS8NQapdCFLt +Q5BqH4JUl6NKqroenmLW0yyG5aNymo9/Ic8EiNTJ1ImW661nqopzvatZIxWSg9VI9ebuy8Xtx6+2 +YO+Ys6HmpeFagBw41sIDdayQagxys4GtglSXA1tBqEGqCyA7GanK30pS3T8HOakWBAp2/Z7quQp7 +dfXBvjk74rq4XoJrlVP5TOErvmU7QSX9C8elbP/+QW2zTRu8QOBj2O3qlvN6nMAU2O1ONyK3b++H +8aASJzAFtuJj+m80Kwq7zYTsBFmNM1WRq9lu5xwkAp1wEI9+D7SmSuIazjQ4nb37eHH2Wp2Nf2FA +zvFWir0ZvuFYBeXoOCHVNWUFHoGD2Qf1w16LwOMe7HZ1Lf90fw1sxPhBfQ0e9mH4SpzAFI8f1Nes +6CzsNhdwQ0mqtXjgDHKEg8Q5JQe9WsxBm0g1YSekWgMfJP6gdBDoex3JA2VFsGcPD4Osli6hSaqH +RDLucwN3Wkf9l5pnajd87mB//fZMbVQDNyDx12/LgY8d9K/fTsTnsNuEVGsoOGicyHH98eHi1WIO +gqCVNidSCBbs+vHvBCjOIw3dIdg5RHqfKuAVfEqkei3cCujqMgjpdTrmcZ8BDkaqNRtZewvYNI/7 +DIDPHYxUSxtRHj54k87zuE8cByVVbFTaDZuV/fcZAB87GKm6jXIZdsQPc9kzAHbbSKr4wjoOutP5 +1qQq7Os91QmoBEpTIe4OBLtj8LC3ut6GVG+G9YgVaJo/lQlziOWNypMxRqdDR2RvZKDXM/7nkLLL +8im3plNN9xqIV+pOffIBBVTKaZJqy25lPK5LWQ3qkGY375jYTTY0B5PtJvFLVHQ3bGO3WptbOYUM +FHnic1VSnZtnqz4lNMCZ3eRjZjOXYTdhUlYJwlttWcrm2q2ley19abcWqWKfmo1m5FmF0prd3mYy +5T/aDeLI49dQK4d6lzavtXkLNbu1ZFme+FiVVInT2RYtQACvsFHWHja+IZtzQ1K1m1DayHQvZC10 +tgV2m0Wq6zgIWQ+prnv86/K9PP5Fab9L0AC/cpcAtiZVGSS/bsoqDVQDBOrOxbUZPcnnkMO1yga5 +zJyr4jQ1PWsgXs25yjy5LvJsk2qlbNLX8pxjt5xUsRlYQqrUp9puM2U1WH0KGXUpO7DJVvPE56qk +WvOjWlvUyqnBSRVycLstJtV92K0vzzapkmd2DZboXkJ5mX22ItVG2aXN544dYG59inLwsWWkOrOc +CgZ/W/WtZaRaKafWB2pt3kKrPmV68izsNotUN3DQdqTqJKrjCsEKe1tTtTsDVUrnLBTbXQNyKrst +qe4aNJgTQy73Gdgzw0HXVEsbYUM66SHK3jHwuYM9/i1t5HZjQNl32TtGk1T3AYgzH3SxFbI5xPDE +gI8d9PFvedOBHWvj3hMHdttIqqDgIOMh5NQXUvWZawNTDiof/yZiBbU/KVf63ZKqMjx7q4qIPFcq +Q0WR6S7ijIpxF1GmFaYVmsYJ1HH0jUrPFPjcwUj1hHBQUj0h4GOxUWk5sNssUlW8FQ6i/qCbg5xU +FebwNVUnUz/uZabqcIWpjF87ao84EqYVqscLTBGk2gd8Lkh1OYJU+4CPHZRUTwTYbRapOnbGQZAq +UJjPVI1YhXGmmq63/UzhWpSDE9c58rAM0wrV4wWmCFLtAz4XpLocQap9wMeCVJcDuy0i1bIvc50j +D8sw5SARqq2pVog1v97bmuqWmFaoHi8wRZBqH/C5INXlCFLtAz4WpLoc2G0RqXZiykE+Uy0e9UKy +h1pTtaPvovNrptzINuyum1aoHi8wRZBqH/C5INXlCFLtAz4WpLoc2G0WqXofNq4R/LqbgyBUZqoi +zrcKh2B9TdVhpCr59ds9kKpgi8O2IDykpxK2G4uFYhaQ2RFMBYt0YFqhaZxAHUGqfcDnglSXI0i1 +D/hYkOpyYLdZpCqMHHSXdgHDQbxq08VBkCoQcY6PfhOp1maqO11TZUBKlbFzf4WGLdwasPj2It9i +PLtX5Z4KqZYEhN7l+1LPBAcl1fIVELfbMyR0fO5gpFr61nO22yFJtWYfZM/U3w5GqjUbcV3232cA +7LaRVKlTi4N4H7uLg0SovqbKu9FOoiXJ2prqrmeqVMK/ZsEU294XGvIw8CIuM1Z2XhE3T5swrVA9 +3ogtOtUZ77jJGCsD3Y3kyAjL4lZRc1iXl7J9oChnEam24sxJi43Kl+5lw/GF8jxuC7u20ZL8irj4 +3NakOqf8mo2Uzj6Ysc270dvasjP9Tkh1TtnE4cMPpY38Iwa99T+W3eRjTVKdm+fceLUP2ciOZrd8 +3FsCyi7Lr8laqMVrpc3k2G0WqRoHiUCdg/KPP0CocBBhjb4+5aBspprPSg+ypmoVksIiVfuIsdKP +pMo/13B3sIZQwbRCKYy7CpFyHtcgI00ahL/wWrNleoR/UclfhBbs2uW1NDkoh8bJZZSLTrmspXsN +pC1153pGOU1SLfUB5FeWg6wspwbsxSDH16fcbnTcuXaz+lRsNNFTstl2U9yJ3ZI8l1XaAp+rkmo1 +T12XeZpshp4iU+xjN23JbnaD4nZrDSyOmh+RhrJrbU78XFaDtUUlXlmfSjlNUq35Vs1G5PVa8lL3 +EtyMMGhhN66xm9KYLbEbN3d5u02gMqpjR0XPmqwGt0cpp5zS7qXdpGuVVKs2kqzZ5hv0xEbYDRs5 +gSbZeJOy1m5CrY7YqPQZrst+UcOoeyFHVvOZrBzsNo9Ucw7KSFV59XGQ0vkXlfA5yJQ1VWzrhMqM +lZnqztdUrUJKA3AWKiVStefZPkM1JyqMl2FaoSy8bIglsgpspopz5fFrs7B1KMviegudmmln5Nkk +1bl51mQ1YBvslt/pKp3Zbdcz/Dn6OObGLeLhc82Zaplnq4w5ZUMOpY2UDlKdzMJaqJUzV1YD8Trz +bJLqFnlWQRxsVPqW3YzoODePXlkLnXniY4se/26jJ7NSbnjz+OnmbnYeNZRpl+RVi9tKn8mx2zxS +XeUgJnbbcZDSjmuqOkKsTqjMVCFTv76VXXf+nqqUtgVhe5Y9VII7Br9D4D9WrXKNu6xphaZxdool +DfzEcdA11ROyGz53sDXVU7Jbi1QDa4GPHXSj0on4HHbbSKqgxkGcd3OQ0oxrqhmJ5sTK+V5mqoBB +yYmUqTtO49eO2iOShGmFpnECdRyUVE8I+NzBSPWEEKTaB3zsoKR6IsBus0iVPlxyEDPVkoMUp5Z+ +ykFKW66p8rh3XFPNwvbynqqDioHyupQXmFaoHi8wRZBqH/C5INXlCFLtAz4WpLoc2G0WqTroy3l/ +9utSXmDKQSLN6pqqrnOS3dtMdUtMK1SPF5giSLUP+FyQ6nIEqfYBHwtSXQ7stohUOzHlIGaiPhvV +0dZUFa98HGwz1X2sqebIB6iZg9W0QvV4gSmCVPuAzwWpLkeQah/wsSDV5cBui0k1788z+/aUg0Sa +G9dUddzbTBUnYXs0u694bu0Veavzt5KB2jbthGmF6vECUwSp9gGfC1JdjiDVPuBjQarLgd1mkWrO +QW92wUGQaCLS/HGvkyzHcaa6jzVVFoT5ggXvn71/GBaEeX+JimjQpzLr3hWaVmgaJ1BHkGof8Lkg +1eUIUu0DPhakuhzYbRapim8eOUi+CQexYYmPEsFBul7GQcqvXFPNZ6pOsnuZqaIgW5hRnnNTfshj +UF6FUuGn8koN71lW3g88e73lO1xHwsFIlfyxW1GO2S1/d/WZAJ87FKlWbcT7l3Pfi35COCip1mzE +dfnu6jMAPnYwUpWNzm7kc7mM96Xnvhf9hIDdNpIq/bfGQZyDLg5S/KOtqaI0U26+ZsHMlK3L/kUl +e/Fd19w58AmpMm3CtEIeJgNwt5HFNSArSYQ7kIbBVuBfsqFjJqPb9VsdGfxqaXJQdrktG11KPdGl +pnsNVp8iLmWUA3Elzyap1spGVupu5VTilqBDyonsmOxmNsSe5SfRaqjZo2Y3UJPV0NJ9ItN1kSc+ +VyXVVn6lvKV7CdmIzmcfMnC7Wb9Qh8TvynYr0fKjlmxTfoC6LMkzu26SKr46sVGlnLl2w0YMWtiI +a+ymtKPdKC9vtxLr7FbaqNa+NbR0N1khL+LhY1VSXZtnRVbqXsGKjVxGH0Xm414Wf4Ja2TUbzbUb +aNanlufjNXabRapNDoIEezhI6dauqXKu495nqhionKniQFTso+I0GmBaoRRWczjybDVGKatBpGCD +HHe85CXgcIZNpEp8a3SBc5dTblk3ZDVHqqHpXIXM88zKXkuqpayme62cEsQ3chAyUuUObezAtXQ5 +3EZ52V6fFT2TbLxeg5ru5FWTFXnic01SndsWpawGZg3YiEHN7QZh+M0duuXll3AblfJa+5psg06U +Va2PMKOcNqnW8tT1JM+arAInVb9hM70Hm5ndGHi77SbkaWu61+A2Ksu1PAsZ+eV2U5o6qVbK3qR7 +Kc+hMsebEScnZIxvTBx83CvT5WjVp9SzJquBvMo80aFWnyJP7DaLVDdw0JnyNA5q2G/KQZBoIlJ/ +3MvRSdYfB9tMdR9rqkpjz7NpPO4YWByWwfhngPEl3EUVmsbZGWhY7tZKObLSkZ4Bjv341+zmnfcZ +AZ872Jpq6VuUx+AG8njPAE1S3QewT+5b2I1r7LnvNtsx8LGDPf7FRqVvPWO7bSRVUHAQs9Ocg+yT +hUtJ9WhrqkAZ2hcr2KTkz7Wl/DDlprKqDJWspRWmFarHC0wRG5X6gM/FRqXlOCipnhDwsYNuVDoR +n8Zus0hV8eocNFwv5yBINBGpz059TfVNsabKE869vadqdwH5nYDOJ7IpphWqxwtMEaTaB3wuSHU5 +glT7gI8dlFRPBNhtFqk6dsZBIk1fU32Xr6kqrs9e9zpT3RLTCtXjBaYIUu0DPhekuhxBqn3Ax4JU +lwO7LSLVTkw5CBJNRDo+7uVcsoOsqW6JaYXq8QJTBKn2AZ8LUl2OINU+4GNBqsuB3Y5GqpM1VZ37 +TNVnrXudqTKos5urthsM2ZpBf1qherzAFEGqfcDnglSXI0i1D/hYkOpyYLfZpDpyUCEHizkIEs1m +o+NMVef2ik2S2Ux1L2uqFK5Oxq4r24GViJXBikGLXVev74frSVqVM6lQPd5e0dDtqePopPpM7YbP +HYxUn6mNaghS7QM+FhuVlgO7zSPVNRzEF/3goPzzhQWmHKT8fKa6Qqwcs3Nmqjf7eE+V3Va8SsN5 +etHWztnG/OHh6ZEqdzK5LpzX7m6eAQ5KqqWNnrHd8LmDkeo6u+277B3joKRasxt+jvy52U36HoxU +sVFtPHimdttIqtRpHQfdi4P4ROEiDoKkIdWcUIHk+ZoqpLrzNVWUpDJUSgOUfc2CCvn3fo1Ydb3o +LqEebye4VXn5i9HgZpCd8R5XHvcZ4GCkit3kRPauG9e0pWxodpvzJaonBnzuIKSKjdxuXk5ut0Pc +DO0QByNV2YWPFdi70bnMPmLwDO2mtj8UqfJhEft6UmYjfG0c9/bp7zsGdptFqjUOom87B3HdO1Ol +rx50TTWrkH3EGMVFopwPL+EK3CkQx6fkBaYVSmF0Il7ezeJaecjyvCTj247V9dwS/iWb/MtA6Xr8 +eksLXjbg3OWUW+op/cweuawGyideqTuOQJ2yeGM5WdktUrU8c5nrrnzz9PYv+cDj1UB866jYKF0L +Zi+3Zy1dDsoo7UYbIlvRPdmtqM8E5IN9St0hrNxugLzIMysbn6uRatWPTHch173W5jWkmzizEekF +vmpjX1SCbNEtz7eE26iUl3YjX7NboXsJyqq2RUqfxxXKtmiRqtlt0hapLVfKUZxKORP4jQc24po8 +XIYt+bhBnm8JlTOpD/GpN23naXWs6l6Dt0VRro1ReVu4DALza6WpkmrNj2q6Aytng560IwM+NvLy +kXFtn2LVdaF/ibLNDW6jzG5jv8jj1UBe69oik5f9D7stIVXTPZGqvbeacxDE2rDflIPUBuvWVF3G +cW/f/gU4CxXx7y4m2DX/HkDcTD6GTyqUwsqGXYu6sSawAU1G8LxVtn26yz7hJXkZ/6go6zSt4/KZ +6uY8q0gDmnVU2hGoTBvkcKpamhXMLGcRyLOWbyGrdCR8rj5TbeVXk8+A281nqqksm3HNsduiPjAX +nXURWqS6TZ5V4Ftmo1W5zbj85nel3bbBDnTf0E742MFmqtioeHpknxT1ca/LbrU+UJMtRS3Px2vs +NptUcw7yzxQmDBwkwm3UfcpBItTJmmoOycBe1lQBHYA7A55B8+UKDVSj8tytfpQjScFWA0wrNI2z +U9Qca0OneKo46JpqrYxnajd87mBrqqdktyap7gEtuz1D2+FjR19TRbZvX98xsNtGUgXcvDoHQaD5 +rHrkILhtLgcxS4VUIU+Fj0TKUTNVn8Uaqe7jPVWUZzbAo1+m7l4ZQOW4Q7BHCnMrNI0TqOOgpHpC +wOcORqonhIOS6gkBHzsYqZ4QsNssUqUPtziI68UcJLJcWVPV0cnVZ6+c722m6qAieWU2yROmFarH +C0wRpNoHfC5IdTmCVPuAjwWpLgd2m0WqDvpyrT+35AlTDmImChRmgEQTuU7WVDVT3du3fzsxrVA9 +XmCKINU+4HNBqssRpNoHfCxIdTmw2yJS7cSUg5ipOqlmM1U/ImMfDtcHnan6dSkvMK1QPV5giiDV +PuBzQarLEaTaB3wsSHU5sNtsUqUfO2qyXF5gykEQKo9/maEqfJytFse9rqnewuzqaG8+DDuwbqUQ +W5zZzsyRd4hmP8+exgnUEaTaB3wuSHU5glT7gI8FqS4HdptFqvThkYPuh+sbiLHgINZXK+mnHOSk +qrByTdVINRHr3tZUqYzt+uWo9K+ljG1rlkzK2a4zXsUIUt05glT7gM8FqS5HkGof8LEg1eXAbrNI +lUlcyUF8WambgyBVoLAczFxHkk3Hna+pMiBRCe4COGeHFR9/4O7A/2m93I1VYFqhLHxNuhXMjHeG +YfOvtAAZfOXd1V1hW91npG+S6o7t1rKRvQ+HTTPZItTKn6tTCzPS43NVUm2l3UKnmo3sHdXiHcwm +5pa9hY6GWvpC1iTVVtnb6IR9ShvpevaXz+aWvY2OoJa+kOFji0h1G514F798BxpZ8e5qE9vaYxtU +7LaRVEkDoToHMaFzDoJUnYNqaROmHJSTapqVGqlm106uO3/8SyV4/ydNs18pvd0p2NQ73S0wJafC +DdKaViiFEb/82gjgqxtlXry6s8FwBv8CEB0T3QUjC+T+Uvk61MrhWoPzioyGLGUtEK+aZ0VG3TNZ +k1RrZdd0R1aWUwM3Inw2Lv+iEp107heVZtuoJmug2hZJnssqfoTPVUm1mqeuyzyR1XyzBCRA58NG +yW7DB0iS3Rp9YgQ2Ksux+khea3Pi57IaavUBk/ZJsqycJqnW7FZrX8tT8lL3EmpHG7zct7Cb0oy2 +5IMtebtNUCkbYLfyJrCmew3oXPQ/A+1T1qe0m3StkmrNj1p2s3I26ImN3G5eT2Rc03993CvT5ajZ +rWajlh+VGHUv5LX6FHlit1mkusJB6tNwkNrK+KeLgxJ/5TPSkVyz417WVLMKmYHsse+Qh4XhQDIU +L99OGiVhWqEsvNbA5FMax2T1/Ffgg5l3SsHIwT7hpWMtTQ7KKethskLPWsdogbQ156rJirKbpFrq +Y7Jp+qqsBjojnTL/vCN3w9htzs1IrRx0rpU9224z87S2WJXhc1VStTyz61FW5pnkuawGCBQiwEbJ +bkYYS0i1NnBRdq3NS5+poVYfk9d8ZlXWJFXKndgIWZGn221TvZ1U3bewm9KYLbEbbZS32wQqY9dj +h+teyilnkueqDB+rkyoo8rQ2n6l7CYUPg36ykcuwI/13482IUPUDlV3qWZPVgM74cKm7yYr0hR9h +t/mkis2VFg76qGvkQPY+U57LOCgnVYBN0zk+6HJIdS8f1Lfpdppmc0egOwMzWMrL7hh4FDy7Qlk4 ++efXLcyMd0bDlo+PcDoItWz0bbGt7jPSb/34dy6wEXYryjFiPZbdWpiRHp9b9Ph3C9RsZLb0QW9X +2IfdClmTVFtlb6MTBFD6FjcpZf9tYW7Z2+gIaukLGT52sMe/3JCUn1y1/lvIWtjWHtugYrdZpCoO +epVzEISYcRDfFB6XI8v0hCuPCan6P9FAnrYLGDIVINV042Lkupf3VKkUC8OachuhIqMR05Sbo1W6 +TJcwrVA9XmCK2KjUB3wuNiotR5NUA2uBj8VGpeXAbhtJFSgeH9MfOEh8Q582Dnro5CAI1UkVKI6R +K8dEpn7cyys16zBjsJ9WqB4vMEWQah/wuSDV5QhS7QM+FqS6HNhtFqmuQxcHQagaG1ZI1OEkq+Pe +3lPdEtMK1eMFpghS7QM+F6S6HEGqfcDHglSXA7ttTaozMOWgnFSBE2k6d/le1lRzMDiBUpZfVzCt +UD1eYIog1T7gc0GqyxGk2gd8LEh1ObDbIlKt9eUZ/XvKQSLLyV+/Qaw5JPOZ6l7WVFkA9i3SeSVY +LK7tJsswrVA9XmCKINU+4HNBqssRpNoHfCxIdTmw2yxSpQ87B7EhNu/T9hrVUg5ipgpyQtWRzUvj +7t903NdM1TYlAd4P8gGe94N4KZeKFvFzTCtUjxeYIki1D/hckOpyBKn2AR8LUl0O7DaLVIUJB9Gv +nYP4KFEljWPKQRBqmqnmr9D441/H3tZU2V2F4nIW23lFpZSPvWYj5VbuGiqYVqgeb2eovcqw69cb +DoSDkuoJ2Q2fOxiptuz2DG+EDkqqNRtx/Qx9Dh87GKlio5pvPVO7zSLVgoOMWJ2DzsVBG2w+5aCM +VEciTecHeU+VClApptnjZwql0P3DxRkVU1j1BfaEaYU8TGmY0mdxDTWZxS1lFbxWeTLK6GAqe/yI +waz3uCo61ZzYZDU9KyBeLU/KWpFN4zVJtczPME1fy7MK+/iD7MORNgeyoX3EYM5HM2rltGw0Rx9D +JU+Xl9dFPHyuTqqV/CxtIZ/bvunjD/lHM0hrHZMX8st2K0EZtXLmymqweH15NkmVeBMfTPJcZqjJ +CuQ2ymW6NnuS90q7FVhXNmET2QydQC2eyUr56jU+ViXVlp4t2UT3KWq+RR81uzHurbMbqJVt9Snl +NVkDzfpU8sz1lq4bSZX6FBxknynk+wlwECQLBxFWSy9MOUhk2VxT9XMd9zJTpUK8A2RKi1B5F0gV +tArZTFXhvHjr32As0wvTCqUwGZd3j/K4Vh6y4kMSZszGxyVW4F+yyb8MlK5XOnANxKeOGojt3OWU +Wz7iVl0nujdg8UrddRNidcplxCFuVnaLVC3PsgOSHzc3WXp7QXrNDY+B+NjLvp6UrgWzl9uzli6H +2ygre6zPip7JbqXuNXh9chlEX9qNvMgzk+FzNVKt+hFlTPJEtppnFboJsUEOG7ndINr8i0q5TUpQ +TqG7obQR+Zqs0LMEZVl9ijyVV81fy7ZokWrVj2THaZ6VsmugHRm43LfQG5nbctOXgVr9j7Jp3yyt +tXmpew0QEnkW5ZY2MpmVk10rTZVUvV/4NajpTv5WzgY9aUe3m5ePjGv6r497ZboMtfqY/5d9oCar +YdQ9k6EDsqKvlW2B3WaRasFB/r6qcxD5LuMgxWdNlY89QKj4HSRqa6rvBjLFzoTtZaZ6J2WpFM5i +z7AzUkWGk/R+UWnX8JlD3sB0Vggi6wTPBQd7/IuN8o4KVKbZbe6XWp4Q8LmDPP51G0ECmdxmDnNm ++E8MLVLdOfBnbuCKryeZ3Tbd/D5B4GMHe/zrE4RsTOApXCl7DsBu80g146CSVCUbPlOo80WkqnxW +ZqoOXftxb2uqkOZYCaWnArpjsKm37kZG+ewKTePsFDgWDeHXnD8zZ3McdE21LOMZ2w2fO9ia6jq7 +7bvsHeNgpApqdnP5c7Ob9D36RqVnareNpApaHCRSNQ7i0fAiDlL68YtKCvejzVo1U83JlknFXl6p +YRbKdF6D1NhwTOORgbKDZJhWqB4vMMVBSfWEgM8djFRPCAcl1RMCPnZ0Un2GwG6zSJU+vFMOEqGu +rKk6wRYku7eZ6paYVqgeLzBFkGof8Lkg1eUIUu0DPhakuhzYbRapbokpB2UzVZudJhI9yJrqDjCt +UD1eYIog1T7gc0GqyxGk2gd8LEh1ObDb8Ug1zVQhVJux5oBkhYPMVFsDVEsuTCtUjxeYIki1D/hc +kOpyBKn2AR8LUl0O7LaYVFv9eU0/n3IQpJpmoyOJ6tj6opLadOdrqrYozN/s8NdvKsAWh1k4ZoGY +r1ywM2v2IvE0TqCOINU+4HNBqssRpNoHfCxIdTmw2yxSVTzjHDiI1zr9upuDRJ6+pmok6gSbyNWP +zFSv31p5uyVVlGfr8g2KKD2VYrB6q3MVZvKn8krNieHopEr71uRPHPjcwUj1mdqohiDVPuBjByXV +E/E57DaLVG2nr/iGXb8i0ldvdIR34CCF23uqi1+pAWk2etA1VRqPz0Px4i2fg4Jg74c8LAzY6zWf +ngap8p4lL0DnMgiJd+Ke4WzvYKRK/titLAdZ8Q7mcwA+dxBSdbuV70Dz/uVztNshSbXmW9it7L/P +APjYwUgVmxXv95rsmdptI6nSf9dxkOw9vOIpDlpEqkozzlR1tBmqA5IV9rKmitLMTplic1dgH31I +FQLcLaRZ7Eq6DNMKpTANSPaFjSzuQNKS5caRzOI1SHsFfMlGxjCnIy/S8lI08k0v4xOfelAO5y5H +l7J+kk10b8DilY2N3fI8KY84xM3KbpHqkGcma+jOS9Eb7UZ8bjpwJo5cC2YvvtIip6umy+E2ysoe +67Oie7JbUZ8JyMfrk8trPkNehd3wuRqpVtuCMmq65+3TggYz63zYiPSCfVEJu+GH6JbnW8JtVMpL +G5FvTfcSlFWtT0qfxxXKtmiRavWLStJl2ha6rpQzQfrYyOhbpncmgyQ22G1SNvGtPkKW1nTf1AeA +616UW9qoJsPHqqRKnnPsBlz3Up6DdnR/8xs5ZFzbp1h1vc5uQq0+piM28rQc3Y/yeDWQV1kf0lfq +U7YFdptFqjvnIKUZ11R1xO/GmarODzZT5Tq/S6AT8Gmo1+3KgGmFPGzVwCPmymqAPL1Tcq2yjVTt +E146lvFL4ASlY+M05UwE2RyHA+heOjHXk3J0XdSzOVOtlV3VvSKrQZ3RSCC7GTEZdpvzhZtq2UKt +3ebaraX7JE9dF3nic9WZak2fVjk1WQm3W3YzMpLDnC/cUEZNp33YrZa+KKdFqkOe2bXJhLm6l8BG +Tg4uU37YzGT0t7zdSlD2jPoYarq30KxPIS/i4WN1UhVqec7VvYLRblmdRrttuhkBtbJrPlOTtdCq +TzXPx2vsNotUmxwk8oODajcpGaYcpHS2pgqJ8rGHRKLAZq2c67iXNVUqJNK059gYjgVhX0ulQg+q +0AZnmFaoHm8noMEqd2v2VYy5HesJ4aCPf7FbKUf2DO2Gzx1sTbVmIwa38kbsGaBJqvsANsrtZmOK +MIcYnhjwsYM9/uWG5Ca7GQHY8ZQf/zJxcw5ixuoEm3PQGp+ZchAkKhzl279AGeY7rUx5QIWo6OIK +1eMFpjj6RqVnCnzuYKR6QjgoqZ4Q8LGDblQ6EWC3jaQKFG+3HARpMlNVGIRqs9MckKywlzVVBwoz +sK9RvIVpherxAlMEqfYBnwtSXY4g1T7gY0Gqy4HdZpEqoB/vjIMgVeFoM9UtMa1QPV5giiDVPuBz +QarLEaTaB3wsSHU5sNtsUt0CUw6CUH2meug1VQcDk2OdrIJpherxAlMEqfYBnwtSXY4g1T7gY0Gq +y4HdZpMq/dixTlbBlIMgUYgV8tTxGGuqTLt5pr2yseBGBfOc+zbJGxWbVqgeLzBFkGof8Lkg1eUI +Uu0DPhakuhzYbRappj48cFC2ftrNQZCmz1R9dpoDkhX2tqaqStjnoZgus7WZSlEZzm91tD8uT/JK ++mmFpnECdQSp9gGfC1JdjiDVPuBjQarLgd1mkWqFg+yLSryf2sVBSsMM1WajpIdEFe8gM1UGJDG6 +bWfmnG//8loN25p5P+gV75RJgYf0RSXiFHlMKzSNs1PUXmV4hq83gIOS6gnZDZ87GKm27PYMbXdQ +UsWnS79+rnaTjx2MVGs2wo7P1G4bSZX+y45fPgDB+Vv5Znq100i1i4MUv/XtX5u1JpLdy5oqCuoO +wSrF1Jup9vgNRsn5ukUiWRSt5TGtUBZeu7PYgkDsiyJvhdzBJMNI1fcwS9Q6uslqetbrO0GrjtVy +VmVNUp2bp8tLWYmbio1kQxxu1vtvzbK3sVtCLawEnSm7xueqpFrLc66shpqNlM4+/MAHIfK4LbTa +ciLb0m4z2mItqc7Os7iugTj009JG9vEWHWflMdduCaW8hmr6GXaTj1VJ1crenH6QFdct+AdZ8vjy +P/PDOcTaKrssvyZroeh/g6y4dmR5YrdZpJpzkH9RyZ+WMktdzEGQqBOpwv3os1Yn1r3NVLlDyCrE +rNWeY3OXQAPl55U8phVKYRiXPLO4Bhlr0ph8MaPWcCX8i0oMcugu2LXLa2lyUHZZDtcanFdkqd4r +shZ43l/Ls/wKiJWzKmuSastuVd0LWQ3Yi8EMuN0SMRjZ1tLkqJWDjUq7gbl2q9ZHKO1W8SN8rkqq +5kfZNajpTpw5duOGjU6IjZLd7AbF/a1stxI1PyINetbavNHHVtBq81o5pd1apFprC3TBt1dkAnE3 +1Vv2tUHL+yR2UxqzJbKNH4BQGWV9QK3suWOH617Kze7rZfhYlVStLUobSVZri1qbl1D4aDf34ySz +vstN8Vq7CS27lTZq+VEJdG7aaH2e2G0eqcJByo88IdWCg1b4qJLHlIMgVKUZZ6rYMMfQp/ezpjpW +SMBZnFR96k24DNX9LzWkz6+3BN9dNefK5akDTwbTbTFX91a8GembpLpt2SUaNhoHuEy2CLXy5+q0 +BfC5KqnuumwGtIqN7IZkzgwfzNVpW91r6QtZk1RbZW+jk248JjZixoU8l7Uwt+xtdAS19IUMH1v0 ++HcbncxG8q9cxo0cfliOEzVsa49tULHbbFIFiYOMVMfHv8rHOWgRqQr2nqqOEOs4Uz3E7l/uBFhT +FbHadJsK6K5q+OaiKmiLxAqfXaFpnL1jjrM9QcRGpT7gc0fdqER7PcM2a5LqPlCz0XO1m3zsYGuq +Lbvl188E2G0jqYIWB0GqXRyktL6mauSpa46GJOO4lzVVwKCkRrPtzEzduUZOpZCBNY06rVA9XmCK +INU+4HNHJdVnioOS6gkBHzsYqZ4QsNssUqUP75SDIFEnUoX78SBrqjm8IqWsJs8wrVA9XmCKINU+ +4HNBqssRpNoHfCxIdTmw2yxSddT6MrINfXzKQRBqmqmOJJoDkhX2sqa6A0wrVI8XmCJItQ/4XJDq +cgSp9gEfC1JdDuy2iFQ7MeWgNFM9/rd/s+fV40BVf4adY1qherzAFEGqfcDnglSXI0i1D/hYkOpy +YLdlpLorDoJQ00zVydNmpxyzc5up7mNNVU5iW5bv1NH4+INVBibXtU2hhTXPtKcVqscLTBGk2gd8 +Lkh1OYJU+4CPBakuB3abRarrOMjeUxV4M6WWVphyEGlJp7CcRJm95muqkOpeZqpUhh1XbNtmhxVb +mgG7fwm/UcEf09csyrTCtELTOIE6glT7gM8FqS5HkGof8LEg1eXAbrNIdcJB8k84KL3WaZ8shIM0 +XtbSTzkoI9XJmioEm7CXNVVl9orK8J4q51YRVYhKQqoQqb9eM7tC0zg7A+8Llu++iZDsva5dv6d6 +AByMVMmf9wPzcjjHlsU7mM8B+NxBSNXtltuI8p6r3Q5JqqWNsBsfL0C+zzbbA/Cxg5EqHxx5PX1P +dTLuPQNgt42kii/kHAT3wEFOqhoj+aD+Mg6CVAVfU/WZ6kF2/1KJ9PEHm3YnUiXMiJWpN4y/6MXb +FKYByf5dIItr5WkgXMlLMu5EWjPhFfiXbPzLIqTlGnn5wnQJLxtw7nLKpfw8bmrIFVkDFq/UnTur +PE/Ks3JWy26R6vivDC5z3ckjS89L0RvtRnx1SHMiH9AEOi6fjDP71dLlqOhubYhsRfdkt6I+E5BP +rc3xmUpb2Jd9srLxuRqpWtrct4DpLtR0z+PVwACH3bAR6QU+QGIdExn1zPMt4bqX8tJu5Gt2K3Qv +QVnVtkjp87hC2RYtUjW7lXZXOZM859otfWwE2LXpLZnbDZLYYLdJ2cQ3u0mHLO3sscN1L8otbWSy +on3wsSqpelv4NajpDlz3Up6DdnR/80kCsmQ3+0zrOrsJtfqMfc3TcjTdN+gDyKvVFoXdy7bAbrNI +dSMHKWzpxx94ZOwz05xYx9mqjj5TfXW3p5mqFLG7hPshDwsDMlL3499amqpMKB2hhkQOK3fAyESo +5nB53BpolLJhKLfWWI36TkC8UnfLsyIr8mzOVGtlm+6lLCGX1eB2g1RdBmHQUTfdjAArp6JTtS0r +shpqunNde+JQ5InPVWeqNT+qlWPyGXpCoNgt/wpQSap5/Brm2miR3frybJFq1UZc9+qptPaN39xu +Jkt2q7VxiVbZNT1LWQtz61OUg49VSdXKXpBnKauBwZ4+mZfP+Ibd8nGvhVo5NRvVZC3MsJGhyBO7 +zSLVDRw0fFGp8/HvSKQJ+KCT7F7XVLkzQHGeZ79NFUqwuwTuJBoNMK1QPd5OgA7lXS7ncwj1CeKg +a6plh8RuyA5R9o6Bzx1sTbVmNyfvfZe9YzRJdR8ofcvtVvbfZwB87GCPf91Guaw27j0DYLeNpApy +DrLNSttyUEaqI4k6dO3Yy5oqUIY2zRahjpVBxjScClKZMk2GaYXq8fYK6VCVP3EcfaPSM7UbPncw +Un2mNqrhoKR6QsDHDrpR6UR8DrvNIlXF2y0HQaoQtBOo4gCfoebHvX78oRzYuZ4x2E8rVI8XmOLo +pPpMgc8djFRPCEGqfcDHDkqqJwLsNotUHTvjIAgVYlXYSKaNY3xR6bQQpNoHfC5IdTmCVPuAjwWp +Lgd2W0SqnZhyEITKLFezUR7/GrlyLMDj3718UH9LTCtUjxeYIki1D/hckOpyBKn2AR8LUl0O7HY8 +Uk2z1Qe1GTNSXtO5f5Bc5x+RKQxSVbvudvfvDjCtUD1eYIog1T7gc0GqyxGk2gd8LEh1ObDbcUhV +sg8iUI0RRqB+XDnXEcJlDbeXVCkYh9g5zu5WKnQmBavxAhPw2OFOjWuL8pXwQAt3ZrdLdgue1cID +Vaivvv0gUr3VzYjOq3ECU8hWr98+GLGG3ZbgTmR6IA76VJAq76neMzsVbJaaZqrjebruJdWHb9/M +KW73gTf3493I5++Uc1+PF5jg9buPdkNCZ62FBxqQz2G3N3eVsEAbstsHDT7vRKycV+MEppCtuIkD +N2G3Bfh48enbwA2fvh6GgwZS1c32q0vhXESecHMj3D5eg0vFeXe/jFS9QuD7HkH+X9OxFh5oI2zW +h0P49Ski7NaHsFsfsNkhuMHb57M4z0j19q1I9QyCHODvq7569Sh781qkunCmenf/+eLDwxdbfwoE +AoFA4FQB1919+DSQ6vv7YSYKeV5eXfCZQ1tLvboeZMxU7xVnLqk67Bl2IBAIBAIvAXAfRzYqvXkz +ECh/TO4bldj9i4xHwUs2KgUCgUAg8CIBqb5nZgqxJkJlUxLgHJJlw1KQaiAQCAQCG2CkKtL0R75O +qDmx3guEB6kGAoHAC4NIYue4TP/4YufZEfkk3GWV8J40tfD8WIZzXJERLzsvw4WRVFfItMCDsHRN +NRAIBALPGPzFWoHLm+G/TM9v8nMddV3KzlOaPPzVtc7tu7r8nZzOL/k7vpQ/58gIA8T1cPtITQrn +eJXyWkmjOGOeOlq6LE1eTk0Pyycrx/OclJPOPU908fDLd8N7qpNZqoiWx74ZXvEfrluR6sjoOVCm +kE3iZKjmUSLLc2PcHcMaZAtsm34b9Ja91MaHbpNtUOq6L90930n+6qgr14K306506c1nTrrZcSrj +QIlaXqOskX5T+Yfqb3PssAlb5GEbaJZCtpnMsHR99eXrxfXXbwO+fdd1Oprsu2E8n4R/S5/nS6+Y +2Mflde7fwn0nQqqFl+e5jDVKO2+kIdzz5LgSnpWZx/O0/t3ePP1K2jxuHq8gVdmOv5ir+/AWpHr9 +8PXi8u6TZXx1/0UZfb64fPtwcf35m67VUB8V/kaFq4NcfVQ4cSzN8NUfGN3KIY+zYbAhHvleE1fn +3DEQbnmO5VUGJgf/7M5UHfAXP7qT8v/Ps3+C55k38SifuyxkbhiOb5Te/x6IcL4WQ17kAXRe/XNb +ycZ0npb8XJ90JH/7vz/P0+O+HtK7XmM5wPPlxeKyXIfuruxuiXy5Y6Kc2yGfsRx3glRP+wd+v3b9 +FddsRAfkSDrlb3LSUBbx7Q5RR8KQ607O5Oie0lgc8uSaOIQjrwH9qbN0tuuUj/9Tv9mIATOXUR/i +mj/gY1/NB0134vER7Dy9yrdr9BmvFQ8buRwbUw5y+4i2ZJt0V7i99O1+objogc96/xjiflCfkF9/ +kI6vXCbQTpTj9dkW3gbe3m5LZNSbOGo7s/dNimPxU1gJhY99EN2xN31Xg8b1J8lkd6s7cuVP/72S +/JzZwFj3ApKbjb58H2yk/Eh/+U7jxyf1c40j2Ig8zGb52OF54DNqW9pulHk7Jt80v6Z9Af0DXxj7 +dIqLz7n/JR8fbNOwh9rx8oPGKdpX49eg+/CZUHS8ynWsAXsqHfUc7IZ9Pw9px3HvsU6jb+LXSfbm +HV8AkzzZl+s76fTuPa+B8KnSiu6kH0lVBAHBvH5zcZmTKgQKaer8Sm0zXA/hgzwLT2lGooKURiKD +GDmmsDE8EZW955nCx/8o9XhJZmk5Jy/OU552LnC0PBTX8sxIdCw3HavhWRwv0/P3vEc9lZbdvyWp +ljYG8uNuUr2RgW++/7BOdPPzz+YUOMntj58vzkWmV+osN2qASzXyje5sSEOet0pzLqeHcAm/Js5b +NbKIlQ5GOI57o0alE/Oo4UZ5Ev/6s2QrA1UBOplVXLrTcRhQ6DwfBnInzIwxdjbFhTzOdU2HgpAg +A8UxR1aeloYw0nDuHTYH+uD8hNNp6cDkw4BJA+Dk5EGnJp8HydCNARndPC15ISdN6vyjvjYA6Lys +O9cMAJRJHmz/tnKke8rH6qOORHwv08jXBg7lPQ4oulY5Z5QNSK/8zZ7k6WWjE2m5xr6+nkB90YE6 +5Lrzb/weP9cdMKChzyfioINkpPNBnjbFRqRHR9rKdBvqQ54M7OaDupmz96ofFGYELWB7ZHaToHzS +9WM5lDnkbeVwXGkL+SZparoD6k1b27UG1kSo2H0kVs1QGTBvpSM3m8ONQEoPiVMf9HNZL6QjtrGb +RfJNcvNz3W2PdcDmalurJwTLoyzSjHVM9dG1EYD64jn1ERFcafA+h1DV/xk4uEZOP/X6jmloz9xu +nJOn+rWlcRuJTK1/Kw0+YGQBcd8qf26UlPZS7WRjB/XzPKkH9k952zl+4uVxTj9XPc3HCMcfqTv+ +RVn4OLbCX7k5Ujrvn4+6P+bJDb9NKKiv9LUbAOQ+Dir8MV1Cds1Yd/NdfsCYSLnS5/bHD6s/8Rgr +IW0ja9IQh/EBH1O4Eaj8/E4E6uT5VqR89+HrxYeP34xY7ZFtKm+E8jEbsEsVcuHdyusbI0nHI4k+ +kmdOqKvhA9mOxJaTnRNZfhzD07nH82OeduWYnbt8zJOjx0nh5Qw1z2e8ztLnBO5xy3Ts+uXVGd2E +mP0Ye/DD0sZgHanScfwubAXIhBsZFAcY8MPusM6VCeekv1LjIrtkkFEjGGmqk0DEOCKkbJ1OjoGM +zmLydG5kqw7Kc3yTKR4ki9w63QqSnjiOD444o2QjqdIBOSpvI1QboBUOieC0dKp8YCE9RmFAYmAn +PnJgZWbAJlau8tC1kSrxGZTp0ORvg7zi0qkZzNADOR3d0xJuaXSuQcTi0XEow9IKebkGlY2culM/ +yrXrVA6kQf6QMnkSh3SUY/ZI8VKnHfNCP+SkxTZ+M4GcdORLfOqgeCOI67q7rTjaecWfKM91UN6P +cQXaDEJ22wH0Jn/TaZj9+SDNgGfvl0Gq6EedsJ/Smc7YGhnw/ABlMTu1G5dkF+J4Xcmj1hdIy0A8 +tpF8VX5tRCryv7rXDSUEqzAnnasHBl3llZevskZSq9loFoZ02Mbq7nkjw8f9aYKXl7cZwG8Ip+09 +va6ZdUIYzBzp19QP4rB6qb7cQF9/Hfq89dOsTw8zzlRX5UVcv5mGLPmeqz2dUl5GVjqSHgL1dgM2 +Noh4udG2dT2vB7r6zYhkdj62RRZHbfjYf4f2tWuVM/Y72h470dfzcQBb4X/p2kiP9pU9aEubcSrM +2pd6laSazu3mQHEYx0g/jGlKJ32MnBlz5ds2EdH5Sh70McV9I0KGNLHpa50zO/U4t2+4li5+AzDx +I5EEu1SdUNP7lZefB5J0Ah0Is0WyTqyP5yMZkS8E5UcnJycmjh5eIy//E/AVmeB5raRJR5fnZZs+ +WdgkHFkWztHDjWRFoCvhKY1/PcmIVW2JT9TGBPEcE78pqaoz2GClOyBIcQVJZuSpI05ujy4EJ1Vm +Djib3bUKZnwpsEKqpNdgaHnhYFLCSdU6mRruTI6AnLgjqdLxNOMd9XFwp6cy6Cw2EJpjYRhdM2gg +o+NQUa4ZDK1T6RynLUk1AbnFYUBWmC3el2WrEwzlkr8agfgMDEaQOicPrnnsp3oM5TPQSSfkY1qF +Wxqdo5vi2eDOAMCTA8qutIkNNOhKHYnruqMHZaszDWQ0lG1xkl0mpEpa7AahcEfPTE1pc7sM5Qz2 +MLsYGaX42NHsOuhrZWmws8Gy0Ht8nJdsMpIqZZkdJFO6sWwGOGzF4Ke2os3tLl95MTD5oGykSzza +NelhdpC93T9spuJlub4MyB6XtLSR7GRtXtod3TXQGamOthsGRfNTDXj2OI9Bl7VUhdujUQiKAY+y +HaletONKGUtBG2BzfMrzVrktUrWZGmE2c8vCs7Toa3qn+mNnq5/qxeDBzbPdAEN6IhyLx2wM8jEf +GerOIGNkpHztKRS2Ub9h5g5xX3IDonGCODaeaPwxfSAhlWtjCrajjVy/OaQqWHsTZnLVfewXyeeQ +09bYAVmWtoTVX7peyZdGnejXGnvwv9pM1XyHQRY54yA3Fkaq1EXprL6CZjjkbxOaLL2T6jvlA5He +vH6w2el7zVgJZ5x0UrUJB36UxtYRura1Qb5hCzk4qeKvzEZHQs1JdIA/6p2EC4+zPunhhGakJPlI +VHl45dzD7Vyk5uEu83hjeMoTMjS5zr1Mf0zs8Sa6cZ3Sr4Rn53adhZPXyicJ31ycl/Z1qF1s+UNc +R3sr/vAzR6YTQF4lkAs33J2qA5ydvRtJlQa+lrPQWY2YaRAVZKQqBmfAc1Ll8QdH8jTSVKc+tzQ8 +FtHg9Hm4e8NBLFydwfJSQ1r+uX46J+8qqeKQDPTK0wZOG0CHTsZjveEcmcJ0HDuEyjWHJS8fpBTf +Br7CNla2lYshU566HgcvBl+ldQyPnHRMujymVTwjk6SndXBdK3+ffXFjkpeNLpav60q5Sddh4NSR +/CG+VE97LO75O9GSB+WbrsqLAZp6EI79kj2GQUnXlEN8BiPCOfd6eN6ej3S32cbNqu42eCTbWHwf +4CB68oQguAaUzZF/knG76ojt7ckGN2I4s/QbBrkh/mhfbmDQmfTcULi90U95MWhZGquD4voNkD3q +UZvndqf9k+7/f/beGMaOZTvPnff8bNmUQgrGAAadKCBu4IBKnBA3MqjAyQF8o2PAEW8gQAAFZUxO +wkwQAQEKqMiAGekCTByIeNEFEydU4OCCgRITBh4MMT7xOe///qpVU7t29d7dNbNnOJs1wI/urlq1 +qurvVWvV2r13D7ZTuFPfzuS0sLjGweIkU1DNzlPZDRvMxGUG81G/zmIa21oNteMZpLMq8Z/GI8BB +BFXmBD9PVYYN5nvqj3/hhjbMKe41c1AmExsBZ6NsmgmAnpc2meo3ZW8pUCSd4oHNjtaz1z3jywEV +nd5Qay0/eCDfoeD5mMc6ZKwC/uSh1mYKOshrTVMmbthAca/L3Bj76qAa7ZLtBE++18jBEXaBDmSj +PTZQPfJ5wtidSSojIVOV3SV7q4KqeU7AFpKDzfdcdQ6q0uNr8fXsJ82LNa55OmCJX/SFjhJU+ej3 +le6H2vAx8GJQxY4a2+CY1v5T9VMF1b1MVXPIgTYFzzrIJrkScAmqEaic7Sn4xPFQINsJonGuo+Wq +MtoWXTlIRrnbUC/Zum90RJ9x7NZXMvXYSplQl0VQJctX3UMeWSytVe43tsu9iT/fSIzgADB6dqoO +quxatfAwfC8mGQ0RG9IJhDgaDJpBOKiyOFk0efG6jMF40SZZ7/hloGQJBGobosps0Bjt3pjyJAiW +OFycB9c4/bxwXI7T9KLSwsHBsmMl28K58lEjY8KpE4RwMLmNFxd6IAuDb/pPgVl6Wag5iDug5QBq +HTg26XdZBDtkOeLk4Z2+6RM5xkY7HAFtGHOnb7eLsYZD4FmZ2zCfNLadgFGNww6FdsyRc+QB9fDG +2ODICzb6ydd1UI1g6rFnjpGPfvbGnvSVseRgYH3wTz3jgFt0BxeU0Q+8Sg9BioCKU/Y12SP90XfM +G515zJ5jO2Y4gxdk4xpHx/M1ja87dmTgxV/R17nKHinb4KPRCPQlmGgsHqPWSji+VK4j/cR92+lj +KzQ3OM88WZ+QnqlqnHCHjcec4Yg2Oi9BlTaU57beAOfsifEzPwIAH/n6uxNsHMQ7a9lBReueOT+V +s2ZutPP3ILI+6yQjQ5fG4TWtPhxUVWYOJe+PlOUTeGbrNviOOqjCm+C1i17mwbnGVvcV9uq63M7X +6NE8bXfYILw4KEu+fqZKHfc/6yNLt69jPPJrj2IdqM5ZrDLO1K+SALiQXNQbuk/F/8GPsPNMFZ3q +4yqoqm/sX+N48TJ9GQmfS7bKM1RkCKrfPf/ga/RFPzvQxu7itfy1P3l6VoKqA2jGfhBNiLrdeo5k +qhobQadkixyFCGBxjGAY576Och0jkJUvOS21qYKj5UIm1zt4xpgor/SU62psBM6iI8vWcs91js4L +BVUCKrr5+Nf20XBcI9/vFFH1FwWHwK6bxcBnyBgBwRNjccbADi4bHkbp3Wj+qIQ2XpRaQA6qGB/l +LNAsx8fIfOkEff5IBJ0EbgJqbaAtpMtBVA7EO1GuHTA0DtXHx60pcEAK5OkchyKH6iBHHQ6dseG8 +0YVMOGIWWa/f3K60pVz9lva0RT/9VzpD1tfRj2RinpZhfIAxR581kK3G6rLcj/XjPNBDWdSrPNUn +He4XBFcxvnzu/ut+XCcdlFNf1Vk/zgkZ6plPOCnqauSxFZ3Iqo3L8hjcf9ZXxhVcSCeZQLI72Q4B +iuwaWeQIsO6n0pn1lTEjV99XMpbc3vciynvA4dGe+XEtZ+igoKDCR6LhHOMLPc5WyURwlgTx3P/R +ftZA8/GnEKGP+cU1YO7cH3HnMWMbtKnlOzpTYNW4yS6RoUzyzNGZGXNRmQOr5sc80Y2DT5uKzE2t +E47krO1D5IS8eeYjUAfX7Dsku+M74DP3X+ZVNiNC3Avmlcu8AcO2fM/VxtcKqtyvrKMcsVXsDI6o +R2eMOaCy+MTMY+f+qj+P+51sEE40N/yZ51Dr0Ll9pDmSLL5OGzJ/Qodd5PkzzmjjJIH7pnOeqfIs +lU0kQZUgiww883EwAfeJ1oI3bbl9AfNi069NRPry3RN/Uelmn6nmgBaZZgSnnYCX5aLe5/CPXASy +pn6nDeeN/te53sGWNrnefQtlbNRTltuGnOsrud54+fdvzA3uIgFoOe4gh9R1QbU1lnLtSF1d1/U7 +ZVfRvJTXMjtlWWfIH0Mt2zs/pIu6pTZr2rUycV2X98rauqXrQzjUdqSvXpsoP1TXOz+E0Ndr29PR +LWtshPNWrtduqbzXfgm9fpbKlurq6+uipz/K2mN7voRax9ayHixX+YBSlnGorK4bKauv47xXtgTq +u2PPNri2fS0X7Y61rVAHT867wTRQB1UydaDAwU9qbvaZKkErAhTlcazq2/Oo97kCmI/5GoRcqc86 +6cPlOncgZCxRnwPiTn+A69ze8lFfnfu6qqddBFR4O1lQnZiYmDhz+CdaTdl9xV5QVZB4+F7ZrYNk +CpQOsBwdVL/k37FeBdlS7/OcqZbgmQMaga0EMYGgVweyCKKlbcjmc8qibR1ECXxFVgjZnb6RC9Tt +6/rQkc9Df92+6NaxDqg5qNbfCD+EHFL194c//MHExMTExBnhb37zBxe/EThm/OFvf/cHv/jdP2XU +5wm79fu4+FPpBT/8zR9c/OpP0/FPdYxy12VQvldPWZwLIRfnRWddX59XOotcbh/nlLd6armd9lGW +6zlSXnFm/FJlPY5blL9e5cTExMTE/cQvBILBwaC6HSVQlaOwc90cA3VwjOu2rMWSzm6fVRAt9XFc +KI/z+tplkq84M4e/UlmP5xblr1fZ4A//VDckR+s//JXOA5Qbv9WNrOp/+G0CZQIyvtFRL12lbV0W +Oml7bHeAbiYLflldRzuOlPmY23Be10f7Vl9d3qKVi/JaH4i+6rKeLPqinGvqKIsx91C3r69pA0IH +R+opi/paPurrc46lnY7UgT/M5W0d57WOQ2Ov9YU8aMuQrcsrfbaTH7CTsC+Vt23rfqKsLo9rsNNP +U9ciZEPuIsaT7VXXlHtseV3Y9qN99H+sn7WIucccQ38NZKgPDn0u1HoqXM3natx/qLYu0/rckc1z +TDKs377eHY6iLNb5ku+oZPfmCTj3/Gq5XBblRYa63CZkQlfUh44G8ODxRD86pnHn8uy7dsYRbe3P +smzMM9rntjttYuw6/4V0AutRm1/m++FztQNlTC2YTwSE36hfMrdf/uoP/rCTne5iQ6a6c8zlpT6O +ub4ua8/bsvZY1xtN33V9Xd7Wu6wqr+tLW45w9UvxJ97g7lRB9Zf/JFJlBBcXf/oHv2C385vf2dii +/Bd/k8tkPL8U+RiLy3+rc8okF4bnc4xMbTi3fm6aJuF26KSOtmH4S5AeG08Yl5ytwTnlkBFHHB51 +tEGec8q5jjJk/1RHyiFzabEhg6xvjI4EnGjDmD0OHTmPm0IbZDmnLXWhB52UR5uob/sN1GPlOvrh +GO2jn7qedu6nqqeOc+qRDY52+snXHKnnPNrDEeOgPPrmiEwLZKMv2sR9iLahP8bosnyOrHXI2WAv +so/SHudUzwc9gHPqg6d6zNhD3abUZdke0Ilctgvs02PB/it7tR3nMdpxVmN3+xjPdeG5Crlfj4s5 +xJzC9uIaGc4pCx3VfHHSjJm1XK8/rg2t/Qiisb7tD3BAtO8ECnSgK7WXTvoI3wBP4Ts4jzLq8SN7 +vFW6m3tR5Jgf97y+Zh6A8+CI9pzHurF8bpP1xZiuxp5kXB73l6Cr9oy5DXIuz37OPk/1bpd5sq9k +HHkz5nF4XfzwB3/6w+8M6gigf/Ob5CvBn6o9121/BYyTORIUCBYXFyr7Zer7GrgKSBV8TXmTLbYo +QQtIrpbdqWuuW911Xalvy6rrtn1dF9euz9dsQOCLwOqgKoR9HEP561U28M3XjXRQzQuMcgKgjUoL +zcTnxYAheDFlY3LwtAHkMhlJKUcPhivjQ8b1GJ8WKuX1OPYQDiOufbPyNeVRz7EE1SzDsdZTtwmH +i3GGTI3QSzCNNszPZarnPMqWghly3KxoH/pA3MhYbD1EO86jb9qEzpqLth/ko7xuE4sx9Lb94ACW +dFJG+8WxZx31WLmux9lD9BPtpTc2dnt9xHiCZ+pr/fVYqdsZu84ZO3NYGju6gjuVeTPodaEx5cAa +bco6aXXRvra964Dxg7qMueJA6Bfugr/gOzjinLlGuXDF6596vcdmlyP1DgR7azptuqkzD2rn86zT +voOgG76DtV5xE7ocIDL3nLusGpuB/dXn5V5UYH6FXx1j7mBn45jLqA89HGs+GHvt98SPeWWe4bO4 +lmwE1p0xMZfMma+Z18/MS32iI/MbOgzbWAqcdVD9gb6yzC9/9dud6y7QS7AgQOQgcfzj38P1V4FI +YyyBKgekbqBq66OslsvHxTZ1Pce676q+nI/U5/M4VpztbLqOIf646TYYnAKBbAep/Jf/9HM2LhlC +XhB8bGvDl3FblnLJmHyMKWeyrtcxtdMCpUyLupSrjRetDK600bl1ynCL/mpM3i1jiLVTBBikF5XA +wmGRch1O1nU6xqKKdoFom51O2mk2fcND6TcfuY4FGueMjwUW2UKMqbRVfd2GI/IxPvqGz6b/smij +Hecxz2hLeeikjL45j/5CRyDKAe0Yu+tyefQTc+CcY9RxREfuh/v4CzmEeux2rIyTvkM++o9xxzUI +WfSrznZqRyZ9eZHb6YZczCHLeyyU+1xH62yu67ED6nRMdn81duB+aIcOj/EqqHpTSRuNL8Yf6ySu +C/Kcko3v97MOKbPzmJlDrZ854BxKf0K9sQt7rNtkRFBFN3ZuntWfs1bxGeub81jbETQ8nmw35oRr +nUdg5tqccA99TLaMXTjwxjg0J/h0GfOLcvOfdBpxf+M6wP0scqr3tY7wksfkc8oBeuv2FWL+V2O/ +up8lqFbyjBfenNFGWeYq2WneZMAbdsa55GsdycYUVMUBgZOASnBdDKroYWy2iyv7sA1EcIigynyu +gd3Als/r6/bo84w43ynLwbOWLefoqIJfOdb1PblcH+i2r85bfaDm7Ve/so3216rKxL3XIfci/jBg +AwPHKGpQJnhh5AVngnWMoOoyrjGmvOjaoGqjyvpiAUa5B6Y20afrJedBo2thXJ5EOEXOAWTViwg5 +ru1EclnUcYx2gVhsHB0U80Jp+677Df2q83npj/a5jEWOTsrrttEmypCPuQl7fSMfC7bXD33s9aMj +7TiPY9WHEeXRti0PZxT8IvfbfCxjV33Ruz/ucs9irOiOfkI3+mJ+HKt7hY5kT7KL3yS7K7rgF1nG +QrsYI9eUh07r1XXUMYasP+4R4zRi3IA2McY4z0HVdo/TxV7laKOfWBdxXUB79Oh8p4+tQI+OO/cL +MB8cRFwjFxwRUDmPNvCCjiwbAY+1y7y87rU+f6m1n9ZsWpMOerGOq3V+tRnT3DIvSYfO2WjBSQ5G +1oO/oC7sK7dLcuqzcC3YHq7kfF7XB2J+HouOYQtxn5HhnHJQzd/6qutyf1UWY7JeoRdUKY8NpXVx +DQf2aTqXjPWAzG89dyPba8lUVZY+/s19SWebqe7ZhpB4+JXkq6CKD+d+LOJwfQk63UBVoQ1UdfCq +6+vr0qY51jI+Nn23OFTvfjr1bZ/B2R/+QjxKTva05xOAyrCBcg/jzwG1vbENuPlkqxgHR4zC5brG +CB0gIT4CIR8fqdzGpKMXEZ1Tn58NlGBbG6gGmQywMuJqHDtg4cTi4BwjxiApk85Srv5dFvLIcYRU +9LidrjmPNpx70eoY/QXQjQyysWjpAz2ch6MKPc4SqjalbZary9AR+qmr+w2EbuQZI+eUR3vq42NM +5kg9842AgBwydT/A7bOe0Bnjph3X6Kgdc32sxx7yLaIfdHAeZfRDW+YT9yj0cWQ+krUtyVawkeLQ +Qhey0Y/nK8Q1qHUyRmRi7HU/IVO3DdgpA67TWDwOyYezpI7jzhhroJ9+2/IRMO9WV9h2zIH+gvOY +b92G+nye1lxek3mT4CDHmlZ9rPMSKHzv8pptfQh6hSuOrh4dOeOln0o+nBZ9X/mORmd9TznHbuI6 +uGB+1MUcuc7jNAeU2aHmMuo5hp7q3D5NYzUfMQ/1eXV/mywzI/EiOTYf6IA/xirdhTf4oC7bT2nP +uCUHH5Gp/sCnPpkLrn8lvVGHXGlbw/dc44vAqqDq/nYgvXtlNaI+HUsgcnASSlCivMkW60BVn7uN +5GrZg20a3XWbUr5Uz/mB+tIP9bksnqk6oGYOuV89jrEL2cdwUMWQbOxxrjb+WFg31wsl7zi96Fg0 +lLMosw7LxEJiMGGYknUbDIRFm4N2kl2YDMB5MGEmHguHMs4xTM7D4GKxxTUGTr1vLkeB9shwDliA +9aKtEf2EDso41o4rdFJW+tEx6mkT9Ywrxo9MPdYWbpPnzbE3dvRYviqv21MWHHFNPWXUewz5HCCH +DOcxxxhvtAldMc+lsQNsDR2hM9oWHbkuxhjzyTrD4ZaAZQ5UhyxADoSuGAvX9bwB/XB0P/kc2SWg +D7kYi47+Eg4ZABvAKM/ZG3a84zDpzzqO9LMWMX6OXGOvzJGysF3GZBvMfVLPHEJHDcmmtZfXLm1Z +q17LuSz7CtYq1zhbfMiergA6c1vzkcvdj2B96qPrOzKfnoPvTzXuci/yNTLMDTDf4CXmizztQ45r +2ta22ABb87gZf4w9xlrb4BKYu5II5uV+VWZ9audsP/u9Ih+2mWWZP19IIniGDNkrZQnSk2V3EHbA +3BwUFFi/2meqvfq6LB/Led13VV/OR+rzedT9IgJqBvel5ThjOKh6x8jNw6BkaAVqF7ADd30us9HW +g9F5XOtYt40daV2227YDfkbDYgDIgriOslo+Fl99HbK+zud1+1ZHTy7K6zJQ6w/U7evz6IdzBwad +h9495DYBZNsxHWwvFDkhruOc41L7us7n1TU6jo49I9rGdYwH9MoanWF/LkfPjqyAHHU717m+Rlt+ +bOytTgGn1rPXMsaqrPR1rJ+1KPpyP3G9UybU46jrelBddz6sy1oPZXmt12U9mKM9f6ByldU6zRn9 +tLKct+OO9R9chkyA67ZsR1duF7Kht4XqVt/fHtr2XDNv89lwh756bEIbNLkma02QrqbeoH0EhMDf +SJagyWYgQLDsHdv6jN3AxrFzbei6nPeuM3batGXozMEvrmu5urwOkrVMXB/sp1NGQA2cKqgehYLp +3nVb1iLqe3LH2k5MfE04N3s9xZpcq5Oy6/Z107jpud/GHAkEgZypOwAQ0JUNX53rqGt/QrBT1tbn +4OLNTNZP8P5VPv+lAttefV0W5zqycdirb/TU53XZnk6Nq9tPR2e3rD7XkeBcfwIKYu7mMYN2uoen +C6oTExMTE98AFEwOltf1vfO1ZTdR35ZxPNKGAOrMvkKd8Qe04ZhBdWJiYmJi4hAiqLbZqoNrLptB +dWJiYmJiYgUcVBU824+Bfa4yvvHN+QyqExMTExMTR0AA5ctKf/iHV4E1gixl/ORmJKjyzTK+xg1+ +cUJEH6fu5xwxORvDtLcxTN7GMHkbQ/B2au5KH3zxKILqL35JgPyD9JrCHFTj97783Gbk49/f/tPv +/uCffv6nW8HvOmUTExMTE982bjM2EPP8hSV+VkPwDJChEmh3ypStbg2qv/ldCqq//af0A+PT4LfW +D3G/o5/f8p8XenITe/jt72wEHLv1EwvA5nScvG1E4u035m2u0/X4rX0pmLxtQ8SG24hBxDrukYPq +hTLROoCStZKx1mV8DMzPakaCKouovOj6pqEB4dxiQifr5wwBVz/IICZn2wFv/qhH9tern+hAXP3p +3/z2D37Jb/Umb+shrn6ljOZXfPll8rYJdxGDXMYzU14mESgvhqjLuU73dCio1uU3jb0JTawCb1Fx +UK3evDKxDiWoduomFiDnkYLqDA5bkYLqbydvG1Fi0Iljw14Mim/6HsMMqueFGVTHMYPqAGZQHcYM +qmO4s6DKpwo7P6HJ1+1PbGZQPS/MoDqOGVQHMIPqMGZQHcPdBFX5BZ6Vlo9887E+91EyvO5Q7btB +9V//xf/7+//6P/+337/4139Z8N/+5//8/f/z0//5/f/2//7PnfKbBvr/z08/ub9e/UQf//rf/eXv +/+V/033TsVc/sQx4+3f/YdfeJ47g4i9//y/E23+AN513ZSa6+A9/8d9+/z/Lx07etqHEoBPHhr0Y +9BfgL9LxP+fjznk+/gcdJf/v/lJ+OPxJ/F0rqOLUaVs7qf8QZfn6AGZQHcMMquOYQXUAM6gOYwbV +MawOqjcdg3S/doKnj01A/Uvh3/1ny99sUGUyf6l2tOUYipkI5y7Lsgu41aDaC0D3NCjdalA9I97A +rQXVc+LtNoPqEm/3lLtbC6pLHN1T3lYFVea2JgYd4GA/qIImiIJbyVQd0QWMJSYVdZQxGS3ETRM6 +FRgLpNRj6ZXdE9xaUA2OOEYZfbosGdV9w60E1cJRr0w49X27adxWUDVHQs0bgDO4q8vuCW4tqMIb +HNW2FbzdN3sTVgXVU8Qg69QR3iKgFlAmkKmeNKiisJ4QEwCekMq2TAi4bdZVg7JWF320C7CHMLha +Nq451rI90Hc7pt44l8bew9r5dHQuBtWuTpW1Onv99GDeMkqZ+Ao+a9keOmMvZWvG3sMiR72ypm+h +G1RpuzeejLpsQeceaGeeKo5cJrAoj81zqZ8eR72yHjyfRufafpaC6lqOlvppgRycwVFbBtq+Wiz1 +087HZRl1WQ+bdO6XdYNqT+eWflpQD2dwFLIczZuOa+bZnU/GsbIe0LV2Ph2dm4IqOm8qBpXgWR8F +22VVRlC9+IsbDqpMwBPSeUyIc45cUzcSVMGBNkPwGEVCrde7uHysZdcCXb1xrh37UvsWHZnFoLqk +rye3JFujxxvna3lb00fgpmUXZFZnqlvG0yJ44xhl6HNZw2cP1K/t/7pyvfK2bCmo9kDbtWNqQbvg +qC73Wl3BG9jS9+g4wcq2i0G11/4644GflqOb4K0tv84YAz0dTdmqoFrHIGzzJmIQbXYCahyrc2eq +p3im6s4FjCXO0cMkKGMiWoibJnRKrLiR9wWLQfUUOCPewOqgel0s8XYfudsSVK+Lc+JNmM9Ux7Aq +qNYxKIIoIKiOxiDr4AiqgMrmJMpO9vEviAkABt7uEsCWCU2swq0G1TPDrQXVc8JtBtUzw60F1TPD +qqAKjsUgznvtMhaDagmiNSg7dVD1JNS2du5RxuSOOP0ZVMcwg+o4ZlAdwAyqw5hBdQyrg2rEm9oX +RtlIDCrBsz4KdabK8WRB9ZqYQXUMM6iOYwbVAcygOowZVMewOqheE/tBVTa+E1CrwBrnJ3umegOY +QXUMM6iOYwbVAcygOowZVMfw9QTVQBVkT/rxL+g5dspWOPwZVMcwg+o4ZlAdwAyqw5hBdQybgupN +xqAIqnf2TJV2PAhmIFwzifohcaO3xQyqY5hBdRwzqA5gBtVhzKA6htVBtReDIvaMxKASPOM841ae +qcZk6kkAflJDPQ+JR3+netNgDIynLYOoA+P7WnFrQdW8dTjqld0T3FpQXeKttcP7gNsMqvDTctQr +uye4taBqjmRfdVms37rsnmBVUF2KQZRRDyebf6cKxFkE016ABSf9nSoDjonEEQOiXAtx04QA8j2n +R3mrC9IO6C+INwDVCxNj2/ISg7YflzXjpGytw6ZtV+fxssWgupa3XlkP8GUDqssyb/BZy/aw2Hdn +nKt5y2jL6jGWsn2d3aC6pHNNWQ+MBX5qjmgXvB3TQX1vjOht2yJ3TB9ApifX7acpWwqqPZ0u6+js +ybagHie2x1suO9YetGMHvb57ZT0syfV475R1g6p1djhqx+6yfKzLW1AfvIUsR/OmY7s2ejgFbys5 +6ulcFVRPEYOsk2MLcRnHk338GxOibUyEwdcTo77XNmNTUO06lE5ZD72gGgbHsZbtoddPb5xLY+9h +ybjaBdAp2xRUF8felPWAjDmqyzbw1uvHZb1xruVNWDOfhX66QXWTzqasB2RsXxVHLsvlbV8tFsbe +HSdyx/SBpbG3/fT63hpUuzrzsS5vQf2hoNobf4u2b5cJbd9rxgOQWeJtT+d+2WJQ7XK0cuwtqD9F +UO31zfUafZbrcSSs0LkpqC7FoKjvtc1YDKpwtxNUAWXCyYMq5yiNicyPf0+OxaB601jiCIO6h7yB +blA9BXocUbbGIX1tWAqqpwD8tBz1yu4J5se/Y9gUVDmPoFoHVzi59se/bVk+Zl5vNqgyYAZfjsJe +UB34+HfiKG4tqJ4hbi2onhNuM6ieGeYXlcawKqhij70YxLnrha0xaCeA1riNoAoYNDsFjlGGLtCW +dzCD6hhmUB3HDKoDmEF1GDOojmFVUAUlBlVr+joxiDYEzTv5+PcGMIPqGGZQHccMqgOYQXUYM6iO +YXVQvSaWgyrnNSLIzqB6lphBdRwzqA5gBtVhzKA6hrsLqiACaH1elwnzNYXnhRlUxzGD6gBmUB3G +DKpjuPug2gTRNrgeylQvf/3fH1z++m8fXFz+UPC3//APD/6/n/8/H+vyPfyx2tGWYykT/iNl+foA +Uj8/P/jvx/qZ2MGl+P7hb/9Bx379xDJ++O//8OCPa3udOI6LHx78+m//+4M/Zl3rvCsz0cV/lH/9 +9Q//ffK2EcSE249BWdcPqvu1EMcWP6D7127/x7q3l/SFvvgbDqoMGOW01YIrk+L436vrA7jVoNoj ++J4GpVsNqmfEG7i1oLrE233k7jaD6jnxJtxaUF3i6J7ytiqoMreIQXAcwW04BoUu1XWDaRwlc5Kg +imKAsTiwZh1MtA6yB9APqmq32hCO92H8RwEyar2ct2Vb0bbleq2+Rbl2TvtzXAyqm3Su4A59S7zB +aS3bRacP2vfG2SvrYmnsbZmuOzr7QXVJX698BXoc1WXH5sr41vKxVm5pLr32bdliUN2gcw1o17Ot +WL91WQ+0X9334L0NuJ/jOhaDam+cq8feAfy0HAVva/R2ZZhfO8de2QIW++3prK9XBtU6BmGbJQZx +PhhUrVN18HbrmSqKaReICQGu3XEl30E3qNImBlmDspakXlkPQUa9WOOaYy3bQ68frttx9sqWsDSf +FToXg6p19so6OtuyHoK3mqNe2RI6Yy9lO+PslS2gO59cfqxM6AbVrk5d7+nslPUQdlVzxHii7Ng8 +l/rxOHtlK8bUnY+wpp+loMr1ns6VZT3UHPXK2rm3OMhbU94r6+GgzuNl3aDa07ll7C16HNVltd9b +wuJ8mr6XxtkCXWvn0ylbHVRvNAZlPXBWB1T3U5Vx/I+nDKqQ0U4IrJhUN6ieAr0dG+dt2T3BrX38 +G7zVizJ4W7NQv0Lcyse/PY7qsvtmc0tB9aZxiDdw33gTbu3j3x5H8HhPedsUVI/FoLqsQTeo3lmm +6s5lLOWcjjIwII5HUvBbC6qgZ1j30NjAfKY6jjt/ptqW3QfcVlAFS7zdU+5u9YtKZ2Rzq4JqHTRL +DNJ5rO/NMUhy1qO6nUBKuYJoCba6PkmmCmIinpjANZPwIPL56gn1ZSb2catB9cxwa0H1nHCbQfXM +cKtB9YywKqiWIJiBP6xjEGVbg6p1qc7BMx9buK9TBVXAgMO5xy6hIJcvYAbVMcygOo4ZVAcwg+ow +ZlAdw7qgKpSY014HcvkC9oIqsTACZx1EfYxrgUxV9/Q0QfUamEF1DDOojmMG1QHMoDqMGVTHsDqo +XhPdoHrnmeo1MIPqGGZQHccMqgOYQXUYM6iO4U6Dai+I7jxTFU72TJVB2EHV7XPZCsc1g+oYZlAd +xwyqA5hBdRgzqI5hfVCNWFOv6Vy2OQZJngDq4FkF0QikdZA9WabqVFkGQydcMwkPKpc3elvMoDqG +GVTHMYPqAGZQHcYMqmNYHVRvNAZJFvkInDsBlSAbdQI/V9I9vdmg6snkdu4sQ4vPBsTkOD/gwG4t +qBJ86t++Be7jbwaFWwuqwVvbzz3lDdxaUO1xxPV95O02g+oSb5TXZfcEtxZUexzdY95WBdUbj0G5 +fWSldXZag75O80YlDZZ2DNgTiWM1oSPvX+wGVQyh14aytrxX1gOGBRm1gQVBa4xubd+9siWsbd8p +Wwyq3Ny2bKXOLnq8RRmoZXs41PfOOHXdG3sPXZ25vC0LY6/QDapdnSvLeqDvlqO67Ng8l/pxeVNm +3taMqSO3wNFeP0tBtauzUxYfx+2UdVBz1Ctr596i2/dC+ZJsC/pc1Hm8rBtUe31z3d6L6LuVbYFc +y1FdtsbH2Y6asqVxHhsPQNc1dK4KqnUMoq9eDBoNqnVAdcBuM9WTBFU6qBDXTKK+7rXN6AbVpQW4 +VNbetB56xtUrW4L7afqn3z2DAZ1x9rCosy3bl1sMqm3bKGvLe2U9RACtOYoyUMv20O07l9dlLl8x +HtDTGeU7ZX25xaBaX0fZnlwur8t66HFE2yhr71uLXt9Rvqash+voPBhUq2uX5fK6zOWdshY1R72y +o7yBhb7bti5bMSawpBMb2yurroV+UAVLOjtlx+YNehxF2Vof1ytry3tlS9iks7oW1gVVtYv4U8ec +4RiU2zigVkEUtEH2ZJkqyjlvdwlLgbFBP6ieANywnmFRtsZgvzLMj3/Hcacf/95X3paC6inQ44hr +yuuye4Jb/fj3jHhbF1SPxKB209PBXlClfQROAmsJqG2mKuie3mxQxTExeBTSGUfK3HE+77WrcGtB +9cwwv6g0jvlFpQHcZlA9M8wvKo1hVVA9FIPivNeuQjeoRlZaZ6c10H+STBUjQRltQylgIm3ZAmZQ +HcMMquOYQXUAM6gOYwbVMawKqjceg3K7XhC9lWeqN4AZVMcwg+o4ZlAdwAyqw5hBdQyrguoNYC+o +Ejjbj3sjkNZBdr5R6bwwg+o4ZlAdwAyqw5hBdQx3FlQjU43stATUNlMVdE9nUD0TzKA6jhlUBzCD +6jBmUB3DnQbVyEp3stP6XDIny1RxTrStnRQdONo35R3MoDqGGVTHMYPqAGZQHcYMqmNYHVRvNAZF +O9W1QfRWnqkyWC00DwKj4Rrl9Tn1ByZ1q0G1F4DuaVC61aB6RryBWwuq58TbXQdVeLun3N1qUD0j +m1sVVFnHNxqDaAtU132mqrIIsqf5nSqdCxgL7fmNUNRRFhNePaG6rtPmOsbB59+QUevgvC1bAjKt +nMt6c1ue7y5WzrHT93JQXdDZyvXKekBmibc1v39b6qdXtpa3JZ09dOQWg2oru9TPmr6RaTnqlR3C +6r6vy1uvfVN2KKiu1dmVa4BMjyPKwBodo30fwto5dsq6QRV9a3WuHXuPo57fW8RC323bXtkSenJL +bZvyVUH1xmOQ5NBjLjlGEOWaIMsxXx/6f6r/5s//7l/9m//0d//q4t/8VcHf/e///a/+90//+1/9 +3f/4HzvlO1A74z/pnPZ/lXX8+4w/FyjjvG2b8VfS/79/+sn97dShs74+VHZAfwFyf/7nuzrimmMt +20PM6VgZ6I2zh97Yl/ppdP4b4a/+7n/4WJd3+17S2Zb1YH4yStk1eQO9cfbKeujp5HqlTvPWtkdu +jc6lflogAz81R9F2DW+g18/ash7ov50jWKPz4q/+1Z9rLf971rnOS/kWnT25Fsi0vIEoW6NjaT5t +217ZEpZ0rij7T/KRYI+3a+jsoseRy3Rco6Mng66Wo17ZEtbOp6NzfQzSEZ3gJmJQ+Lvgrhyr878S +/r3OJf9vpL/Ez/i7dlBlwDGhCK4xyQOTAYtB9abBWCCjHo9JFzFHxvg1YjGo3jR6HEUZnNay9wTd +oHrTWOIoNiR12X3AUlA9BeBnj7dO2T1BN6ieAuZI9tWW3VMfNxyDuL5ODNoJpHHslGWubziogtwu +zmNirewCbi2oLuEeGhu4taC6hHvKG7iVoHpuuM2gema4taB6ZtgUVH1eXV8nBlkPqINoE1BPlqmC +mATgOoIq+mJwbZsKdx5U7ynuPKjeY8ygOoAZVIcxg+oYbjQGHVjv18pUL/78BEEVtAPmukZd12AG +1THMoDqOGVQHMIPqMGZQHcOdxaDFYBrnwkkz1WtiBtUxzKA6jhlUBzCD6jBmUB3DncWgnUDaBtTq +eJJnqjeAGVTHMIPqOGZQHcAMqsOYQXUMdxtUQR1Em4B68kz1Gg5qBtUxzKA6jhlUBzCD6jBmUB3D +ncWgvYC6UHayZ6oYi5SWh8QBJtkrbzCD6hhmUB3HDKoDmEF1GDOojuHOYtCxYMrxdL9TFRi0zzuD +p45vYtVlDW41qPbGcmR8XytuNaieEW/g1oIqHLX99MruA24zqPY44vqe2tytBVU4OiPehmJQnAdG +YlA3kHbKTvJMlQEzkbhxXMd5XNN5r23GYlBtjWNLWQ+MBzJqgmOcmZyDoJ+bHlNP58qyxaDato2y +FTq7WOLNhvUV8RblK8q6QXWp7UqdeygcVWW0W8sbWNv3mvEA5EZ1LgXV6+jsAZlDvK3VsbZsjT6w +RWdTthhUr6Gzi55t4dsoq9fvEpb6bst7ZUvYorO+Fu4sBiFvwF0cm/OTv1GJtjEBjn9XXW+dUKBD +8uqyHhgPZHCsyzC6umwJ9HPTY1pqu0Ln5qDalq3FEm8uS0Z1FGvHtHacS3Irda4OqkvlS7I1kGl5 +izJQyy5hbd9rxhMY1XlbQRWEU4tr2gVva3Tc9HjANXTeXlAFDUc3EVTbskPlLdbq7JSNxyDhOjEo +7G8PcJnPTxZUPeDcLibAuTvWuSeo87pNg8Wgegr0xnJkfF8rFoPqKbDE29qF9ZWhG1RPgXPibSmo +ngI9jrju8XkPsBhUbxo9ju4xb3cWg9oAWs6r48mCKjcsBt0OngnFbqFu0+BWg+oZ4VaD6pnh1oLq +OeE2g+qZ4daC6pnhzmJQN5B2yvIndTcbVAGTYvC9gVN+xHnNoDqGGVTHMYPqAGZQHcYMqmO4sRg0 +FFRBHURrqOzkv1O9BmZQHcMMquOYQXUAM6gOYwbVMdxZDCpBtUUOqGAG1fPDDKrjmEF1ADOoDmMG +1THcbVCtAmg5b44zqJ4XZlAdxwyqA5hBdRgzqI7hboMqqANrfX3qoNr7PJtzd67yI85rBtUxzKA6 +jhlUBzCD6jBmUB3D9WKQrkdjkNsF6sDKeUDXfFHpxl9TyGCl0JPhyLUDanVOea9txp0H1SOEf624 +1aDa4+ie8gZmUB3ADKrDuPOgek9t/c5iUAmigQiscRRu/XeqAANiUnyl+cBNvbWgaqJFQj0Wztuy +e4JbC6o9jqIMTmvZe4JbCapLHK192cjXhtsMqjitHm/wWZfdE9xaUIWz/DOPnbJ7ytuNxqAo66Af +VLG39rw5niSoMhlA23ZCTKYt62AxqNK2vo6y1hly3Zb1QFvIqPXGdWuIPSz1XesL9Mp6WNK5op/F +oLo0njX99EBbDKjWG4EB/mrZHjpj75aBXlkPS2PvlXV0doPqkr6VOveAjBdfxRG6oryWXUKvn7Vl +PUT/bfmasqWg2uNoSz8taNvjLcravnpY6rs3zjX6kFk7n05ZN6heU2cXPY5cpuMaHT2ZHke9siUs +zWeFzjuLQQ6cIHO3d52PJ81Ue4Pn2gPY1dvidjNVob5xnLdGeE9wu5lqPtZl9zXjEu42U82oy+4D +loLqKYC9nQtvwt1nqsKp7f0EuLMY5DYB8bl3no9wfePPVFEck2DgnDM5gAFx/Fo+/j0z3FpQPUPc +SlA9N9xmUD0z3FpQPTMMxSBw3RjkwAnqIFpDZceeqf7bH377L//tf/mv/1InBf/1f/2vf/m/fv5f +//K//va3O+V7+EHtAlz/Mpehry5fAPr/188/u79e/UQf/1b44b/qvjXlE8dh3n552C4nGlwk3n7J +utZ5V2aii/8iH/hf5GMnb9twZzHIbTgewS//i+V34mf8XSuoAibRK+uVN5hBdQwzqI5jBtUBzKA6 +jBlUx3BnMagXQHs4aVC9BmZQHcMMquOYQXUAM6gOYwbVMdxZDOoFUPBfmmsCtu7pDKpnghlUxzGD +6gBmUB3GDKpjuLugKhvvBdEWd/Lxb1vWwQyqY5hBdRwzqA5gBtVhzKA6hjuLQRFUj+FkQZV2HkRu +H9dx/K+5fAEzqI5hBtVxzKA6gBlUhzGD6hhWx6CIP4DrXgw6EGC/rqAag67POdaB9IjzurWgCqmk +8215r+we4FaD6hJvK3eCXxtuLaj2OOL6PvJ2m0G1xxHX93St3lpQPTPeVsUgB8C8lm8qBvUCKIDH ++hpudU9vNqjGhHzj8jnl9fkRLAbV1ji2lPUAIa2TizJQy/ZAu7avXlmUt2U9LLVdoXMxqK7V2Svr +ocdRr2wJS/2sLevhmjq7QbWns1cW5W1ZC2Rajnplh7C27zXjAciN6lwKqtfR2QMy4cDq8uBtrY7R +siVcQ+diUL2Gzi6Ct1r+Jnhry3tlS1jS2StryodiUATT68Qg69QR3iKA9nCSTDUmRNt2ElFXy3dw +q0HVRDRlgVq2B9rd9JiW5Fbo3BxUe2W98hY9jmi3ljewZUxtWQ9Lcit1LgbV+vpQ+ZJsDWS+Nt7A +qM5DQbWWO1S+JFsDGdZpy1HwtlbHaNkSrqHz1oNqXXYTvLXlvbIlLOlsyzrldxaD3I5jA3isr08a +VDEW2rfPT9tJdrAYVE+B3s1sjfCeYDGongJLC2NpcXzl6AbVU+CceFsKqqfAOfEm3PnHv/eUtzuL +QdbJ8QhOElS5WQwgBh43MCbRm2SDWw2qZ4RbDapnhlsLqueE2wyqZ4b5RaUx3FkM6gVQsJepCrqn +NxtUQUyAY5ShK1CXdzCD6hhmUB3HDKoDmEF1GDOojmF7DKrW9HViELp6QbTFyX5Sc03MoDqGGVTH +MYPqAGZQHcYMqmO4sxjUC6Cgl6lKfgbVM8EMquOYQXUAM6gOYwbVMdxdUJWN18GzRh1YZ6Z6XphB +dRwzqA5gBtVhzKA6hrsLqhXqINpmqlzrnt58UMU5EdmXPs9u9LaYQXUMM6iOYwbVAcygOowZVMdw +ZzEoMtU2iLa4lW//ho4o51tXWx8SnxK9sRwZ39eKWw2qZ8QbuLWgek68fQ1B9Z5yd+dB9Z7yNhSD +Yl1H+UgMol0viLZBNuu92aDqzgWMhfb1V5djkrV8B7cWVCEAUmqCe2X3BLcWVOGmMqBSdk95A7cS +VIMjUJf3yu4Dbiuonhtvwq0F1R5H93it3lkMsk4d4a0Oom3ZSV/+QNt2AqMTCjTjMXqOEGNZYzBh +cOBQ2RLou+3ffXfG1Bt7D8i1Y+/Np9PPYlBd4m1NPz30OOqVLaEz9lTeKVvLW2/sS/Pp6OwG1bU6 +XbZinMi1HEUZC7KW7cGynX6uzdvK9m3ZUlC1zuo6ytbo7MFthZqjKANtXz30+mHevXGu0Qd6vC2V +NTq7QdV9d9qP8gbC6df9B2+glu2hy1vGsbIlXONe3FkMiqAZfPbOAePVPT1NUOWc9vUuoa47gMWg +etOAgNawoqy5mfcBt5qp9ji6p7yBblA9BZZ4A3VOF8RBAAD/9ElEQVTZfcBSUD0Fzok34avIVOuy +e4JrxyCuR2KQdeoIb3UQbXGSTLUedG9yIxOaWIVbC6pniFsLqueE2wyqZ4b5RaUx3FkMsh6ODdog +mzd+NxtUQUykHXyvrIMZVMcwg+o4ZlAdwAyqw5hBdQyrY1AE1puKQW6nYy9TrcsOZap/9Gd//3t/ +9Cd//XsXf3SFv/7Hf/y9f/zpH3/vr//+H3fKbxro/8effvq9v1d/vfqJPv5I+LO//vtu3cQh/Nnv +/bV4g7+Li7ZuYhEXf2Z7+xP8hM67MhP7EFd/Iv8KJm/bQExwDDpxbNiLQX8GdK92jp2yP9FR9/SP +8CcRP+NvTVD9oz+RDHJdqL5bfgBenPsTOtzPxBXSPerXTSxCdld4m7a2HvCmtfon2YF0ZSb2Ia7Y +jIDJ20qIJ2JBG1QP8zfArdZ/Lwb1g2gTUP9aIKgyruGgigyNbxDup5nQn/z1P/7en/39TzugrNf+ +W4eDQ6d84jDgzcFhYhPM29/36yaW8WfycaBXN9GBYg2xoATViEE92WvCn1w1MWg/oC6UXTuo0vDG +DAM9SW87IcayG0SnMS5hBtUByL5mUB1AzRv+oq2f6ENclaA6eVsH8UQs6AbVm4pBWc9yUAV1EG3O +yVTVtoxrNKj+iTrem5Su/4TFJuyWSRbUshnW46C6P6FpeOsxg+oAZF8zqA6g5m2u0fUQVzOoboR4 +Ihb0guq2GKTruqzCoRh0FVRBBNP6PB+v/Uw1D8TBkuyRNjFwznVMk7qaHBlnkle9DSpd31lQ7X10 +dY8/zrq1oHpOvMm+bi2onitvp1yjYIm3+8iduLrVoHoONieeiAVLQXUxBsV5FXf+xGVLMWg0U9Xx +xjJVBpPhSTEB1VtpTCiOlFWTK5MBK4Jq7znq2rIu2kXpm1Chlu2Cfnp9rS3rYantOp29oLqFt1Xc +1RzBWb4/Xx9vS+VNmcbfC6rLXOyXr+INXIs3sGI+i2VLGNRZ8xZzEZbsaG1ZF/8otBzdY96Wguqt +89b0v49eP5S15b2yJSzpPFCmcRILukE1YghxBU7xgRxpp+NVDNqNO/V5aa+y5aDaBNFe2U08U20H +VCaUsRtU4zwmRPs8qRVB9cbRW5RR1pZfG8y/V36z6AXVG+8bbtrFep95k331gupJ0OPoq+RtRfua +t1Ot0cBJguodQVxty1SvMfYeR1G2iruvhDfxRCw4GlSRxQfCbcbqGJTLloMqqINoc34j3/5lQOo4 +DTZN3OeA9jsTiklU59mgPKm7CKqgZ1irjO3rRD+oThyE7OvWguo5oebtlGv03CCutgXVCXgiFnSD +aicG+RpuHXuqGGS+83mWtw7aOAYdC6oggml9no838ky1BMsrXE2CiebJMmiV7aTmO23uKKieUvcd +YAbVAcgGZlAdQM3bma2jk0JczaC6EeKJWLAfVIkz62NQHWT32yzHoP0gWp9zrIJqjo3DQbUMuIUH +3dRR1plMDffTTGga3nrMoDqGGVTHYN7u8Sc7d4USVDt1Ex0sBlXqezxGrGnqKDvCey8G7QfRhbJr +B1VkFnGsvgf66WWqI7q+TcBdr3ziMOCtZFwTqzF5G8OfaSNSMtWJFUgxaC+oHowNNxeD+kG0Ob+J +Z6onQe8VUROrwLtryztsJzaARbRv7xNHcDHf/TsEcTXf/TuGElRPHBuWgyogiPbOhes+Uz0VZlAd +wwyq45hBdQDaAF8F1aZu4iCugmq/fqKPryOo1oigmo9fZaYqzKA6hhlUxzGD6gBmUB3GDKpjuNug +2gTQXtkMqueFGVTHMYPqAGZQHcYMqmO426Baow6s+fqrfaYqzKA6hhlUxzGD6gBmUB3GDKpj+PqC +ahVcI6jOZ6rngxlUxzGD6gBmUB3GDKpjuNugWgXQgia4zkz1vDCD6jhmUB3ADKrDmEF1DF9HUK2D +6wyqZ40ZVMcxg+oAZlAdxgyqY7jboFqjE2DnM9Xzwwyq45hBdQAzqA5jBtUxzKA6iBlUxzCD6jhm +UB3ADKrDmEF1DHcbVDuBtC07FFR/8cNv/sUvfvWbf6GTgt/87nf/4nc//+xjXX6juEj9/Hzqfs4U +P/xmcrYZsjl4+8UvZO8678pM7MO8ZT8xeVsPcfUr+VcwedsAcXVnMYh79QPHI8jtd+Jn/M2gej8x +g+oAZHMzqA7AvM2guhniagbVAYirO4tBvQAKftW5VvsZVM8IM6gOQDY3g+oAzNsMqpshrmZQHYC4 +urMYxL2qg+cScvsZVM8IM6gOQDY3g+oAzNsMqpshrmZQHYC4urMYtBRUZ6Z6/phBdQCyuRlUB2De +ZlDdDHE1g+oAxNWdxaCloNoit59B9Ywwg+oAZHMzqA7AvM2guhniagbVAYirO4tBvQAKZqZ6/phB +dQCyuRlUB2DeZlDdDHE1g+oAxNWdxSDuVS+ItvjFr9x+BtUzwgyqA5DNzaA6APM2g+pmiKsZVAcg +ru4sBkVQbTEz1fPHDKoDkM3NoDoA8zaD6maIqxlUByCu7iwGRVCdmeq3hxlUByCbm0F1AOZtBtXN +EFczqA5AXN1ZDOoFUDAz1fPHDKoDkM3NoDoA8zaD6maIqxlUByCu7iwGca96QbQtm5nq+WEG1QHI +5mZQHYB5m0F1M8TVDKoDEFd3FoMiqLaYmer5YwbVAcjmZlAdgHmbQXUzxNUMqgMQV3cWgyKo9jLV +GjNTPT/MoDoA2dwMqgMwbzOoboa4mkF1AOLqzmJQHTjrwDoz1fPHDKoDkM3NoDoA8zaD6maIqxlU +ByCu7iwGca96QbQtm5nq+WEG1QHI5mZQHYB5m0F1M8TVDKoDEFd3FoMiqLaYmer5YwbVAcjmZlAd +gHmbQXUzxNUMqgMQV3cWgyKo9jLVGjNTPT/MoDoA2dwMqgMwbzOoboa4mkF1AOLqzmJQHTjrwDoz +1fPHDKoDkM3NoDoA8zaD6maIqxlUByCu7iwGca96QbQtm5nq+WEG1QHI5mZQHYB5m0F1M8TVDKoD +EFd3FoMiqLaYmer5YwbVAcjmZlAdgHmbQXUzxNUMqgMQV3cWgyKozkz128MMqgOQzc2gOgDzNoPq +ZoirGVQHIK7uLAYtBdEtmeof//of/vkf//pv//nFH1/hb//hH/75P/z8s491+Y3iIvWjCZ22nzPF +3/7t5GwzsDnx9sf5vCszsQ9x9Wt4w09M3tYD3sTZr+VjJ28bIK7uLAZh48avq2Nz/rc6/2Md1f6P +Y11YX/6bQfV+YgbVAWBzM6huh7iaQXUA8CbOZlDdCHF1ZzGoBM/62CmbQfX8MIPqALC5GVS3Q1zN +oDoAeBNnM6huhLi6sxiEjRt1EG0C6sxUzxMzqA4Am5tBdTvE1QyqA4A3cTaD6kaIqzuLQdj4UkAl +mMa1g+qvZ1A9J8ygOgBsbgbV7RBXM6gOAN7E2QyqGyGu7iwGYeNGFUx3yoSZqZ4nZlAdADY3g+p2 +iKsZVAcAb+JsBtWNEFd3FoNK8IxjfV4dZ1A9P8ygOgBsbgbV7RBXM6gOAN7E2QyqGyGu7iwGYeNG +HUSbgDoz1fPEDKoDwOZmUN0OcTWD6gDgTZzNoLoR4urOYhA23gbRXtl8pnp+mEF1ANjcDKrbIa5m +UB0AvImzGVQ3QlzdWQzCxo2lwCrMTPU8MYPqALC5GVS3Q1zNoDoAeBNnM6huhLi6sxhUgmcc6/Pq +OIPq+WEG1QFgczOoboe4mkF1APAmzmZQ3QhxdWcxCBs36iDaBNSZqZ4nZlAdADY3g+p2iKsZVAcA +b+JsBtWNEFd3FoOw8YIIpvV5Ps5nqueHGVQHgM3NoLod4moG1QHAmzibQXUjxNWdxSBs3KgDal0m +zEz1PDGD6gCwuRlUt0NczaA6AHgTZzOoboS4urMYVIJne94cZ1A9P8ygOgBsbgbV7RBXM6gOAN7E +2QyqGyGu7iwGYeNGHUSbgDoz1fPEDKoDwOZmUN0OcTWD6gDgTZzNoLoR4urOYhA2XhDBtD7Px/lM +9fwwg+oAsLkZVLdDXM2gOgB4E2czqG6EuLqzGISNG3VAra/roDoz1bPCDKoDwOZmUN0OcTWD6gDg +TZzNoLoR4urOYhA2vhNQm2A6g+r5YgbVAWBzM6huh7iaQXUA8CbOZlDdCHF1ZzEIGzfqIFpDZfOZ +6nliBtUBYHMzqG6HuJpBdQDwJs5mUN0IcXVnMQgbL8hBdOc8H+cz1fPDDKoDwOZmUN0OcTWD6gDg +TZzNoLoR4urOYhA2btQBNa4Dup6Z6vlhBtUBYHMzqG6HuJpBdQDwJs5mUN0IcXVnMQgb3wmocd4c +Z1A9P8ygOgBsbgbV7RBXM6gOAN7E2QyqGyGu7iwGYeM7aIOqMJ+pnidmUB0ANjeD6naIqxlUBwBv +4mwG1Y0QV3cWg7BxgyAaaK5LUJ3PVM8KM6gOAJubQXU7xNUMqgOAN3E2g+pGiKs7i0EOoCCCaXud +jzNTPT/MoDoAbG4G1e0QVzOoDgDexNkMqhshru4sBmHjOwG1CaZrgurFD7/9fy5+KVz8UPDb3/72 +/1FHPtblN40fbqmfs8MvE3ccu/UTi4C3X07eNuMH+YlfNn5i4jh+CW/42E7dxDLuLAb9sBLZh/je +lnURfzOo3j/MoDqMGVTHMIPqGGZQHcOdxaBeAO1hBtUzwwyqw5hBdQwzqI5hBtUx3FkM6gXQHmZQ +PTPMoDqMGVTHMIPqGGZQHcOdxaBeAO1hBtUzwwyqw5hBdQwzqI5hBtUx3FkM6gXQHmZQPTPMoDqM +GVTHMIPqGGZQHcOdxaBeAO1hBtUzwwyqw5hBdQwzqI5hBtUx3FkM6gXQHmZQPTPMoDqMGVTHMIPq +GGZQHcOdxaBeAO1hBtUzwwyqw5hBdQwzqI5hBtUx3FkM6gXQHmZQPTPMoDqMGVTHMIPqGGZQHcOd +xaBeAO1hBtUzwwyqw5hBdQwzqI5hBtUx3FkM6gXQHmZQPTPMoDqMGVTHMIPqGGZQHcOdxaBeAO1h +BtUzwwyqw5hBdQwzqI5hBtUx3FkM6gXQHmZQPTPMoDqMGVTHMIPqGGZQHcOdxaBeAO1hBtUzwwyq +w5hBdQwzqI5hBtUx3FkM6gXQHmZQPTPMoDqMGVTHMIPqGGZQHcOdxSD8g65/yfVv0xH88LPAMc4J +rJKfQfVcMIPqMOBtBtXtmEF1DDOojuHOYhD+gaAKHFR/lozqkTF+66P9r+RnUD0XzKA6jBlUxzCD +6hhmUB3DXcWglJleBVSy1R8IojlTdUDN55afQfVMMIPqMOBtBtXtmEF1DDOojuHOYhD+Qdd1YCXQ +kqE6mM5M9Uwxg+owZlAdwwyqY5hBdQx3FYOcqeq6DqpkqhFUS3AN+W5Q/dVv/plxcYXf/PzzP1NH +/+w3v9ktv2mg3/0IvfqJZZz63pwrzFtj7xPH8Svx9qvJ22bA2eRtO+4sBmHnnIPf/OzzX+lIfUIa +WxrXryx/5U/ij4Lmpt/ZhCZW49T35lxh3qaT24wZVMcwg+oY7iwGKYASUAmkDq756EBagfUwg+qZ +4dT35lxh3qaT24wZVMcwg+oY7iwGYeecg8hUc31CGlsa1wyqZ4VT35tzhXmbTm4zZlAdwwyqY7iz +GISd6zwwM9VvCKe+N+cK8zad3GbMoDqGGVTHcGcxCDvnHESmqiP1CWlsaVwzqJ4VTn1vzhXmbTq5 +zZhBdQwzqI7hzmKQAuh8pvqN4tT35lxh3qaT24wZVMcwg+oY7iwGYeecg8hUc31CGlsa1wyqZ4VT +35tzhXmbTm4zZlAdwwyqY7izGISd6zwwM9VvCKe+N+cK8zad3GbMoDqGGVTHcGcxCDvnHESmqiP1 +CWlsaVxfXVD9VTMhDRBghJrEGsdnuZOO8etF2ilNbMOvEm8rbGuiRuItBQfWaVs/0Yd4w59N3jaC +2HAXMUhlxBTOdTxZphpGcdOgz3pCLvOkVK/rFCxzkK1Qxso17ZCNsVdy545T3ptzRdhcr25iGV5X +mbdvaY1dF4U3fFlTN7EMr1P59RSDUmw4BdyP7s1uUFWdzlMMSkdAfUIaG+2Ggmp0dmpEX54sxwyP +pZp0yNpI68nTTqh1Tkws4bbsemJi2tr1cGr+0B99OP5VccWx5cYz1VtGPZk0IU1QWCProCoCerIT +ExMTExM99ILqCZ+p5o5OhPK5ueAyxsEgA824Clq5IqtJ9uQnJiYmJu4Nbi8G9Z+pntHvVAmKLfbb +JayVm5iYmJi4T7izGKRrZ6cZZxBUJyYmJia+ddxZDNL1DKrfKNJNndgK89bY+8RxwJu/Mdmpm1iG +v3w5eduMO4tB2DnnoPoomPodeFwzqJ4VTn1vzhXmbTq5zZhBdQwzqI7hzmJQFUhPmqmGYdRoy0NP +1EV9lNWI/vYmtIC99h2Zbw1Hja3hbPKWYN6OcVFzNnkzVgfVirtV8meOtTxMznaxLgYpqNXXGanu +ql1rk1HmftoYhJ3rPHC6oJo75milRPM8oegk5NJ5epOI2yAjecrrMveT9Ub7JVhHlou23zri3vSR +3oATXNfnfflvB+btEA/Z1uCs2PPkLfGxkodY19PeEmfHeIAvgBy+Eq57ct8SWHeODZmL4sPgivKf +Kb9uDOq9UUk6qM84aaZKWdQXhXlQSS458iR3NaGQLzIqTxNKZTsTWsRuP32ZbwuJiyUknq94Tca3 +L/ftwbwd48L12b7FYdjrtwzW8DoeWtv7tgEXR3kr9dmHOmmp6r9BYD91DIKjiCecb4pBOu7I0F5w +PyrbiUG6djAF3Acde0E1dF0rqMbgajmU7gyWc+TQofN2Qq1xhUy0OYTQ0atbhb2xL5TdE6zhAr7N +7XXmucTbPeXOvK0Zu2SCv9ZuV4E2bbte2T3B0aCqOrhNQWHyFoCDVTzkOZo7oStzCLn90bJ7Auxn +OQbVidUNx6AcSH28k6Cq67qDmFA70FLOtXUkUvYmtIiauAmwlgvu3RXvE+ZtBRclOLCwJnfm41hw +wM4sB2/T5gw4O8qbbAxbC5ubvCX7ubEYJJlSnq8XY5CuCaKBsY9/f/jh/zYurvDDzz//3+rIR5ep +nutaJvBD1Z5z5Ny2avPDD1zHeSUfslluCbRNOg/LfUvYwkV9L751bLWhsOVe3beEet0eAms50Kv/ +1gBvx+xn2tg+9mKD+FnyYTXHHJELTqMN6z7WvmVDPmSz3AUynFegbg+5vfXEefnbKUygUd1RDK4o +qmVVFuUhF/rivB5IraftZwlX7Q7LfUs4ykXwzjGfd+W+MayxoZq32p6/ZcDFWh7M37Q3I+yoVxcI +31ZkV/J8zsB+6tgAL4mnjmzwVsmZw+o8bLLV0/azPqiGfAbn5W+nMIFGdUdl0I1cF7VMPo/2RU+u +b/s5CNpVbb91rNpgdDj/1rGZt8mdEU6/V9fF5M1Ytf6yTJE9Jv8N4M5i0G0F1VPhtvo5R9SGMbEe +k7cxTN4G0fGvE8dxZzGIYBmgTEfqjHx+8XO1Hnbub/x1bvpeRyfCbfUzMTExMXF/cGcxKOLhGtTy +1hd/O4UJdzahiYmJiYlvHncWgyIerkEtb33xt1OYcGcTmpi4AfDRDDZVf2SZngkmO4v6nTquW/lK +x9X1VXmtJ11Heb+fFtazoDN0FfkoF7plWTb6r9uHvlR/Vb6EpKMq47rSUeSi76rM5Uf0T0wcA3Y1 +g+oAbqufiW8LOHXsqrZrbOwqsOT6Sr61wZ5MXNeBaadM53Fs2/RQ9xs6S5tcF/1Yxte7+g71V/Rk +XZaxjqv2e8jy9fWVnqv+Q0+tOxDlddnExBZgU9hda1s3jf1+LtYh7JtjsfX42ylM2OsoZG4SbT89 +mYmJY6jstkDlDgRVGTYWdkZgqOt7gaHVgUyrs5ZJAScfS1mnTYVev3WbOoj5WuXUx3WRWejP5/RR +9dPTUcOyVX2tP+bb66fVWY+7C+QnJnqQfWBztivsrCdzE2j7Cbtsg+diWT7GefnbKUyIjiYmvmaU +hdBC9ux6B6VUFsHBQSO3D/koK+1B1lHLlD6zztKPELIlCOW6WkeLHZ1Nmc+pq4JTe+2y6M/nV22B +z1VW91P31QOyYOeadrk8zq0vl8X5rp7lfqijzcTE1wTbp+14IYBeK6jmhTox8VVjyXHLnr1IdAy5 +CAjU1+e96ygLHfU1x7JeqrLURwJlNWq9NXo6oyydJ32Wp4+Qze2LTJG/agt8TrsoX9BRg7q6vtZf +z7ftp9VZxt2B+6DNxMTXgmqdGXXwXCrbkQfxt1M4MXH/UTt9B4W8YCJAgCKrc2QdGChX23D6Uebr +HZ0gybb9ALdr2vQQ4ynnVZv6eCWv4851NfbcvvQX5zpSHv20OveQ5Xeui57UD+U1L6E7EOV12cTE +vQB2uxa1vNvH307hxMT9Rzj6EgQq+46gUF9bNsunstw+g/ZFrhwruVxf2ubzaBd9tTioM1/vtGmu +S7uso5RV2JGryg4ij2PnuuojEHrrslS+ez0xcW+A7a5FLe/28bdTODExMTEx8Y0i4uEa1PJuH387 +hRMTExMTE98oIh6uQS3v9vG3UzgxMTExMfGNIuLhGtTybh9/O4UHIJlTPCuxzhPoHUZvLFvGuNR+ +bdnafiYmJiYmbhbhg9eglnf7+NspXMbqLzlsBEH1FHqHEFzUfNRldXkPPbleWZS310uyExMTExOn +R+uHD6GWd/v42ylchgPfCrkRfBVBteUhrtuytr53Hee9spBvUXNwSG5iYmJi4jQofjp+k5rjXpTt +yDTn5W+nMCGy0kCUtXI3hbqP20B3HjUPcd4rq9vUqOVb1PVtuwDjKudVeYPefNZgR2eMZWJiYmIi +IXyjg2dGqeuV5WOcl7+dwgR+exY/Ko8fk+855huEdXNs+j0VuvOoeYjzuizK47y9ruVb1PUh3yJz +kM6r8gajHO08D//5Cv794cTExMQ3iPCB9ofFz/YCaK8sH+O8/O0UJtCJHTGd5brbCKplLKdG0/9O +3/V5XRblcd6ilo3zXlndpsZOUK3OW9R6j4BAeiyo9tpNTExMfBOofaH9YxU86yDaLRNCT2obfz// +Xy3khAtS2cX/dcF5ub5B7PQzcXPQPdOx3Mea47iuyyYmJia+NbS+sL4+BLfPcbHoq/8evb6cmJiY +mPgG8TDjyZvLR68/Xj568+nywbO3V+XCA9U9fPbu8uH34G06Phe+e+e6i4evEqSPtq7/Tkegc+t7 +jFzW2Y7BQIeO1pXLdP5A7R6GTvrOOh89f5/G8OyNZJDNbff09vEAMK+sr9ZtqE/XP6U8l2WUviXP ++KxzQ98G432g+Un/ozfiXdwnLnNdyGQ+0ljVp/sWNI7CvWTNO2OKsYuTnTkyVuTFM+WeF/LSleYj +VPfT7Ws+8n2PuT94Kt49xup+rUWWR4d1v0g6GZPvZSX74InG6rnlcTLGo7Y0MTExMXFv0f49cOCa +mJiYmPgmQCIC6mslBI9ffbh8/PajkgGSkKpeycNjJRJPPn6+fPrlx8snHz5fPn736fLJ+0+XTzl/ ++cHtrUdJxWMlXk8//5hkJfeIJEnBZ0dnII+FhPPRSyUjGsMjJUUPHlP+0n3THj3oQ+8TEmolN/TD +mBgHCY/7yPq6fQHqHry8fKiE7LH0WOdPP10+/VFgzBx1/eTjF4+HccELdc9iPvStMngAliOxW9P3 +zrXGoWTt8Rvx/vqDz3frxQv10m/O3wvcI651/lRjjHbcsyfvPnqMlMMhSSS8PPn05fLppx/d1lwy +b3h7++nysebySPe2nQ9JJDqY77Mv4kQ66Iu50w7esZV0b9NYd8a+BvRB39JLP0+wPZJs3Z/QR4Js +W4N3jYv+HmJrra6JiYmJibNATk+v/npCExMTExMnRG9jX5eNbPzXwE+oeFKYkyL64ckeyShJUC9R +JaFSOxIJJ59KDP1ETcnkIyUZKXn94qQCfY+UHJHYPP2ckj3rqJKPHahfxkLC4kSEp2s8JY162un4 +SMnwEyVbJJAPn0cSpkQqJ88kO+hyH71+Arnefb7gCSFJGomgkiGNmbmVceQkzMmd+iVZfSTZi0ca +E3yQxP2Yklfzeahv8y59kWQhR8KsdnuJasyZDweUONIvSbkTtNwOPYyRsfp+ci907kRebdITVMlQ +xr0gAdV8rR+eHqe+LqQL8CQ1zUdJupLg9MRUOmUTofOB+rmQLTCucn81hlUfEPSQ2zAvJ9/MkXEz +nqzLiSoJcYwdW93aTw+hI49hrz7qeuUTExMTEydDTk+v/lzBp9feMExMTExMnBzanD/ka6343QBl +db2w+CRyLfJGHP2PlOCREJEUxNdDS788OSRh8lOtKlG0joVEVXUlOSKBJFGlrE5kVF+ejtY6gRMB +9U0i+E66lfS5jZIj1zthEUjCSJiUqFL/WLJ8Vfbxu/QE0AkO82n1HwP9qw/a+gklCS/zh/cL9ZsT +JieM9dNk9e0ngPmpYsx7Tze8S1dKhtPTSHMbnFNHIqbx9xNVcVsSZDjJCWHdR0ncSEpzUsk4aUdi +r3mlZBLZNB+DMXhc75NNvNF8mBvyshNkSH6pQyf3k/vPfEl6I6F34h06Y1xrkdvBgz8siPsY45RM +SVRtR838R1BxX68znrDbTouM1mbITExMTEycBvhafHu118np6dUfGwFvYAhCCr7x6e7ExMTExAmA +j9WmnM03T+tIVjh3wuK6q/qSgG3doOckwJvu71Oi5ASVZCgnNySIPBF1v/L/TlRIFpCp+1tKVCXD +GF2mRGZVohrjKroTSMpIrp6QiPJVYoKWExYhJ6p+oiq91qn+3TdtNG4nY5HI1PqPgbmRKNeJqoKn ++zXSfDw29e37or5IVNNXb3NyFf3mNjyhRC4lqO/MH7w/VJ9Ovki08wcDkfxahjFFoqr2iceViapk +LJ/vh5NWEkp/LRrZPLasg/tcwBwl668IayzmUvATc+kyxyS19EGC/uGTf1tKospT1jKmLchjYd4n +T1Rpx1qobT6O3FPp932XLGPABlOdjshNTExMTNws8LGKOxx5X0P465yeXv05wBFQCdAEtBLscdoT +ExMTE6dB3kQbvXphZGOuNn6CmhNUnrSVp6T27ZVslJEsEDiIA+1Xf5U4oK8kqkrSyhNVtXGZkpdI +2Pa++qsyvl5KIkCsIfFzUraTDOZEVe0Ys+fuhCUlQTtf/VXycnHx8vKR+vMTxx83fPW3BnKMS3r2 +nqhG34xN8/ETShJGjcMvG5JM+qosfFy18Vdw/aQyP0F10pv7in4ZZz4nSYxkyB8QUE7fOqYEma/+ +/uRA7k+dqeNpL/eXry47wVI7+tX5E5JTjTVxrGQ5J69OWFXGuP0UPbhCl8A1H1TzG1248BNGxkB7 +7q8SVdsQfJQEWv2QrIad1nNcA/g1Z7f91V/4b1HVW39PZmJiYmLiRoCfVZwhVtrH4/uzD87p6dUf +DQg2bEL8EovaYU9MTExM3A/g+JWwpCd2cv5OYlLyeHBz74DBU62FRJUYQdKkZCUlpUomeaEOX73l +6ZraObGRnki8kCOxc4KltjyNS0/7lHRFMkiSRBt/7TglwU6UpNsJSx434+HrvkUnSZ0SW7chgSxP +DXPwAzvjPwCNw2NT0uXfZ/rpbE6K6FuJZpmPxp6ebKYxk9Dx8iLPR9f+ZhIcvuQNtomPnb5aKFHr +JqptvXT65UfMU/eUeO2kkqQeftX30ZcpZd7YDDx+m/SlBFA2Ihl/EKB2vrfYDbxI1sk4L5viN8gk +qhoX9ei7dqJK0oytan7+KjX3vX6i6vGrnk/c+bo3b/2lniS7p29iYmJi4n6ADyr5kJJv6hCLZqI6 +MTExcf4gwfBmPpKeNckDMmq3l6hGsoBOBRGS1UC8LZZ28WSMNjtyJEAckc3yTihJePxELwUqxlva +8JRQR8+BBKjVWeSSTie01pXHG3M6hixLIuhE2jrTEZ3xxDEloLlOY09PGtMTSY+LMvFlPYwlJ+yr +xnIsUbXMlVzMm0TxscaTEkd4T2OJe0LA5/fPtPdTVcV1zyv082FGlkeXk18gmXQ/Vc/8SBLNc+hM +98Nfu+beMvfQuWa+gSwbc/IYPB/uZa7PiITZY2UsGpM/5AiZVvfExMTExNePmahOTExMfKPYuolH +Vpv/q0S1EwesU4FlD2vlavTagAWZgzoruRFs7rsur+rqsmh7DGpHsnYwUQ3UfRV06vnAoP16bNTt +XOeyPWSZul2v3P0IUTaKpT4OySzJTUxMTEzcD+DPZ6I6MTExMXEUbPxJVHmBjF8OdCBhmrg5KFD7 +qeKrD0b8LnRiYmJiYuLOwbdoTgF0z0T1K4U2hPxu6ELnfvtjBV5e5Zd09D4tjvIarUyG9Wfs1cV5 +6Gjges5rmWgjlPpcHtepr9dpLnytzOUH5jMxMfH1wQEkB5GJ06P2jXzlFUT5kt+8CZ8aOlo9x3TH +GGOcbfmhslrvUh/R/6ExHEL0Wfd9SF89PrBGrtVX91VfrymLc3BonCNo9Qd6fbRlO9exqWzLF7BG +ZmJi4utGXu8Xz95cXrx8e3nx9l3Cm2uA9q8F/v0Zew2+kTMT1a8LJG38xufZ9+8uX7z5ePnq3afL +l+L85ZsPOv94+Vp4zlfvnsow+DcGCjTfv3x3+fr9p8u3Hz5fvvnw6fK1wJHrV2r7lN/rSC7+afsT +3egXrz9Y/1P144SVr4FlPHryWjrfX755/3lHJ+eA/p89f+d+GdNL6XnC74Zorzmgn37faOzf8Zsi +6XsiQ37OHDRO5sP5S7V99V7nGgv1jDH9lirpmZiYmPimkAM/MeDR928UmHnT8LvLJ+/e+dx4l46P +Xry5fPDkqo0hX/voJV8TzvWVztSH6p/zQiXeFMyLrwT0cwQqp1/kH/F149fqP+pqMB5tTB5+x75A +chqTy6XLfb9R2XuV0Yc2H+h8+L02G+ijb+SYg2Q9R/rVuPzbV8UCy0nm0Sv1wRuRc2x59CK3p4/6 +N9Ke2wKoV2yp+zePdd+v+P1w/k2xxlHmE7Ig886crY+Xd+VxBpgzcuaeeHYhubgn8BEywVH0o80Z +80c3dUVf7jNBMtLr39725nkIYQPCw2dpPNYFt3W9eKYM3h8zhg8aC/zEfPIewbK6Dlt6XN179Bd9 +IPTne+C+sbG63xjnxMTE/UFev05U5TNKomq8T2gT0RohY7ksS1uO8vWOB6dKVJ34PFRSZMT1t4or +HnpcBUKeQEmS+OHzl8uPn39UUvjeiSNPH0kA3378fPnpp5+c8D16okAj5//i7YfLt58+X74TPvz4 +o/CTzr9cvhfeKLEkWaSPR7qHJJlvpMPy6oMjSTCJJv/KIRJZEs13knsvmfc/frFezklUU6L53onm +B9WhxwkvBqU5kMQylo9fflRC/N59vkXPTz+6rcethPSpAv2bj588n7e8cVJ2dYynGi2/E2uwwh6p +m5iYOA16a66BPziU33+s4P/ki5IA4ZESQyei2uQ//fj+8tkXBW4lSn6a9ZCXGuVk6JPkSRB15Jrk +wP1ap+KAkjLaI/P00/vLpz/ydl5dK9mg3EmJxkA/JCGWoz6Pw/pzckVSQuJEgvLsR/41kMroT+1J +upxwqX0kOiQ2Tz+/S2PX3JgP8u5bfTxWfw8lS4L27KcPl09/SuMkuaEv5pv60ThJbGNeFXc7yHzT +P/qfql8S5wckaCRO2mAxRjjg6MSNTVHuB36cQPLBATrUHjAezweONGfaM+aSDMO/9EVC9lC8U+/5 +BEf0T2KqexT9WKf6efaZN0gnjpzoKlY+5T4wTpJlxhkJYz3fHrIMeh6+UH/S6TnputQLKUHVfLCN +98kOHjE+bFB9P1bSSpnlwtYo13yd/EqnudRcSsJa9e8j8xPnTlb5cED6H9p+K7k1CPmJiYk7R0pU +lQO8I+nU8fVrJZoq1xrvJqxR9lr1yL2UvHyGy9CBzCmfqD5RHUkUicfbj0BJUUDJy7cHJXLCayVk +T3lbYoezGiQQfqIq2dfvU/LJE8unujkkeDxJBU5e5fRpgzw3kgSTJJSkkoTwsYyHJ5ROfpUwklAC +EkdvbhTonimJZYzvSSqVsKLXY0G3QJLreukkIaYfxkhiSx/0Rb2TzEhUlbSS5H6QTvp6pMBmu3j3 +yf1zfKZr5gN4msqcYj6HEPx8J1vkKTLcgj73E0a9BlmT4it9gPHeXDqBZdPDJkIbEX/Kz0YLsCGN +84mJiXGQOJJk4Je15nr+rUDrkQ384xcpiXlCckRip7YPtcl3siid3vArQXASRKIn/SR//rYNiR1J +EMkZCWCTOLiediREJFk5SStjiHP5hcckMEow6RcfUerxx+rPTwFzPeceF0lN06eTGck4sSPBUZ8l +UdV8XGYflGScXEaCiX4lyk7WJO8kEL34LvroIfqVTiflzFV9MCc+mPXYxVnojPEy/jJfnTs5y7w7 +mSeplJyTcY276KVMOknin37h3+nkNySLK+o9D/UDRyVhDN5rjkInCR99UwZH3OPM25ZEFT+PDuZK +gujyaF9zlPlmruVpvTlK43kie3Liyv3xdU7ukSN+qA/zzDh54h7zyn34qH5JvP0UWbpK+5BZgurh +lrGRNHMvytqamJg4PeSDyrlihr/VonV5wTc8+bouSerz59pTPiSJvLx49FjXL1L5uw9KQHOCyvVL +tXvyNMmBZ9+lZNWJqnDKRPWZlL/9nJ76fWzhp33fFj5l8DSSxACOnBgccMopcdDN0TkJK08l+fot +SR5PMUnsklySiadkJLMkIzxRRe6xkkCCMTJ8zffDT4yJRPGjn9qim2Tz3ZcvqstPaTE4BRL6ZxyR +yDIXEln3d/Hi8pEMhwSacurbRNXjyMkvCShtCFDxtWMnTWoXSetDc5LGegj0gb7vZbTvlYR90lzh +t+Z8Yhesu3odsja5N3BYElW+ooYz0ObCm7GftNkAbBYnJiZuBE6GcnDv+bcCkgjH3LweneAoSSDB +UaLi5IkESHqcxCCT9bOG/YGTEhI29C7XxiKedLLW/cFUJKqqL4kq5e47I587OakTVcafZRkH44ok +JzY0lPHkkv5CTxlrTtiQ5dzJkerQ66ec1Kk/J3O6pk/Kwi+Vp5Uxjhhvi8zzaKIayZjHmWU8zjx3 +P1HNcwi91ll9ABCJKklZmqvk8xPtknTCEaBvOAqdOhaONH4nkMzr0JxbwCn98xRU98SJqsZpHfBT +cVTuMbaj8Zp/ynlSSvLJ5pREUZz56Tp8qN5yamPeGLP02EbVb91HnPvJc06aVyWq1Gm85lac+V7q +fkxMTJwQ+B1Ql4UPxk/gC7U+L55q/y8fcPFCSeljJaeRfD54oGT0iZJS5RPxtJQjT1CfKjGNhBY8 +enR58b2SXJJVZE6ZqJKw8BSn3hhzzab46TM5Pp4IqqNvBSRmgKeb3lh0OAtE8kqy6Ha0oTz/w3t/ +CKAEj6ebfmLqpFIGIAdO2zZRddIZiaqSQ9qRlJI8IstXb/l9KF/LJYlNX8slcUmJ78FEVcHFT1TV +jnr0uS+NpU1U4ylwemrKp/3IKbHGVjzeH50kw1dwcBivU8BiQ8Mns2rX8j4hiB/APeTpsz+oEFiT +72UHe4lqfoLjjVF2RjgpbwrlkNhQsJGbmJgYBJtykoSuX6vApjyvRyd/bPyVEMSGvUDXTiQisSHh +VBLgr1RqzfrJZF7DlNXtGY8TKm0+3K6XMCCr416iSl3WZV+cE1Une+o/vZBPY5B/cdwjgWMPQWJH +okZimp+48ZVl2jEHkjl8Db+P9Hyc/BEzpE/ji/GWTVLMJ8bbIs+FMdPnlc4UVz32Q09UNSZzqTG4 +TP16nDlJ7j5RlWx5oqp5pERVHL7WGLgXJHe0Fx/wxBjMUYxV83I/keypzmVwRJn0FPnc5iAYJ+OH +10NPVNUX40v3puojvrbM2DUf20K2K+4TdkMMiT7KBwIHn6gmjjY9Uc0wX6wl7kusq4mJiZsHa1Pw +h1F8O6dOVPGD+GCtyQt8wHdKUkk2I/Gs4WRVciSgfC346bO+HIkrT2TlS0/6G9U6UeXJDeBrhlcv +7UkJ0LeIHl+BkMGx+zeqJIdKIDlPTyRfOol8o4TDnH785AQtJaqCdMA9X7n9qESVp6ZOZCNRzUkl +ieoz3UfKSTjREfcr/e6VTQH3KN0nvvrLk9xIZBmfE1XJOVFVkvm2faL6nOQ2/b6V39g+f/0+/UbV +ye7HtPGwjjfuk77pI2yk5aYL83U1zokOsm2ke/WhJKmbElU2cGxG5LR2NjYTExNj6PmzFlprrE02 ++06wctLiukaXE1UlNiQHj0iWHmqtsp7VnpcO8XtQJ2F1oqqjv36phOOZ17gSBtZ4pbf05SRLfoEn +aDGOkBNIHEqylxM7j58PyvgdI4lZTm6dqMZYlaR4rNrkIPP0RyVBkvd86kTVMSmNxwlx7sebJMaQ +67qgXsfFRFVzLskvHLExQ17JHLI8+fSTaOYZSbZk4144USWBRK848AuWSOQ0PpK42Mj5XrYcoTNz +VH+dGI7i67OlH8Xy8MvlQ4V8H6lfBTac0sf86YsxuX2AhJT7zP35kMYDP3Dh+cRvVHU/GKPvrWRp +Yzn40ZxdpvtU7Cn6z+fMnQ8pnKTCQ9SvRT3miYmJ0wEfgy/XOu0mqlrH/sDtwXfypwtJaoBkla8B +P62+7tsDyep33ytRle+T37vVRJXkxRNi8p12EwnwQwDhqSSJINyRzPmNvzqC9IIl3UDJOslQO34D +ivzbD+k3m/xWlQSRJ5/o/e7lu6KrfGigQP2Y35pK9xu14emnE1WSW42B39Ra58f8O9sPn5zcRDJL +wEIvOhkXY+Tc/eQ3/vIVYcZJQhzzef2etxmn+XDNU10/cdbmoeVjYhy+x8Jj2cC1E9W8ser1MzEx +cUNgjQH5QhIFnoiyHkmGOOerwPjmIqc2ThqQIyHMGwdvLuSfnTTR9m1OwnJy4wRNCUZ8tdQyr5FJ +iVHRz8uXSG7acahPyzFO6klQVO+3vyIr+JykJct7nErW0OEnc8+VqGisjOvxG5WhW+P1vOM6nsox +bhJmXacxSI6vUMdYOR6CdDiJVAJVxihOPE7GQj/iy0kY/UfCBW854XJ7ZHMy7fmQ2OV5W2+MjU0W +/eJX0alkr9RbJvXNtdvzdLFwpDL6Vl+MBT3cl5qjoUQ131Pzrfn6ibbibqlDH3ZDPfOCgzw37nFJ +bMOGdM084957bHWCCup+4YH5cY915H5unsPExMTtIdYuX9XvJar4OdVfaC1fvNBekpcjAfm7PVAu +/5DqJduTAdYhudv66u9MVMeReEpPQ3t1gcW6fM1GoC7vBoWoa8sz0FXaElxAbrNVZ1sWOnqyE9dD +8DoT1YmJewYCdPbdXncH115em0ty3bZZNzioG3RkPb58fkgX16VNp6xGlEV9XEe7Xt0I2ratznqc +tVyUlfJm3lG+IyM4ZmbZujyu27JDdUuyw6jvY4W67zhv+27LezI7oK+F/iYmJr4+5DW9mKjmD9LK +y5T4DWr8DrWH+o2/S7iNt/7ORHVi4uvATFRvEMz9W57/MUx+jmNytA6Tp8MIfiZHy5j8HMfkaB2+ +ZZ7y3I8mqk94Qlonqm1CynUuIwntgUSXI3IcZ6I6cY6Ip8iH4KfDGb36UazReaq+lzAT1WuC+Yof +vvKHQ/ZX2qK8lf1WYY7S10/9+zV/lfAb/1CjReaCr6ry1d3ydcuJHeCL8DPJjvJam7iC7Ci+Pjv9 +0QL2/NHkqAutNfwR8X6utQbYSl5r9kex1nJ5t825Irg4+kRV+0j+Hypv/X3xMuE52L1+qON3b99e +vvj48fJ74emHD5fPhJcfP12++vTp8nmUvf9w+Z0SV366mH6KqH3srSSq35OoSnHOjgnW6HmkBPaR +6jg+1DXGkYxBx2f8liGXh2yWd7DnKzWQ+FS6NHjrs1ySfaj2zsgz2WlxIpN0XsmjM527zRMZpnVW +fecxWi9EPVb/obfhoAv69pz3+37YjjXaZP0PGY/nq/paZwZjZUzWk8ebUOlFVkfL5THsgHLp8dxb +3uu5UxYcMR/zmXWEHMe6Xy/4JEM7zws7iLHTVvV+w1ee0xqUF2xoHLzZ9jv1jf3Bl5Ov/PuX+HCE +39byr3C+k31wJIGzHuqzzFrEm5Z5szJvY/5efWPjfoEVfcZvb7JeNmG8xdl9C36js8pG+l4Lj0O4 +0UQ1IP22k7AL7h/3u553yKn/ZOvv05qK+5zrNyHaoIM1oWDLS0L8P/9aWYCPwK6RQ5522W905QN5 +/N4Y8hs8Odf4PYbn2GvTgg2TOeLFJJp3vSZ6QK/qkSvrrCd3DDE3+iocCcy7lbWc0HLUk+tBY8aG +/Bs8OCKo0+8xfgN5vsnHiKfgKPNvIKPx1X7I0LXHjZ61/QVCfseOhCWOsC/srOVoTb9Zxi+lyb/X +XOynBu1AcJTnneyi4jjkBG+uvNauOLJfX9Nfi9C/w5HOb9qOYvz597DmiA1QlPfatAg59V/WDna0 +I3cVc4q9wc+We7mEsI01HAWXWzlirUme33jyW9DC0Vp/lOeX5k/MPdK/9db+SPI9uTVg3vRV7EgI +jlreuW8jdgSCI35//Vb31mtN7dfe28xl2ZfYjqp69Hg9dvzRwB6mwHqFJY5aIFc40nnEv7XzVHte +ZsVvpW0Ha9sBZAVzxL6ut9Yexlpb8Ee17BbAB+urcKTzJY4oDzmOIXdsrmV+ea3luBbl3TYVPGfx +EvMt8655sq6UgO34I/gMG1rRVxfBEfPezFHTd57z8m9UNSf5iIuHzy8vnnyX/hfqs2fprb4+z+Ba +ePD988tnWpevP3+6fP8j//Hj8+W7L58u33z6ePlGZe++cC18/nz5SnvW8kJYjfNWElXKPWmRQWdP +Pn1RQPqkwJQ2cY9ff9DEVSZZykg2GBDXz3786fLp5y9yzupbdY/ffrp8iqyucRjcaNo//fzj5ZMv +gto8kYyP6oMEyg5Xk32khObpx6STMTx+9cFlj98pk1fbpxo740Gv+/mivlXOOfN//Fpy6vvp+89e +gD0OdsCcs8PHGJ+o3VP61ljLfN5orMzHY008+ebYmSRemNvjqEcvid7Fi+SUpcdjF9dPf9SRcx2f +ca05Mh/acN8Yu8vhFFkjzxE+WTQkUuLI5ZI3R1pET97lsauucETf0R6OPB/d3zKftFC59n3UER4Z +Dxta3ze1pd73Cb6CuyVkGZK9l28+XL77JAP/8Onyte7hu4+fLt9++Ogn+JGE8UKn5y/fufztR144 +hYyg85dvNC/pQZ+TxrqfBk7odC9T8vfm8vkr6dQCo/839M3/ulX/lD/G2UiO5I/E9PU7/ncsL5Pi +BVJJ9rUWIv/WB5lef9eFx+ux3lCiih0/TE7Y95j19V62q/tc329s3XPXGsa5YPMGcsjr/HFe4x6r +ZNuxLwJetfnw/+x6KWi8/sqJrh8wRhxt6MP5Rj2ywgPkcK4RDJaADs9Ba5BElY0hiWrUtfI1NAav +dfxczZH9kdYYHDFOZNEVmyN4lVysZdaTOTrWXwtzlPkJZL4SR1mOI9dVvY9wJJt1gGt1t9DYdzjS +vVmVqEoGHuAj/LR54jyCkfmXXvlc25fsBn+Iv7ZflKz9k3wIm4Ol3/R3AUfMMebtueu+mKN8n0Hm +KPEiO8KeMk/Y4VE7ApkLJ2EK0uUlQIfgNmmt2Y7kcxNHOjJnlVFX1hp+m7UGfwL8sPaeilNiGuvV +G1v05vEcBPM2R9Vai3kHR2FHgOtcv8Mn8Qo9tWyLGJNkWGPmCG5Xj1X2l30ScdKxHVuBH9ZWrC/Z +yCP2GXBoZD6RtT9KXO7pXwKy2Y788g/mXvkbJxAhx5oIjhSLgqMHcIQdHuubOsFz4EOhLYlq7t/7 +KdkNHNm/yJ78wVDIxDHrS/uV7I+0D4LfxNGGdQZYm/jcPOdkG+nam+PoG47wW5m/3bUm0Heru4X9 +kcb+OnHkJCyvka58IDjSnFlbtiNxhG04QWe/JRmSDXPI3hH+tJfx/hG7+qB9GmtTa88PMnr9tIhx +aW6eL3ZUcwRv+OiQzXZkW6vtzRypT+pr/UtQf05USebh6Bg/oOIo7OiZ9hTsC52EYTdZD08gvdbw +W3l9PX6Z115wFLHtWN9RbzvS3IMjzz1zlPdwaYxC1x81HC31m8dUEtUc16J8qQ1xz7bgOcrnKm6x +92XvXPbE+GZsWW2s33aTfRGxn/NsV15rbT/HkNfaLkfZPuon53DEnGp+hAfyTV5rNUfM7djLlB69 +UEKqZPXZ95cX3ykx5a29kaRynq8f6vjd2zeXb5Scvs14zf5ZSepbJaiA8zcqe/lWvOm+3n6iqnqe ++j1R0kmihvF6khfP04aEG6Ug81g3jeuHqrtKZD470F48eOGFQuJKOYZhInEgMgQWzyMtCPelSXoz +ozJvZgj8ugEOaGpLchqfcjjQk4iqLedsyDEyHBJ90ecDEUYdOnFi3ixgTNmJ1Tyk66SXPpCjf8bG +eBgrT1gfaD7ejDB2goGMm7k7McRoBXgqzkHO0BtYbhZ9sDhUFw4TnjxfyZIMpIRSsvTPHFk4OA3+ +lyr3gURWY3If32mO8CYnGxyxgMwHHGm8tH/8IjhSQNA4zRF6NA7+7QwL0Lw7uLGxTMkK+uDNAUDO +zYtURyftBISYU81jA79tWEfeNvxeRv7xx8+XL2TAtKOO/w1LQvpJcyMJfaaFyluGP/7Im5DFCYaP +Ds2Hetq/18L4HjtCf9N/JHt2QuKQ/9v6nXS6D+l8zXpQHTpJTl9pvp+UBL5Vnzw9ZbF94BMiLTwS +Vn+jQCBx/hDjf32VMNZ9Xxcx9usmqv53CAr+rpedeO0Jj2ULKXEXn1rftj0CuPRiJ95YZ1vEftKH +L7It7Bjbko2kzXZ//AXBC/cOB/wGZyr7o4zgyKfJOFpxnQK75HDQ/M4BBx1rVPIXahe/h3Aw05xK +PzXoU2Ddrk5U4UHzJAibI9aEbJ97bpuDk+yjsH0HC8q1PuHEdawl/AP+SWWrN4Z5TBckVfm3HiQZ +rjNHKocj5g5HClqFIwKUOcp64AgdBLh6kxR91VCbHY7UFg6WOWItSRa/zjw1Z3hpOfKHiJLBL7H5 +s6/XOVwQLzhS/4wP2lSPvblP6ej2W6Cx2Y7UJ3MvdoRtihMCd8WRkxDsyhwhl/UUO1K57UhlB+bM +cVWiyr3GjjSWYkdwpPXlf8WlcT4m/mEncITvtn9V7GRNym97A8g4iVfYY8S1HOu6/QY81l2Odtea +yuFI87B9IQdHcFHWWtbFmM2RZOukLfoKUAa2Jqq5Dh/iWJJjjH0Lmz2NzfdVsD9ybISjD5cX+CNi +r+KYN4iKVd5wr4hBqV7t8TOFo9wOsFm0HcluSELhqF5r1GeOLmqODtpFwlCiKtjH8IEPcVnr7ooj +jQcZdKBfYH/HB0h8oG87g9NsW/ZHR9eYwLh0vPK3mqc2u2W8mof9EfyZo3yNXYU/yjr8YYnKLXvI +joB0b05UqZM9+IN3rbnEkeYt3wJHlLOvQdb+CP5Ux7riYYH9kfrwnok2H/P+jA/OWM9tfy3w2YWj +bAPBUe2PmAt2ZI50zlqOew9HyPHBB3ZP2aE5i99Niarr1WZhrWFfju+Se1j2ofLjkk3jZB1KB3tb +cegPqmOch5DvzZW/Za3lduZI5XBEOXbEmtyJa1lOvsV+ynak8rCjHuiTeYj/1YkqwIbEq/fG2X5T +kiU9rD2tJSft8kVpr57337I5/yQMfy0eE7fyR+zzWZ/HbCiPqfgjc5T69xgqO0o+O6+1sKuwIzgK +7sKO0KG6bqL6iXkkmZSoRpIayAkq536qqkT1++f+6i9PVHlyGonpK+F1TlydxOr67hNVbtinvPFQ +WUpu5CRywODoRFV1KVjjKNOCMKQP2NjptwQi6ZVcSVTRyU3HqeRNnzcAWjzWhxNmEySkT3h0A6XL +TslBMy84FqP0+CktR5U5ocQg6b9GNhrq4Au9TnIxBsGOLjt/NhfeoPJpikCdnaXapQ0rSaQ4oq30 +2og/pGTeCSRGjANgvGxudAPtZJ0gpg1LOI8Y29XCEQc4Gs0lbYLSfUCfecPpBu9KjgELCl6S0xGk +kznyiX1wRBId9wd5dKXNp8o0J+6tk2TV+8ku8D1fm6iKB42ff9HzXkZOEsi/4cG++Hc4gK8MfFQ5 +Tyy/V0LoJ5gy/tfvda16klmSRp7Gvv+cdCBn/VX/nGNfyJNMIsO5E1UlvSSZ9JX6fuuvH78Qrx91 +33hii/yrd/ShhSf577X4+Tc99P1CzoSnseggiYyEMfq+CfieCjeaqOb1yFpjzXHP7Hi5x94spySM +tZnWSt7giCPLsiawLXxAtr3e2HcQvNgRy1YJNAQOzcvOFGQHu5OoIkuwIihlWW+cwmk74Km87Q/Q +p4C/WJ2osna8ttLa8ZphTTNv+QBzgo/zpk/3XHNP60zXWg/BUZJRex29xnt9tchjcrAiwXTgzhzh +p8yJQCCDI7gwR1ynesshz6aHchCbgsU5NxxJHg4W5TOn6YOy7DOyjzRPcIQPw1fgYzXOsC37fG2g +aZuexMqG8Jtad+v/tZXGZjvS3OAJ+8N+bB85gGM32Y527Aq5kMWOwhaRQ/eBOXNcl6gm+INbbAO/ +ii/NawwbMR/EOnGEjzVn4tHxIjaG9Viyzp1+lhBy3jwn+/D8Yu7VJudqrWU+Y60Zkg2OpOcgRzE+ +x9wNiWqAjRzxCLtSPPUmT3w4CcuxKsUpxVTbldaefDAbdMd42uCP1AYbPNpnrk8bw8wF480+ptgN +CYXXVmtXkgt7q9Za8kdNX4HMxWiiGh8E0S8cPcvxumyE4QjfYx+e1xX+SIgy76GY35rkKziyDTA/ +zX3PH8GJ6ry2artK9WWtwZHKvV4pq/Tvwf5IdrT1iSqQTOJIbW1HcIQPlg7mrHr7Lq252ONgO/ZH +79OHAOZN69P7pF4fPcCR54dtVPPWMdlN5ijsKjiKdQZ0n6wDf8Q1eg/NGZ9NErY2UQ0gJ47gwesG +jnKcir2m1xr7ataa9+IN/5xv6Q9/FhwxP9ZPmbvspiRhiaPWrgqXXmvUVRz1kMe3OVENsB5pjw9n +nw0X9uHay6scG4Mj50HsjyRj307809F5EGsw4v+x9ZbHdPWhUPYled57dgNHcFbbFbJC4QhbhDt0 +s6aOPlGVf+GJap2k1k9Unag+k57nl98rUY0nqG/Yp3MusEf3E1ZwXxNVb4wlX5zEe12/SAmpnaw2 +Og7eki2JKk5FCdBeohobAIIZgV7zwki8wcJRPciJKhsAxiqdNiau0Uc/daLKzczG4nkoQSnGV3Qm +YyvzkV70WYdkIuHm6OCp+khIcYToIwl8Kt4Y+07QQLf69yYXrqknOcD4soO9GmdyMl5AkkuJqgw4 +9+966S2OmgUmfoKj4nTyfJhDcUqZy/TVh+Sk0tNhJT7qx8FO8u4rjwE78FP0rYmqEke+3+5EVQZM +Avm9Fh1JIMnjp0hUFdzefvzkp6/871YSWb5umxJVnnbyVDP9b9rQDzjn6Sn9OBFmMTNn4Ts5gfeR +ZLLhlm3Hb09fiAMSVb7aS6JKckwfkaimJPmdk0Qnuz+lZJc53YtENduN1yP2rbmz9rz+YkODrbJW +WKfYAbYYiYjapPuOD0hPinpj30HwIu7S5lltuB84Vo441HDEbJZx2LEx5DpkCWrURWDDmWPPbX+A +PgXWyuZEVfP1t0Y0f3+YpvXkRBX/6SCU1q/L5EfShze6lu2UNa41ER+g2Ufk8XT7BbkubQwzR3lj +6HnDnYOQglPm5GoTpHOuI6hXAf1kiars0XbE3PEv2Ac8YTf4D/wJ60LrNXGS7eipxi7fTAC3j4qA +bt+U9O/1uQONjbk6oOf5xbwLJ0LYTQnwus48FlnkNOdkR9J9YM4chxPVvPkt603HFOtITJPd2O9z +rfOdjWHWV66PIeRKopp4IEGwfcABHAUn1GE/YVdZzrI1RzHn3jhifMOJakK9h9hLVIlT2Ap2ROwu +/kj29ib5LT74cCw81meuL4kqG0Pml9eaN4fYTS9RZTNNPTYET7p2Alb8UdNXoMxxLFG9aq+2Wjd1 +ouon9dSJD2zO/gi/hJ9m7clfeS+iGM5mEdsMfd2+QK4rPgefy/yYd6wfOCp2Iy7CL9OGerjE98GR +16sAd5X+PdgfyY4GE1W3t2/BjtLawy85gVV9inN5LyN+8GX2R+Iu+Xb8Fn5qBUcB8WJbITnI8+Yp +mNeS9hCFI/gra0/nkin+CB3mKNsXeg/1jc9Wf5sTVSBZOPFeOPvwnUSVtaZ1Zp8t3863E4t+joFW +bw/IEU+wCY11xx8xbnMUdpM42rMreOJIXfij4KiHPL6hRJV2+G58Mj5aa4Y9MTZInmOOJMe+wHmA +fE58Ay32R/BJzuH1SVxjD9/2UyOPKc1P85Y/2fFHnO/4I8mx1uyPdA0X2BDy5i4B7qybNbGYqEqO +uT3U3J42T1DbJ6pC+o0qT1Q/Xr7T/pmnqm8+C/k3qunrwJ+VuN7lb1RV70QVx0dQ1SbEn/T5q79s +XrTQc3Lmry+JJP/uhsVAQpUDj79uGjqkz8ahOmRYHCwU96UbkgJ4SjRjjN4AkLzKINJTx/xEUrqu +vvqbnJIXXB6rN6KqQydfOSOB9CJyeeLHia/m6k/4uck16DsMlI0+QVFGEAsceKMrPfTpudCvypFx +3zjD4hwYp9pmJ2rnwXxVT6DBGYWD9dw5Mlb60DiRc6LqxZDqYo7eBEY/eZG5j8yRjVvX/J41nFK6 +F2pfjYNP+L355OmHNuD0G4v26ivGbBI0DmwkxroA5gO3fPX3g4z5k4ydp50OtuKI5JLE9KN08jVc +ksI379PXdHmy+hiHRd8aA8nbJyWKPPEkgXWCKqQnqO+UoOr+225ZiKlvjrbx+OrvO5JM7s+Ly0c6 +khxfffX3nT8VIqGmD8bCGOnne91jkuzy1d/cR2/Oo/CYhZtJVGXn1Nt+r+6354Ntfqc2Xn+6x5Kx +w3XiKhvSRrDc81jnlOue2+kcm3fUy65SoJGdha2wfrQxcbCKr/4iF5sbnDZj1xiRtzOnHB04cI1r +rz+AboHxrk9UhbK2st8wRwQo2QecaN2n9Rm+I7djbNg2ayfWOBsexs667PXXgTd4bHxluw7cjBX9 +0hMB3Z+iwhFBiWsCuvuRHPLiNrjzZqDTT4Ha7HAkfo8lqvx+i/k//SxfL3tpOfIHgQI8etODXcle +HmnNw8X+V39lR5FgrOEKjgjobHzCjrAPOMpJQ3DkTbLsyhvGHkcE9ODo0Jx1XJeoYqdpLLYjrzV8 +o/ry3Il/OS6xBuWLnWxhM5LFb8NvrEtsDA6Jo44X7qPpcw+aJ/ZROMrtih2pTHP3ByHBUbYX25F8 +CfJea95cqjz67oHxAPnP4Seqea4lbpFgeJNT21WKSXzIjCzlJabKjhzruDfo6/UT8Jg0T+yIeWu8 +TiIoF0fpq3ZwJH/EGoTLstYajkoip/KDdpEwmqiG7diuxFFKVDNH1LFuch8FKvMeyokZ+53MG7Kd +PnZAex1rf7tjR3CEHTF3c8Q1a03XWifmyPNSORypvX/Pe8iOgNpc64mqOVLb2o6w+exz7I/w7apz +Emb74qu/eV9JG/YysZk+ZksAO4IHjXePIycUmjccMRfsCHvDZ+NnJeevjebyo2stoDkNP1EVRyRj +/hCD+bJuYh+t8dRrLfbdaR2KC9aoOMS3rfJH1LGXru2IJ6qUM3c4cmKleePLgyPkzFGS41g40rzX ++KNNiarus/c2mltKUK/2w8GL71fGY+03/YBHHD16pXUlvfZHGpe/BQqv4tccLfVZg3kyd+YNF3BE +n+hlbWFHrKHss8teIOwo/JH87w5Hec4Hn6jSzolq9RtVjoFIWPnq73fpq7/+eu+P+QnqpwQS1vo3 +qneUqIokZLgRGDiBVk4gPdHUBk7BwxuU+LqcBkhwZtH7K6LV4mccZbOMrMq98dHN5dNUFgkOxp8A +5id1/pcguiFuq37Ki328GfqQnDGfxNrAUlC3Q6fvvOF039JVL0J0k6x54SGDg803Nzixs6JvyTEu +6+QpovQ7+UYGoxJ2ElX6xRmqnuDgoEr7nMxH4u6A8pQFlTjwb0DhUbyWTWM2WpIR5lJ4ZR5qh24v +NvrHGcMR9TqmDaOMhr5ZQGprjuAdh26O2HDmDwhkA/7QgOAmjjAw9HgjZceF40/zKR9OqH7Vp9mC +Ezrhidqnr+9+8m9A/TIlHfnaLUmi5w6n6o+v/JJc+mVLRjp/qSSRRC59xTd9JdcJqs4pi6erO1Df +j+WYy8uU1P9rcYNev0xJ/D3SZjFxnt40zJNVj0318TIlnvA+zYHpFP+y5qYS1fIyJRyGZL02CMq6 +Z6wbO1atRe5l2hiof8k54Mt2vBZlMz5K1m3EkR0kcqAZ+yJoQ9DC8eo+2eHmoz8BRAZ9BCYcNI6X +TSNygHY466eVzh7yuDYlqsB1sqdYG7rPzJu1Y39kjljzee7RJveVkhP5Md0j+yL821aeSNzZ3NUc +cQ5H3EeCWuiKDWKut3xwJD17uluwvmqOdG/KeHvywHXiSP4LPpin+RHw87xczr5Nct4syNaeKKaY +R3yRfKLtCptSuf2w/VfoXgHsA47Y5DBvc6C5s5kJjkI2OKrtjQSVtYu/R+bofBU71iSqAbcJO0rx +0t9UYa3BFxzZjrIsvhROiH1wCE9c04Y4SZIhLlfxEzLcR3OETVzN3ZtkNjEhC1dcw11whA2RWMAR +emq9LSjPejYlqrmOe09M88tb2Fewdog97FVkK7YN5MIf2ReJI9tcOjrOxji3AE5bjjhq/sUfAXTr +vpd6kjTLCWvWWuZiNFFNHGnd5H2W9z/EY9nFw7yH2rlP7ot+JP9ZsnDa7ilA008XGnPyR3m+hYPK +jgLmqJGDS6+1Sm4J9keyo5HfqGq+7OFYK/gkf6slJ+gu11hL7MPOan8kXv1hvNYf6w6fuJoj1o/k +0zcTmLOQ554+eK3uS15rri9rreIo/NaxfvHZarP5N6ryy6y1ZEeKU3AkLvyhqteaxiF5xzKtx7JH +ECex9vxyN/aBW/0R9z/WGjaSObA/QlfIigN/YFtzZJ7gSHLhs5eADmFTohr7c3/oBSfJbuyXtL7s +w4nr+HH2PmrDOoIH+2z7ohT/0PGYvXSsxy2Ah+KPYt7ZPoKjABwhU8vBK/ZQ2xFcDP1GtYK/+rv8 +G9Wrp6l3/BvVnX9PoyMGHf9GpkBtecpmYnAceVEAb1x845JDYbB2HDgX6cLR0t6o2uw4VQUqtwu5 +Rp46t8k6+ZQ+yv2EF4cnI8dZsdkofbM4Mf7op+KjwO2aPnVER2uQDkbS7/FQTzl6gxPaBR/uL3NS +6xY8l2Zc8BvOpshHP+iXvDmq67O+KPO8xUfiiHqVmaM0D+swR1kWcA7MYzUfdNAfY214OAYnrDqm +fxHzzl/9dYIpPZGkhRygnN+IkrSmf0+TxhJ1XLMwGH+0XULofKT5oCt0ch31tRznjzVH/46WDzbc +9+44bxqh+8YSVcYZsM1x75Nd7Nij669ge4AbNjni32upI7cK0QZbg0PdLzvghb7tcOkPOWAbBY1c +i6zLY9+SqAaQUd9erys4Al5TrAW4CmDP2U43Y4cjoe07zikPjpAzR1nmGEYSVVDGkX3aDkeNjPRd ++ZrshwDc5PV2tL8umLfAvAnO5qiRqcZgO2s5WtNvltmUqIKq7z2OGHvdd5aFj8KT1hsxrNhPLb8G +IV/bEedw1NPFRnKEI+qB9G5KVDPSnBM3PsYaYv7wpbH4pzVZF9eWox5/FPa6oq89RJuwI88djhZ0 +wh3cYAPBZ12/hKxrPFGtbULn5ijxwzX1rV80b8hWsO2tHXOLmiPWLRzV9cGV11qWI0lbk6AGRhJV +wBrDLtiTBkcgrtnjMKZyH6p1FsAfsX9d01+LkIejmLs5qmRCDpgjofCp81rPMUh+8xNVjaXMO3NS +eKo5quaf5BuOLKP6mEvdxyGEbDvvJX9U2xFHZNf0mWU2JarANiTZ8D+1bcCBfVPjj4E5yhzW/qjV +vwXMNTiCr7gnrd7gaMkf5TY3+RtVP1F1YpoS1fiNap2oUn83iapuFjrKpjwT0EWtc7GchDclvTsy +Pey0W4Ge7I4O9WvkurZ+CbX8sXbRx155g7V1LQ7JtnUHUXGxo6MZf91mR65CXb4BkZDV6MmBYzLH +2reo9R1ru0bmJhF93WiiWvfBdX3/2/oaUX9IZgu26NsiG8jyw4lqIPqt18ISimyDnuwabNGzRbbG +aKLa4pANRfkSWvmt2KJri2wgy25OVAOlz2qt9eRAka3Qk9uKtfrWytUIeW1GRhLVIreEY2169Vux +Rd8W2UCWH01UjehzCWvlW7ktWKunljsmW2M0UQ20/bZYI1frG8EaXWtkDgGfvTVRBXW/PayRb2VG +sFbfWrkaWX5zogpC5hCOtevVb8UWfYdkc/mN/Ub1uRLVd+mrv/Eb1UhSeZnSO38dOP8fVV6YeOeJ +6sTExElx8kT1nMFchWsnqueOm0pUzxmZi+FE9dyR19pwovotIHNxrUT1W8B1E9VvBaOJ6reAaq1t +TlTPDcHFqt+orkhUv3suPt9cvuA3qdqD+mdzH95fPn//XmUfL1/zLhmVvfnw4fIFfk526m/hak9x +i1/9pdNKvnfT27Ilw6jL47zXNlCXT5wV6g8/Ijmr679VBBcnfaJan7f1LY7Vb8Ga/gIht6X/rP9G +nqjW523bLbq2Ivrr9dsi6tfI1rjpRLXX7tCYRvupETrW6jo0nh6y7HCi2vbX9n1oLIfqtiD0HNO3 +Vq4GsuAmEtWQP9Z2RPchbNEXclv6z/pvNFHt9b9lTCMI/cf6qeW2jOmmEtXod6nd1vItCB2HdFF3 +nb5uIlGNMbRtl3T1ZEcQetboi/pjcjWy3uFEdUnmUNvQvUb/GmzRFzI92axj7Deq+4nqxffPLy9e +SP6V1uSLl5cPBY4XL1Wm8gfgZap78PTF1RhuK1EtL1N6KgfCD4hV5h9Zv/tknbzhyq9F54fo+Tvc +NhRedIQMdfzIPWT5oXL+vQTfAeeFCPyPvfJCHsilL374nn/MzYYq6uoxT9xD5HvIW35JwvyCpA8f +/RZe3qj7iARMQSuSNX476v+p+j69cOnNh09+266/WiA9yOz1cc8Rc7/536hqzclZeC3GOtaaZB2W +TQFgHBzzGo0XBvBbjPI7jJBbC+vTeHlhgIIILwGIV66z0d3RyTX3V3OKl+b47Yni42jfuX4oUXX9 +a/si+yw4Evh3K/xOJcnISWvM5pCXLGX/Fkh+Tue86AQ/l8ez19cSNMfCEWDsBNuaI8C1xuEXeeQX +TvjlLnDU09tiNFF1vdrBUdgRHGnuJb7kDTi/k/P/KnSsiDiAvxdeVy+kW4uYO3OUPXje2AdfY+J3 +OnBSy2WOsLMrjiQrO0zzOIIsszlRdbvgiLWTOMIu+K2T+ZGMX/DCi0syh7HOHDOxH9v7ka8M94A8 +MTM4AnAUay1kOIYdBT86eq1FzK31tqAeSMdQoppl+H03tpFeyKV4z71sdcAD9speJvxR7bdCbi1o +I327/qjiKPoHrIvgKL80x28qDY5Arw+Q64cT1azbHMl+nipeYjPea8FJrmNdeR32/JGO8aLLGM9O +Hz2EHDbI+oGjWGtdjgSNwz47bAlbWMMRsD+6XqIadpT8ES/9qeeb1yP19kfBT15v7DPd567Ogwjd +9keVffTWGtC136Ysf2J7A2vXWoA1IG5HE9UdjvDBcJTfP8PeIL1cKvt01hkvFcRvad2lcSbZzQif +jV2wfph7yxHHdq2ZI8mt4Yh6YVOimsvdhvwm+1/WTLEVHe1r6jGwD6CN1lW0OZRfHQU88PK/8Edw +hB3xW2/qYg4AjmxHFZ81RxWu/RvVGk5MNUaOPlf7nKj6Wkmsj05Uc9zSWG8pUVUbJsTbsXSe3jz3 +Y3oboQbBzeKfJvu1zAQPbWbpy6+NV4BOQQfjZsDp7bZ+w5pusN8m9vmL3/THGPlOMyCRpe0zbdDR +NRPVM0C+fySYJF+88ZekkxcUUUby+V72l/49jJyMHCgJKm/nRTb+JypvBSaxff85/S9U/2i71989 +xo0mqjg/nKp48odLJFesKTmNCFp++5/qyr8Zku5IUHlbdLxB25tnnCTrGbnO2Ltg/eKAc+Dx/whT +mYM2b//D0RK0kCNI4XyRpc1TzZE5OHBJNgJ7rx/AuIRNiWou91uvxYPf8BccyRcVjrSpoYy3cHLt +t3izsdZ9imDvoGKoLILwGpijNEfPnzlLrzniLZIEbD50QCfHzIfr4RJZNolrOAKDiSrz3+FI/LBh +8v9XxbbElV/kgv3o2v9OjI01m044Up+0I4bg4x286HPNph2eg6NsR7YPc6RrOCLekIjCke0ocWQ+ +ih0J2MQxjjIXWxPVPY6wI8pkP7Yj1hp+i3tAuXhI/0OUNah+2PyIO28W+ZdijPPIfSlg7swtcxQv +2uDf+QRv6UU3gjiKjU7LEe3L5qfXD2BMQDa5KVHNdSVRZy3Jx5S34mMT2EpeU7ZT7SvYONf+yJvn +sNlD/bVgTvZHV3aUOEplXmvw5rWmozlKduS1BkfZtspaW+o/jw0fsTlRVf97HAnJftS/+CEGwA18 +sLfizbVpPNlHMwdAWa+PJSC/t9aEXJY4kow50hEZcZLe8gtHeU0GR9ynXj+BkUTVdbyw7I32o2kv +yVuOzRF2xBj4nZxka47YOLu9+PNDEd7ib3+UeLXeQ/0G8EfZ55oj+CkcCcERXNq2VFattcSR+DFH +qazbTw3JbEpUC0c5jmmvfWVH7M81Ruww63EM5M3J7NP9AEn2WvmjnTXX9tUDfFQc+cODRY5UbpuB +I5079m/giDEJTiDhaGWiav+ieMU6Kx/oZN/Df83wW39ZW6qjjDjpnIr8SD7LXGp9pg/Oks7F/nrQ +eIsdcVxca4L9keSKPxJH+HHsT3NO60868xiO/0aVxDKS0TZBzdf1E9WdRBWorFxzzj3LT1ThUGO+ +va/+asJOVEVS3Bw2vXQcmzte8c2nMd6gKCD736HgUJ9kZ6HFYIPXTd9JVHkNtuAF4LFoUUkv+u1U +WCwY59abP/FVwZsyGe1zGS3/g/Tjl89ORL2YVE+yyr+pSf/j9KPr3ilJ/fgj/0JGdoLDkg3yxPXF +G+n4STqk5zk60H9GtnFTiWr6P6q5Pj4kkkNN317A4cs3PNN69ZrOr/PHSWsM6HdwU19skvy6/9zv +6rUYMvIbDj4KrunpYOrbQUcbXJfjOJHDUSvAOIDFupesX1uPI+d/iqGD9m1/II9tU6KKb9OYHMjh +CH/0vfxR3kjySXxKJuAo/VuD+DcI+Dh8Hpsib7B1LONe6q9GlnHQif+lxsaO+XGPpMtPTeN/p8ED +QYlr5kVfD+BCkC82R8AcXenfgzeGFUeSL/e2J69y5M0Rmzo2LdgRdfh2OFKZ/+cydkTgV8yx3XjD +kzhKXMq3x8bQwYtNddoYLENjY+6ZI88vxmuOmHu2G8k58NuuMke0Rz541pwth+4Dc+a4KlFF1hzB +Z8WR1le6l6ojVpK8Y0ckFGpnTiPWKW5aVuVOTtAheXOU9e/0WSPq4EhjtR0x3lhrOobdXK21zAWc +mcsk57o1HMWYlKyMPFG1j5G/8SYRH0Syrs1z+vCisgkSNvHkD0TwYyQcJKpsHD1uyazoL8mIh2ID +mSPfH4G3tcJR2A08cI29wRl2xFqDy9ABz8y51x/IYxtKVEHNkewk/R/VlGDsJKokstiLbI81Fv7I +x80c1f5I/Xh+VxwlH606r7XariQXaw3wYQBy4JAdAfsjzWXkiSocaVzxYYZ9eCSqbJalg28yOM6x +/8z/IsrcPCfhEK9wx36VxA2da/qFI681zb+2I92vSNJtN9TVdsX9QJajdSArOY3Heg/1LX5Hnqh6 +/WQ7Yq9uHmK+mSMAL451Wl/4oLiX/jctxDtx6DZZvteXQV3ML9uRk62szz477IZ4h1y+Lmst60i2 +SB06Mkc95DFtSlRrIMOaZD8Az5q/E3TZErx5PtaDvaleXPnf/cAliWrkMFv603HHl1R25A/F6rWl +OtsVsnAWHEneH+rXOihnTR16okrfD5WArk1U/QRVfcUTVCeu+dx1nAs8UX2cbUqc3X6iKiPxYs/O +0hsONmx5E4seHAYLoCSqLNpwFr1EFX3S4U8z/P/C0qca3uQQvNnk8IkDkwbNuCfuB+pE9b2S1A8C +T1FJSHmdNf/DlH8U/PHz58vXOvrrvvzfUn60/f6jn6h+/yr9r9RX79IT2Q+Ck130n5FtnCxR9drT +WpM/4EmN1xq+IQf3kqjCJWtWY8FB12t8ZNNjB0swJ1gROHDMOFocat707CSqOGM5aMtSZnkhO2mX +48zb/kAemwPJ1kSVrz0p4OCT4AtuONpP5adh5khjYjNoDl2PnMCn+v6ffApaKscXxni6/YJc50Sc ++cFRBCUST+YN2PTASXDkTVCuIwCo3BvDrCNtLq/078Ebw4ojyZd725NXOfLMkU0Mvj24CZ7sy+GI +DY6SC77p4K9Rw43K4hgxhI335kSVeTNH5prto2xyKM92tGdXeTN0lcxnOXQfmDPHoUTVMVIbHGIZ +c+fIWitriafMkmdTJR7Mh2zM90DltLEOcbUtUZVfYFOX52cbIu5z3m56sJ/artSPZeETG2JjGHPu +9R1jGkxUQw6fkzhYSFRB8UfxwdlooprXGvPT3P0VXq7DPordwFHeKCLL0WtNgB/keKphHZmjHsoc +tdaGvvqreeOfWHvaE9WJauIo88feKfxQAH8kewufv8p35zrPsV5rMe+YO3WsLZ7+mCPxkNdk2Juf +yIpL83QsCbM/0v0dSVSRgSP14YQqrz2uXZfhZJaEH7+V/RHfiHF8Y/3hj7YmqtiKOPI5a41xcx5P +vbL/2bOr4o+SDq/XYxwBfLb6G/rqLxywh9caM0cxX9YWeqjX2iuJqrjx+rLt5fVZbC/Jd/sB1NG2 +nl9wFGsu/FHeCxS7wh+FLDaEXXm9qjw46iHmMJqoYkMk8/he1o1872Lyiaz8Hn79RhJVr7WKCzhi +7Dt2o3LWY6zJvC4Ll3CELfKBALqXEtWt/0c1klcS0vh6b5uo+jzXPX2ueWWbkg3YF91aonqRHIE/ +YZCR+ysm0s3/H+IrAnaIfJrHJ1QyajtUAq+M/OJCGbZu7GKiyqfwGp+dhxYPgb5snmeiehboPlF9 +kWyL+ie6xySk+09Ulbi+l12oHjtic0eC+/GnL6r7Mp+obklU+dRYDvUhv5PLfD3MT1T5RHknUeVr ++A/lO+QXSqKqNYuuEtiace8hZHCkbJ75RBnnG/XyDTjgi3cqzwHdwQpZghXrns0c87QOOXOctnWo +LvTUoE9hKFF1gqFgjH/C7/FNELVJTwHlp/gmifyUuYcf/JohOY6STQmGdBC4su9c7BfkOgerMj/N +nXLmjv+EI+rgJIIS18wrfCO61C50oK/WvwdvDCuOJF82sj15ladElaeFFUdsljV/c6Qy5u6Ei3sb +dpK5Ml+6hiPsja8Kp5iEnNDrt0D6Khso84MjfIM3OdluJOcAb7vSOWMJPYXnLEfZgTlzHEpUWWtO +MjUG18vG2AQqxjquOX5mTqtENezadoQOcbQtUdX8NFbmmOaX7yk2m+3maq1lLriu7z11aziizLqv +majCQWyESVTZjGI7IGTz+U6iGhvDsLOQXYJlxEOxgcxRtOWDJTjCT2E38MB1JGW26SS7u14lW/dT +I+YoGx3+jarXKklYlaiGnwaS81f4sh8qa03lZb2y6Y71emithb5qneysNY0j+WjVea2JTzbO8BBr +zePSkY105sgb6kr/HjzH6ySqcEwCVyWqcNRyjGztj1QGR36i+mEgUWWt4Xfq+alfcxJ2Qx3XYVda +L0mH5mcd8Ce5UyaqyGmdwMlOogpH2A08wSF7/EhU5YN8H9Ue2xt+ogpHnh/3M99TzaP4o5y0hx2l +tXalwwkZdlhz1EMe06ZE1eXpaTPzZX5eK/IzrjM3nfUClzryAVBJVKPNJn9UrTU+7Knuqb/+Gxwp +BtkfBUdwVvujWGu1Du7noSeqktn8G9U6Ua2fotZ1PFG97US1/o0qjo5Nqze1ecPGQDB4B1pusPqy +UYdDhTT6YMDexKmcDYo2vU5c1YbveDsoSx5HQ9LrMnTyg+/i/HbHPHGPkO8fvyl9+Ybfo366fPPx +4+V3SjSxMX5vym9UeWnSMy1CfqP6nRJZXrbE71H5uu8zLUYS2LcKKO8kS8KKXLe/e4ybSlT3fqOq +delP1wlQ4hw4sMvJ+rfgkcBmsO5YzwQtr1utXwc2j1Nrshn3Ili/ONKcSDio44RdhhPOAQh/omPa +HArUI8cccNDIqsz6ev2AeuxrE9Vcjq+y7zJHyV+SJJSyzBGBmt+uxNeACycaOx/amSv5tfQV6yv9 +B2GO0hw9f3hQ2S5HkmGTw9EcEbCyXOENjiR7iCNAEKs5UrA8lqhyLHzIZhIfarvHUYozfmKIThIA +HbFBf51Ka9ebAXHnex59HIM5SnwkO8q2QRn2YY7oS7INR07oCfQuEyg7xlGe86pEtUDz3OHoaq35 +qXxwxP2SfmQdA/PTCp748CEwMdLXipHmaI0NATZ4zI2nOfDhtaa+sK0ogwt0xroCNUe6pv3atTaa +qGIfYRckFvxG9erDi+QDPU7B7yHQ+PyBmzaG3huQ7Mf4VvRXEHYEH8zdHGU72ltrqsNmkGVDCZeZ +o/QEZB1HY4lqnrfGgW9in+UPeFg7rDHGp3r7dmxGCRf2w5rz2pOfig/8nbjRJo9nv68G5khzLGuN +uTccYS+Fo8Slk3bkWo64j71+AvZHA4lq5gB4LTHf/EGa/W/mIunROeNgbpSzTsWZv0WjdsUfreEH +MH/ZvTlirsy7cKRrc5TnHgk92OFIY4TPY3YUkMzQV39zvA5/U5JOrbX6HR/1B47Estof8bVqrlfd +l4DGu/PNDWxF8IcdwZHXGhzBxxVH5jI4AvB2iCPGJGxKVEvyLrvR/urqA2YlWl530qEcxD6buTNO +tbPvph/FMz48Sv5I9rPmHragTfhneIEf25HOsQ0Sd10HRzu/UUWOY+bN9ZHkM8YbSVTzeUlG1Y5z +EIkqx7tNVEUUMjhDFrUSB26qN2h54WPIj0kyX+lmQqiI99ec1CfBmUGWc23uksNMN5Sbn2SyTjsP +LYxwHGxymHA11on7D79UScknL0biBUp87ZfEFHvyBoVFJPBJMi9Q4q3A72R7JLI8lSVBpd6yHf33 +GTGvG0lUFSC9fjK8ecnry+tYa5pg5b5DTpzzex82Psj4Ww4C5w5eWu9XCetKWK/Gi1Nl4wMIYAQu +NhvUhyzXOGCcNg4YR+0gleVq2Ra5flOiGsj19kF8DVg+zX4InwVHjMufrsrv4Q9JuuDGfi37OK5V +7g1Kq38NNO6WIwefmiOOXBPQ4DBkzVHyq0exNVENVBz5BSbYkeKEP1CMQMQGXHLYiL9hYzvK3MAV +fn1NXy2QB9gBHIV9aO7e7IRMHDNH3kzucFTJHUKW2ZaoCrld4ijNG56wEXNEvcBatA3BIfFTvs1y +5khxl3t56OnXEtBP2+CIeWNHsdZqWXMkm0NmZ62ttAUgHZsS1VznDziYv+ZrDrAPuHqrdSfb8huS +sRPs1PuEbEMhZ1ltIl9mf7SFK8bQ+CNvCFt/xDHbUeEIWa/JzFHI9pDrhxJV9YvfCT9TAFf2OXkv +pXFwvPJH9RttEz+b/VHMCTsg8Sr2IT2HOAp7A63fOoSRRNX9au7aoyY70lyZPwh7UnnEt+TvWn9U +JSBrxlkDeVCvtVhD7VrzWGVvmle89ddgvazlCDAH9bH1ZUokX4Uj+Zl6DfEhh98hgAxJKeX4Iziy +P0oJa+Jo3B/txbX4MKiWpY92rcHRGp9NvbApUaVcffobnp635vtGIDkN383PeVRuO1Ebf7sh5zeF +w3xM/ih/eL3U5xLY88RaY9584BwchS6PV7AdtRxxf7JMxs3/RlWok9T2LcAkrbxM6U5+oyodfHVz +h4S6fa+8LmtRt21l6+tWbuKsEAlZjZ4cWCNzLoh53miiWvfBdYu6fkmmRiu/Flt0bJENZPmhRLVG +9FtjrRzoya7FFl1bZGuMJqot1vRdyxyT3YIt+rbIBrLs5kQ1UPcZOFZfo5YdxVp9a+VqhLw2TpsS +1UDILWFUdgu26NgiG8jyQ4lqIPpcwhrZWmYEa3XVcsdka4wkqjXaflsck6t1jWKNvjUyh7A1UQ3U +/fawVua6WKtrpN8svylRDYTMIWyRr2W3YIuOQ7K5fPtvVOtzoX66SjK69BtVjtQ917mfqOYx3Fqi +6k8R0qa4125iYuJmcfJE9ZzBXIVrJ6rnjptKVM8ZmYvhRPXckdfacKL6LSBzca1E9VvAdRPVbwWj +ieq3gGqtDb1M6ZwQXKz96u/3kZwqIa2T0xpOVNUmEtXyRDWX3eVXf/cS1UxAF7XOXn1gjUzgJmWj +fhQ9nYE1Mkuo+6h1tNc91O2Oyfdk7xCRkNXoyYE1MsdQ6ziEQ+3aurq+PR9F6Pgqn6i2cluxRdcW +2UCWv9Enqr36Gltk16DWd0znFtkaN5Woru17jcxWrO0bbJENZNnhRLXuM3Csvoe6zVas1bNWrkbI +30SiGm2W2rb1NVrZLdiiZ4tsIMvfWKJ6rP+oPya3FWt11nLHZGvcVKK6pe+tuo9hTd9rZA7hJhLV +pTHU5YdQt9mKtTpG+svyw4lqyPVwHdkt2KLnkGwuP83LlNSuTlZ9jDod7yRR9Vd/pdi/zdLEeZHI +Cyb6Xg5X8FGLJv+WlM2Of5uTyw3OQy6CPbL8hkn6i1yRzed8b14ySQ4Hn8r2ZJFTn5Z9xu+iGhkd +Gfd1PqErY62R++A3EPSb+m7GGVwBlVmOcYqHq3Gpjzwubx7Rx73qzcc6K32Sd9uYF+MMmfr+XHP+ +N4lI5HixEr9L5e2/2B9ziSQt5AA2RT0JGvJPxB1Brda5Bo+kn7bf6R7RJy9mQl+MAcSLnJD31911 +fCLbQpZ6fltbf3DzSE4Rnej2W+Dqa35LoLKtiHmf4jeqjD2t4WQb8RunHRmN2zLFfio7ytxshvsW +sFc4FEflBQHRby0Pd/SFHKAd9lvL9JB1DSeqyDD/8GE9jkKOo+bAb3sevfxgnso6q3VuRc0Rx17f +gPLgCDlzlGWOYTRRLePo2VHUNVDZw8f4vcxjW78Z0sFcwzbgoF1rMU5zlGVrjnrjbJFlNieqVd87 +doRtVBw7DsivFb8efhtw7ngxwFnMrbYjr7Wqrga+lN9CbeWIeiC9101UI87ZjqJ/kPW7vnBzxdG1 +/BHY40jojR/ukGN+yNZjPISsazhRrcZiDvA14shxv5VjTfJ+AWSCH8a81X5a0BdzNkcdfXl8Lg8u +iTtbYvR1E1Xk1H+xI/ZPh+6R6oo/WttHD9GWvmLux/xRvdai/7VjUD/DiWqW5a3a7FPLWsvlZY+L +7wmUNZeur+WPwg+bI50v+SNzlOU4xhh7sjWyzOZENeq1dhzLax+jPd9e/C/+aFfWv2Hl3odcr69j +aDmKNdDqq+2NY3tPcpvT/kZVe9DyG9UXuU64i9+olpcpqRO/1po3pL1LLzrCcPnBsV8Xz7+YyS9Z +4Wb7TYbS4X9r8Vp98yN2tStvGJXBc7P5gTdvzPJbyN5LLzddmz6/+VdlTNCy6ou33fnNgLyZS/qQ +5UfMfqsdZbRFJ2Pi7Xh+k3D6kbPftvhG+v2CBs3n2I/CIZlAksmmP/qhf48hH/0WPs2dMXs+yGnO +1PG680dKcDx3uOQ11poPLzfgRQeeN28L40UkmqPvU/DGfFgk6BSvfrMYOuFe+syb5oR8LRdvsMM4 +7Fzo+326b/DyAOecjbg77xMiJXdKOjXXV2/f+3+k8i9o3ogTXpTEG36/Z8Gz6B4okOjIv5+hjv+b +yguVSBZJcLknkdD1+qrh1/brXpKE8rbhz0r80On/zaoED9APIIkl2JP8Ic+/zHnHG4o/aJz8X1e1 +4/+8krA+khxJLv8+h3E9Ul/o4P+88k2ESCZ7YzqEmNeNJarMX3pxuKwn/yspbETwesSmsm+wk8e2 +ZFd+y1+2I+wJe8a+/CZT7sGWFyvgWMWnf/wPtPn3W/90bmcbQUtHv83VLwpIbwossjhXO+9Kbwt0 +CJsTVfetNvgucfTUL1BIHPiNh3CEow398nN+OQV1cIWP454TqHr616DHUT73xg+OQhaOenKyg6Mc +gZFENdfBg18egU+FH+wI2xBv8Oegie/kjYo6xyf6TZKfk93BU9KXg1ndxzFozOkNtsw7H8NOao44 +yq5c7zdOVhypvbludbeI+art6kSVNmFHxB3WC1zZjtLaKQEbOeKFZHjjJP6ca+IAvPpfZog3b5TQ +e4yrqGdusoN402ZaP+Jc515rIZs5Km9HRs6cSrbmaKnfGJP0jCSq2MYjxQKvN2KrOGDNeb58MI79 +qN7xXGssYh+bbPyRy/BfxE/W3Yo+C1gjtiPN27aEfaTz4o+Q46jNXrId1cNr5sj/ImKtP5Lc5kQV +GXEUfvuKI/EgXixjHSRd6M57AvyWfNOVP1ph6z3wki3my1xtR1fnxY4Cuu8Xin1XXCIruWMJY8D+ +aCBRrTjataOIUZWc/E3yeY0/wmfl+j39h4Bt6L76hXbMl2OsH3OU5+0xCppT8DLEEWD8arMpUZWM +11q2I/69ZNpva61hR+wPkNFc2EP6rdr4I/aW4sovDtK597T4I9qYr6afFlHPGlnyRyTtIcsHG/iP +wlGGOZIcHMW67CGPaVOiSjncKHfwPpl4nmO58wteSIaPUR124rVof6Sy4o8km/fjzjNesc9eeW8C +cIQ/giPPvbKP4AjYH9V2VB2Za3w4lOf8zfxGlXJ3qEXBovb/V5IusviLB5qkFjc3ijKMnIEhzwYX +p4GB4yz9720kRxkguTKRBCoZhxNNtQljxCAesvmPjQ8TxkCc7OGEckLBwqV/yfpTRvpWGwd/jZU6 +5ufkmTL17YQanQRD5lZzka9xcgQD6xTozwbJJoIkk3FgqJQJ4RQdMDB4+pHx0p6+qPe/ttA4ko7k +VO0QwJeMfO0ENHNvJ8wiUJ+09dNtGbbvh3Rw9GaQ+5XnRzv/rzB4ZxEhB8eZj/T20mreJwbj4Akj +TyY/fPl8+enHz357L+UkkiR+/NuZT0rEXuk+P9P95A2//L9UEkTq0/+uFGjD/DK6/VEuwD9PSJ/K +fv2/WZVo0jf/Dsdytc78v9W4XyTSn9Q3YyXpDLnvxTP/LueDdLx6915zeOe3Fz/LDpE+eIOx1w36 +FYCXxriEmNd1E9X6/6jiUB2A8poI3rEtnHPYa/nEPK8l/18+7p3m48SW4P5OG8MIkqAzByPquHck +mvw/sAgcbLBwujhYcR1v3PTGiP8HRrBnDBoj8n5jYP1/wpY2X3lM9gty9qsSVeanOZcgnddJuucv +vA5jY4MMdV5rksUn0VeynexPavT6q5Fl4jX8nl9sZHVv4cBBi7nvcSQ5AhxyyFcc+Y2blf49eGNY +caS29olL8irnqShB3P+6AI7kywpHCrLJ52b/KjsyT7IZuMP+HORVB3feJGkjEPGi22cN3hJvO1Kf +2qQ5KFMO53AEdxVH3hhhV2FH2NsOR5I7xlEuX5WoOulOG2F/0INvVzxl3cRag4/CEXzQDrtjM+S4 +qrjG+lO54w8xTf7ea3LJ3gMe6y5HXmvUYR/qx4mE5m772rEjlXEfkEMejuAYW4TLor8BZUDreFOi +muv9oTL2YL+S9gWOqfRpPiXnJ/EpznONrVGH7WFDT/iAXGswcVT10YP1iQfmB0dwEePFPugDO+r5 +I/YrFUfxb1rM0UG7SBhKVHU/sQN/IJZ9rz98f5eShRQHk115f6DYYB/OHLPfjv4Luv1UyDL2R8wb +BEfMXfMIO0prjWvWmnjQOilrDV1whBycHrIjYH8km9iSqFLHXjHsyD4ZHuCID//VPzKC1yUPCLAZ +ko4X8lH4I7hl/5n3csUHHuo3UDjS/OGIsuCI5CE4Yi7YUXDEvGqO1qy1gOaxKVHN9U5SbUcp1puj +8DeMBTnioMYQtubYltdV2v/KZ+GzN6y14m8FJ+7uR9A8giN/KAaX2BGyOnpvYL+V15o5Smuy2x9A +t7ApURXYlzqX0Lzsk3NMw2b4EIwHUd6Pyz4YN+W2E83D6yyvQfZR9uPiOfGm9df0tQPGxPzCjuxL +sm2orvxbPnMkfdjzDkeSDX/EhyRwJHlzhG7pWX6iKjnZ4cVDjZ8nqt9XT1BXP1HlWjrq63iiyvzw +PxrjrSSq5au/BAgdCRAOrGw2PiRjDr2xabFTkONw8CEoa3GkT5V11CJg02JDDCdrB4OsnIwCOQvF +CRk3i42Ijtz4kqgS7DP4VxkYj0lhjNK7syknwNBeC839yNH7te5s8CIYBpifbjKbCIzNhokxMDfJ +2vEzDs3Fn3brmBZ67luG6Q29yp10Mhc+4cxGHhsWO1ZkmIvq2PyxIJhfmmOeG/2rbyeqHnv6RIub +Tt9+Yqv5o49+LSMHhD4HK8al+2+dOCaNJXR67hxvCSRsLG6eYpLkkQCSqD7TuP0VXDkrErxPKn+j +I2X865qPkiUxfK3A80L3jaeqJK6c8xVbO/26H91HByf19US6+V+rPOUk0fUTUrX/IH55ssqTUJ5+ +8tQU/SSdfGUXvFYSSt9vP6Zyxsm/yWH89M/4acs4uWaMPHn9oCPXrKdHLFLWAo5TsHOrxrqEmMNN +JqrYs+0t2wDr2EfBgQm7kV15Q8iay+udD1ZYv6w5/58+raOybppx7yFkcMQkqgQaAofWjBMpPikk +gOOIc0D3xhBZHDEy4sCOnIAXTtubggUu6VNgHpsTVc2PQOM1mRMr+zuds94ieJuzvNYsK968huUX +Wdd84Ga/sIEjz5H5wVEEJUA5gZpNceYkcaRrghPX9CVZb3oyz2XjtDjnhiPJ2z6X5FVuv86HMMxb +84QX2xE8wRF2Ivuy7yTmyD8lfyt/pI0AaxJeaFv+H6Tau0/7qqbPHWhszJV5wxPzI6jjxykngFOe +7WjHrgj4lMERdpQ5shy6D8yZ47pENYENT/G3xLG8xmK9OaHQOvRmRu0SpymulfgHL8Q11vhRXjJi +DuLEG+c8P9sQ846EYmetwUW2qyznp9LBkfQc5CjP2TF3S6JawNxycg8HfAAiG/KGxj4mzx1d9nPq +RxtlP0m1neWN9EZ/5DVjG8i+BG7MkYDdaB78SyNzCWfIhl3RH+U8/SABCx1tX4HMxViiqrGKH/Ye +7HHgiG9WwdFVogonsivZDfsD77Vkfzv+CF+m9j39ewiOsAE2xLHWmDdrjXI4sv/Bbiq7wv9km0v+ +KJWfLFGtYI40xmRHae05UY19q/yR94yqY//nPSP+SDLIPlOMdZvYTK/pN3PEE0CvJwBP9EsyHxwx +Fzjz2qNenFGmY+jwej3GEdC4h776ixxxTvfQT57ZC8g2sCP7XuoFJ++yIfsj+MIfYVN8YBb+aE2f +yBBP6vkFR547HIXd5Lqwq/BH4tLfrqriWuGoh5iD5rj5N6rUe25whA2yX5KfyT488pWkS0f28bJP +rz38kXhKCWq22WP9gSzjtca8tU745qr3R8wTnmq7gSPWI1zgQ6gPezN3AraIXXk+4uLQV38lU36j +6gQ1J6mRnNYJK0eSUfmw3d+octR1PFGlzE9UM1eygVtOVNNNtHwm2MnfG21GFDT4lK88AWRwbIxx +GKpjs2ujzX0VHUIEb99oOVi+4ktQtyNW/U6imhdYOF9/wqPJ2wljZGsSVRxVMahMpufyxu1IsL15 +Yry5Lo03zb8kmcyNhY6hIEf/BF2Np/QT8xGQK4mqzr3xRUYJEs7H81Q/aezJQXiBMDbx6g1OGbv6 +jHFlx7HT79ecqMpo3yvJi0SV33/yxBKQqH5UOV+tjQSQpJZEkieizJV7/eKNksif0lNN5KxfHLgP +nSOLDEkq+l1X38sGj7WwX8kx8TSXp7roJAl9/4WvIytR1TWJLmvkhZwJZYyfJNJf/dU432nt8ASY +I+PliTCJ8Sv+V6zkmQt6+fTu2OYzxnujiWr+4ChsgPXAevX6I1Bne7XdYOfisDxB1brD7u18sr31 +xr2HkMPB4kTZ+BI4WHvoA8URqw65cLgOVpJjbUvOzhwnjSw6NKe9/gB9CtjJ5kRV68YcfRBHbO7U +rz+Jxn+yXiNRdRKWgnn4Et9THf1tCzbcqi9J2FK/INc5gMfGlyAER6xzcyKUjSHXcMR1qncSCE8O +6EmHN5eV/j14Y1hxJPlViaoDuDjy5kW+UvyYJ3OSnp4iw3Xyk4kLuIUrtw9fhv9U+xTUDq+J3USV ++SUukn2I/zahgKOwK+QAnGJHyAmWQ/eBOXMcTlSxAa0v1hnryWuNuWM3fMCodt5UhW3p6KeoxD94 +YS0e8RUFMYeSqGrujBcbAsHRzlrLfMZaC5sLjlivhzjKcx5OVLOcY3vYCvsI5p7jbdGf/RRPqR1X +GSf1A/7Ia83zExeMFxtCH3PFbhYTVcll2fhn/OYZHW1fgTJHEoy81ljflGsNdtvUKO3VVn54KVF1 +UsF+R37Lm2rWt9rYb/lrnnmtZX3dvkCuK5tn5h7+CD9ju8lz99qq7SrVJ5uTPP5I5SdPVJGhvThJ +/heOSMLUv/aEF5LhSWDaQ+GPlMDjiwB7SrhTuf2RdBSddR89wJHnpzbYQPFHKrfdZI7CroIj1pr9 +kSDfYB34o2McAem/zm9U4cQ/4YOjmG+sIeplI9iXE1RyBvlxf8hGXyv2LgXoI54ER8yP9RNzx24i +nreJararwqVtkbqKox5iDvhUOMpxLcq7bYBjT9qXYxNPP2bfTe7AHFinEZ/yvKjzHoC8pXxgpjrL +Cm0fPWQ5z88cZV8Sfri1GziCs8KRyuyPxKVjv+qwRbjLY7mV36jydd/nWmf1E9W7+o1qPCnEuNMG +jKQrfU3SQUby3Dhu2EPV+YazGLRx6TrITCS6cCBPcvCmzJ+C2di0SLKxuB82ADzJxAnJadoJSQ+O +GlkvOq6lszhuvqqAYWkxxCbBTyEZgxadjTMnqN4gPNYNbMfq8Yp45NmIMN94oorz9ly4MarXGGzA +mrs/uVNbz0dy3rSw8GMTx4aPr6jI2BYTVem28ygbHM0TB145F9o9ZH66f36CQaIa/aq+bJzQCZ8x +H463BBwcnH+nZI3fm/K0kkTS9brnTzXHdwognz4rAZTtkAC+/SS5n75cvlLix+9Bfb8fpGSXRBWQ +/GED6HmqRf5ciSFPPdHnflUX9bYh7jc2qnMHeukkeXyh+/9ZSSBfDUYnie4HJao8JeVpr/nWOEla +SWDBy7fvLeuv/trGX3rcJJckqX7RkpzJdwpafsKKU3mofvN4lhBjvpFE9WmqL3aFDcn+ku1UX1nB +NtDLOsdedJ0CmewbO2L+0uP1LdiBd8a+g5gnwQYn6qCUHKTrNDZ/9Vf8lc2zeLPTxuHSj/vVPMum +J8ndaKLKusVHyAbsN7xO+EaH7AO7jY0gv0WXjP1plXCg4+LiuY9OxNgMidNtiaruZ8uR7I1AFBtB +J6YEeB19rXIHNeSQrzjyk7FK/x7UZnOiGhxp3iSb/DQjOPKTLvy4UDjCD0rWP+lQe/sjrTXsy7+5 +J154neLLjvkjja2yI2+eKcc+CNTM2eXSh83ajtSvOZJczCs4YtNjO6rqWuTydYlqmsMVR7IPuLAP +V11whB1FQsG8iXPEAuKFNtle15kn3m9Q4hr3ptdvwGMNjjQ/zbEkUNRpLQVHti/kKnvZ5ShzySf8 +bHqK/gaUAcYqm9ycqGK3rC/ZYeKAhIo9hPrH1/OhrvyRE1TWGrGSeWR/BFf2R8TgY/wAj0n8esOX +OcJeYrzYjTnSvYEj7CpvFNNaU1leazt+inZtX4GsezhRxb4f8C21q3Xj/U/mgQ9nienJtye/hb2V +tUYiRqLqtYYtSifo9QVyXdk8a7z+0CvGCyfhj2xHmSOSezhyjMu6sC+Ve80esiMg3cOJKuPSfJ2g +1IkqY8UvSwe8eO2pzhzJtswRtgdH0WZLolp8duaIsuCo+CM4ErId+au/2CpyyIcv91rLOg71jc+W +7ms9Ua0TVWza64l63QP2miSqrDfZm9uGLcln2W/RptXfgv6Yp+0ozz04og77hSOSs8zRTlyTLXhM +6jfsK621bEc9oFfYlKhSrnHytXDie8T+8NnWJ/+Cj0KOHCHxkz60dnyGH+SR07xCtttfjTymvQ/O +8nj5cGenvI39YUfBkcuzHaGD+3mt36jqvH66SnK68xtVIRLXeKJ6579R1eQxbG4QTi+SSG6WE03d +PPrhRjn5lIxfOiSDf6LN244DABoXZbRn0RCknspROGlUolK+yqJx+xN7BfzQGY/Z2QDZaOhHY0fG +OrOzAulrx9qIM2b1FePwOOmLTRXtuOllbA1yWdrYcaOl232mDYcNE6NAJwk6GznGaZ4+GIyZH7Ez +n7hxxVmEsasP9HnsDjo4Iy22SicvLfEGOMYVkA7zRtLBnOEnz49xeNMUn/zEvG4bmccnGgNJKkkg +iWF6EpmOfMXWX/HSfEhIKSexJWEjSaTd20+Sz4kiT0/Bczk8ElSeoNJH77ehJI7RLy9u4jz9xvSD +n6S+F3eUIcdY0cuTVRJm5JFhvCSmzIH7RmKKDEf/BldHxvq99FJOXzwhpj69TVj3uhlXi5tKVMvL +lHBmkiWw2UZkD4bWG2vXG2Scm/ouH/Jk+7UNaX2EbdlmVc4Gsh33QbC+cMgEJzaJbHbYUONwCT6x +/ticMBYcL3LII8uRgIZcT38AHcxV92Z1olqgNrIf+7SaIz6Bz34o6WadZ78nGa81+b601nKyprLN +a01jdmCvOcrz30sYCFpRH/I6OqChp9bbA/6q5kjtig/syRfIb8OR+Cj8yN8kHq78Czz6yak/OONr +rKxJBXP1QVv/dEJtUvBiQyDs9dWB2jvByrzE3NOLOeg7z4G5BEfZzgpHssOjdgQyF6sS1UBu47VW +YmPmiLXmGCQ9kjP/splYb/AFV8Vna70vftC7BPFc7KiasxPz4Ki+z1wHR5lL8xl2hL62j0CMSTKb +EtVc57jOumEPED5HR+zDMVm29Cg2kPgd8Yg/os7fftBaK8ktcf1QnzWQC46Yb20fcIT/DznbUeZI +8afwU3N0bK7CUKIqXhNH7LOS//WeCo7EmT901ry9HuFQ9d7vyH7cxj47+yPx6CeivX6WwNxI5Mvc +4YjzbEd5bl5z+PbgJ7hkra3hCNgfyY42/0aVfaQ4wibyevNayokW8cx7KPZVtrH8wZna+0NI2Rh7 +MPujsKPQXfe1BM0tPV2vOdJ9Ufza8dnZjhI3QhXfCket7h4kt/k3qnAEB/VaY914rWlNsdawIwHb +udq/ql7X9kfYnvyRP1yMtbamb462o/DZYR/SYY64z5JhLeBrmFPYD8fMqTmq/VYPeUybElVsQGNw +buO1hd3k9SOb4UGSPwQSV/Y98CMZP1iDO3Ea/sg5S+zpvT5WxjRQc2SerjjY8UccvdZyveU7/ijj +ZL9RJSH1tXRwDVwn3OlvVN0pRqANDUYfILHgphQj0vFpcp6GjNq/a+s4SetS26KrPufFQzq6nciP +hWRYVlDfIe8NEjeJTzWyjOWyjOsZWw4ObBLKuJtxLSLGgT76z8cSzHO9yzNifOaJRZjnwydK9J/G +VfeRyxkb+mI+lGVd/kRradwyao+h5ob20U8Y+x0ikshHGhN2R3LqfzmjOTmJy2PknPtEHXIFuo5/ +IWP7xjHBT7Sv+qoRdcjyxDX0pf7l2MIhgCxL8ml5y9KvZLjfuZ6EmrH4aWm+Zl4c/XVhxi7d6HcC +HPoPgHGCG0tUGWtA+rGFZJOqh0dsiXVBvc5LfQd+IZjmVWx+K+CJ9gA++OS0J4f+WnZJrkWe51ii +mqG+zYH4ueJI5Vl3kVN57WMSP8xrY38tuB/oCI6C69AXx5ojj1HnoeMYvDGsOJJtr0tUM3ocUU57 +IN3JZ6kcn1fapbk56aMN44+6LcAeim0IwVFPDg6L7Ib+MhebElUQHNQcsW7MkfrPay1iEHoNn9e2 +lP32lvtao+aotqMWlNOP5eivqV9CNc+RJ6pp/theWjcJV/NP9qEyHRM3u/wEbswfcX6Qo1q2qV9C +5mIoUcVW4Ih5whFxnPlmHop9IKvxmSdkA27DWHN/K+5JFztrreKo1WeOshzHzf5IdjTyRDU4gg/1 +nTjK14CxeB+VbCz5ozy2yh8lO9ow5hrMnfbgoD+iP8GyOq61o4DaDz1R1RyvOLniwr5bdmV+hKt1 +lmTCjna47OlfA+bueQu1HbXYs6OmfgnZxjclqoFsH57vHjLX3NcsZ78FOvLmaO2YW9wUR8HFKX6j +Gslqga4pK09U+XYZHz5rDFpPt5OoPidRPZwATExcB4dsi9+XGCyqBj35tdiib43MIWxtG/I3mqi2 +/VDWK7/vyPO6VqL6LeC6ieoa5HuxWNcr/5qQx7g5UW1xiIf7jJiXNkkjieo3gczFWKL6DeE6iepN +4L7YKz57JFH9FgAXwlCiem4ILr6V36jORHVi4nZxK4nquYK5CjNRPYLbSFTvOzIX105UzxV5rc1E +9QAyFzNRPYK7TlTvC2aiuoxqrc1ENeH4b1SVZPJE1U9Nc5K680S1Kic59W9U1a4kq5wLe09U8xju +MlHlCVec89VIOxRD57qO+r3kdud6V6ffgKi2Ri7ba09Zc72E8iQuY18mj1do+9nrlw1cfS2keQtF +dl/mNHh91TfocLQOGq/amveMvtwYdvTWY+xx2VxfYVe26FuBfVl0BepyYZjD0wD7A7eSqB75HUVZ +k3v2fg1Yx5FxGQfu2RLQKdxmolr4CY6ujXrex+a+RbbCqRNVdLV81BzdSD8r5+2+Vsi1yGO8VqJ6 +cJ7749lZa9fFpnmH3BrZDPQDjfdGE9VOjAgEP4Wj6/SzA/V5VNc4RzeSqO6M7zhHN+ePwNq513LH +ZCvcVKK6KL8/lh2OtvbTxcp5u68Vcj2cNFHdH8/OWrsR5HkfHXfwsz+mRaBTGE5Us0zMec2892TX +9HMQ1byP6qpk27o855P8RpVk1EmrzuPlSvFEFXx3F79RjURVnabN8xtvlPl3G+8+fkwvluE77qrj +DZD+Vx9srv0SmY/+nV605YUyvIyG/4/5nYh6JMfE21F5iQ76eLsrL6DhnLL4v5b8RpF/+eGX6rz5 +4N8Mxlty0wt3JC+9/NbQm3c5PY78LvDVO+nUeNL/3KQuteN/YnoOGicv0GHTFvOjD/7PJu3ghTe7 +vnmfyvxynDxO/u0IY6IMGevf8gPqlfCcBMYY/8aFPhk/nOJsQ6bXfg8yHn4A/oQfyWNE/Ghcxye8 +fIEfz2vOsWmlzyeyn6f8CB/wEguOureAtsgAXrrzFJ3oCZ3g5Qf/9oFx8v1+6p6KY/R4DMiqDW3R +UfR9rzFS7r7TWMs46dffzddcdEw609hiXsDj1JjRy0LZ0cnREAfikT6PO4fTI+7ljSWqbIgA91Mc +8P/1/NItrW9++I8tuG+vDXEvjnhRgF8Aw5F1LDmueWmAHQ720Yx7EXAKxO/VSyR4UYBAIMHJhwyg +jdarXxjgl7xofDhW8bEj00Ou515uTlRdz/wJcnCUXpZk3ymOzLc44jcrfvnCJ16UkzjiJRU4Y174 +4pea6ByuYzzd/mqEHBzxcg74iZe89DjiGo7gM3PkN96GDYNePwFvDCuO1iaqrs/+g/nCDy8BEgfw +5g8GxRFrs7yAS3HF//sSnmxH/HsIXiqU+Wn7WELMCzsIO8ocpZdtVDJA1357qV/IkYEdreUo129K +VGnDWtO5OVL8SRwJvGTDMUrxEI40f140CDd+8za2hP9izVGGfcErvjN0130tATlxtLvWNG+Pv5o7 +4J7DkTZ2xY5irfV01yg6BhPV3BYesB+/NVrzd6wgjgrYJDzaH8EjfBZ/hB3lFzVy73t99BBjM0fM +PTgS4GjPjjJH1Ic/Yq1t8UeSHUpUs25zRMzSWuLI79JiX8XvDO13Kn+U7Ejytq308qWy3rLOgwgZ +Ymztj7ANc5TnHrK65l9GFY54uRDz3OSPBhLVSrdjW/y7RPwv+0Rimur5PaHXWvZH8GVb4ljsKN+X +to8l5L4v6rUW/oi427Gj8iK4sLmao14fLfDZI4lqloMjryViFD74qcbKXlr1haND/gg7wiZa/YdA +3zVHwHbU5yj5I8mYy4Yj0OsD5PqRRLXEqw/ZHvAxzJs9j47+7yGMQePjPPZDyLC2dvzRCD/AHGnu +2ceYLziqZQDjwI52/FHDUcbx36gKz3jzLwlqTlIjOa0TVo4kpP6NKuPU+Qu181E66ieqT+7yN6rZ +KT7Rjedfd7CB9v+ozOUkhwyMstdyAJ9/+nL5ozbY6f9Nqn8tKv9bkc+fL98rqUxvW+VfgKT/qUnC +RfIJ0EES+Omnz+WNqiSi6PwioOMFSYh0ooN/dfJeZSSfjIFkk2SOxDLe1EpihywvtHkoGf7lCGWf +NUb0knCSQHOkjy8qJ1km0Wb+L5QI8X8234sjc0I/T9S/+uGtsCS7LLpTJKo1gmuS8tdKnuGRDafL +hV6bAtUjS+L5TIvqqcaenDk60+aShPCZFh2JHfNGniTOyaHwTAuTel/nJJPE9okWzTMcv8r4VzJO +eoTHupeR1IahOlnFIeZ+zJm4c2LJG1Z1tMPCyGOsOAD0ag7YMfqeWSf3R2XRTxm7+qG9E1ONS3qK +TpJa5sBYNRa3lf5IaN1PcHYHiHt53UQVB4XTZsPHPO1MI9joGviN0t7gyBmLVzYz+Avebhdv9k1v +pFYfyPJ2xM9f/C9b2nEvAgdqB0ygEniJBGtFTri8tY77iJzGFJvm9BY7tceBk7DxmnYCHHPq9QPE +Sdj56kQ1lzN/ePBGJnPERu0RgQiOxB+bZr8sS2uCtyH6Xz6obby1mwQ33qjIBjLGU/pagjlKc7zi +SBAv5kdlhSOOwRHncNlyhFyvn4A3hhVH4vpooqp6cyQesBl4gR94Spto7CjeCpn8Cdd+U7v8hucj +PWFHbCj9P7bXvvWX+x4csRnkGvtgY+OArTLuGf3YjlSmuV0oWHtDFBxhh9jEMY7CLrYkquboKnHw +m9Y1FuBNX9gRuiqO/JZNceR/jya/mWxRdijZ8obtNXbEHOEIPmSj5gj7kI5Yf1cc5fVHuddalKlt +m2j0+qIcSP9IourkK/sf3ihqO2G+2A/xQzrYWD/ig04hbczZI/AbKPkjbC6/nbT865VOP3tgTrYj +AXsoHIUdSVdwpD7N0Y6Pwo7gSGXH1lrmYihRpZ05Snbjt5KKJ9tJXmO2IY0VfuyPKKet4gLjR7b8 +68At/ghOih1pvOZIyBz5DdLwAW97HKms5ig+QOv1E7A/kh2NJKpaQ7YFxSVsCFviAzH7b+qzLRHn +nXBJBj9t/QLrMt5C7g8XQ2/bVw33q/bBUfFHmnfYEWVhR5TFWsu8JTvKZWs4ApIZSVTto1lrcOS1 +Vr2dNvMDHj6T78pv04ZTj1025uRM/LgNHGX5Xl87ECfJHwmFo8ofBUfM3bypDP/jJFao/FFJxnr9 +gJiD+lidqCKv/Z4/DGM/yAMQ9esHYaojzvutv19+TPWaO/tH1pvHzd5Z/ggdcGN/RAz0vZGOXp8t +4MR2oHmyTwqOSEbzfgAezIf0dv1Ry1Ge80l/o0pi2j5RvfPfqMqY2RiTcJKUkfQ91Y1K5akOPenp +54fLT0oeP2pT/UGJH/8L86OSUfDpxy9+Esi/70AHCeb7vUT1jWVIQEk4eVpJEumkVvIkqklXOlLu +p4u62fwLkw+aR0oodUMZn3SSmLovlT+XoaWnpKkP60CnzqMPkmR08vSWeSEfdfFElX9F4je+anPh +TZ4d3z63NwknJ1oc3C+PQTyuTlSBZBirN0I4LiWMJIN8muYEzVCCiiMFuR3XTuhIMIvRpfk6+RWn +KVFNT1jhvSSqBJFoQ/8savXthDcWv+ws5Jwsqr+UgMnOkFMdSSeyfnoqOZ7AkjQwBsZPshk6Sa6t +R/XMNzbh7ltOxjqzU6Lc/eNoytwSVzH/20Tcy2snqvwfVTk818MN//aIzXPMD4611vgUkcCFM+He +43hZM3bgshM2yv43NXDGJ7G6F/7U8Bg/Ua8+yv9S03mqF+/qy4H+7Xs7agcqHHYkItSjQ+Pc+19j +2fb2gLywKVGVncKnNzv+9zviCL+X5SPxiITLNkMgA2w2o0/ZoJOOz/xbLSUjsWk8hNxH2dx4fpX9 +cT8IXsEJPARHzMscZS7QQTkwR1f69+CNYcWR5GMtdOWZn+wBG4l/CeJEHB9BHRyxCRR/TmClC/1J +PnOKnxGvDug85dEGPNbvYr8FGpvtSHODJ+wo922OaruhLuxKR3MU9hI6NOdkR1nHTl8ZuXxVooqs +UDiqN77wKhnWHfP2WtN6tI8NjrQZ8r+D0DmBnQ+C0OVx0z7rWITHKpkyP90bxy7apfbmSPMwJ8jV +dmWOpIN+QgeyMeceR3nO3IdNiWrUqU/7Z9nOYxJ77AS7os+chFmWWKIjsvgj7A5/5EQ+25Gf/B3q +E7hePFTrpMwPjuCgtht4KGstc5T72NERdtQD8h47CUZea2sTVaD74XmzbvBBwVH4ljwec5R5cpKq +srIm879qcVLCh0JGbtci6yvzw+fyQU/UaR5OPKjzWtM1G2fblfTbjrI8OlRu2UN2BOyPZEdbEtVc +h5/xB2ZKpq7sKCdhISdbQueOneGP6rimfUNZB4c4CsT8nEioL9YOfUnHjt0wl1h73HuvZYGj7Dnx +fGStBaR7U6Lqenyx+KnsyBxo3vWHQsAfjLCu+ECNxJR9l/yR9wH2R5XuQ0Cf5xd2pPk52aJeRzjC +blTnDzKQ21lrVzqu1lrmstcfiDnIRjd/9Zd6eCCmowMetNf1N2HEV4p1Gjdrds8fCdhR+CPsSHXd +fmrkMV2tNWxAfbheRxJW1lqsLc099kA7354SpyWpDTvyfDTGXqJ63d+o1smqf68q1E9U7/Stv7o5 +bIz3E1V1yoQZlPRQ/1aJHE8fSaR4OsrTP5LCeHoZiSp6SqLKp2/0IfCklMSURLQkqp8+XX7wteai +fp14+inrjxrrFz+BRc5PWKWPJJmEkmvAuRNSzZFrnu6+URt0kgyQcJIY8K9EeALM+N/xdC87u5Ko +fvnkdv4/nGrnJ65wgIFXfJ4KwTVJ+FCiCpCzrGyDBYnz0uLy12ZlK3ZIsUkIeWR1H50gZluKr/lh +jDuJKsEsbzR42lraYKjSxQJ3fyojYaV/5KinLvpk45z0yrHiMAksyIE6MOR5RBJt3UL6hJm55Dk4 +GFaJKmNlsWuhl0RV/extAm4ZcS9vNFGFE21YcKQlQIt7+4YcuLyZgY9wxOKBT1ItL1lvDOUTHr8a +SFRxsHziR+DgGkerox2xHOzV5lnXBDA2QcjixHHCIAIb5ZrTXn+APhm75rE5Uc1PLtIc4SZ9ygqc +XHnDR6IqfeiqePKTVNpKrvhZ1kDbV4s8pvJUAo4iKDH3mD/JmTeGOocjriXnOvgwl8glHS6r9O/B +a6HiSPLHE1VxxBojqdI8zY3WUvDkTTEfhMhWWFP4AfPGpogkDJsjaMmOnKiqTfI1SX+33wKNjbkz +b3jSvMOWbCNscijPdrRnV2FvyIYtco3uA3PmuDlR1TqKzTJrx+tMc/da07xtR8xd7czpW/mivDb5 +n99cu577sTauxBxIHHQ/Y35+cmGeVJ43gldrDS4qu0IWnoKjemPY4yjPeXOiGkCGtQcHtpOfZC/5 +f+vm+FFksSfWGb4ITmVHtjfWqmywxKxaf4s8pvKhF5s6c6PrPO8ru6E8c4YsHIXNwY/k01OM8EdN +X4HMxXCiikxwpNi2mKj6PM0/vt759KNkI+6yfmrZJWQZ+516rWEHAH8DR9RhR+WpoHigjnb4P2Qz +l+Yp76MWx2B/tDFRDSCjdUJM8rzz2tuJ/YJ5iSRNdsP/U7Xfosx2lPcD0abXVw3miq2II5+DzFP5 +WmZea3t2VfsjOGK9HuMIaHzDX/3FjmqfrCNrKuIYgCPsyz4+vv6LDWk+9kfI9vS3QB/y9fzMEfaU +5l78UVyHXbHWgs+A16vKg6MeYg6a41CiSlvpt/8mlrPOnP+ojnVY6YELvsWBP3r8Qv6d/RF8FTui +35X+yPPTvLVO+ODCXDBusGM3Kmc9wkX4ImygcCdgi3wggG7W1OITVclpfY39RjV/5bf3RBV8l+eN +rYinryNR1UY5NtboKYnqj0oUlbjwG1PaEpRpR7LIb1v91d86Ua2eqB5OVGUU6PTjdn4P+97lfI0X +ne5Di4yv/fI72McsbMGJ5mfpUH/IkKiSbPIUlbkwdvpGnj4+avx8bTgSVZ7UMvakV4vWnGCwJBRv +3EdweUqYa/V7nUQVeQJdSQpZhNiJDMlPNXXfSNxKIki9zrGfknTicNS2m6gyHsq1UBcTVS1sEkOe +fLIJKc6yGWckqiSftLMd1rL5WMbOkyyP/UoPn86hy33XiSoOJc/xsfg82yeqki1PVElU830wH1pr +fqKqOpwJgXCHY917fwjD/eeeEeDkxNNXNnfHvQfac8SRsnnG4eo8+vY9wsHyBCOCUw5eDlbcM8Yh +HWlzqXJ04KA1552+Alm3bXxropoTDH6fY/vOHPg3qQpa9RPV+GDKT3YI6iRo9gtJ32JfLbJcCVaC +50c56477ofEXTuAhOGJe5ijrCY6AOcrldX8BtdnhSPKxFrryKr9KwsQDGz1swOMUf+LLiYPq+Lor +9uL1y5oK20I/thq22Ojo9lugtrYjzQ1gR5QHR5qHbSxzVOyKgIyd0QcoOpK9WQflO31l5PJ1iSr3 +XDxoLCVR1bpKTwepzz5K689rTRw4UWVTFRzJf5YPgMxVpf8YPNZdjsxD1JmjZDfmBLnarugPLpFf +0hF9BSjzWDU36RpLVLNdmYMqUfUaqmwidDJGrb0rnrHF7I+O9el68aAx7swvdEtnevLVPFFlPXFN +PXKa79567fUHsu7hRDXLpSSsSVSz7gA8esOcP/QoP884tmGugS4d/QEYHLH5jac8jBc7Ym1R57Wm +azbPuvfeTAdH2GLwDE+H7AjYDmRHo4mqOcoJujnqJ6r1h7LWL7uv16u/ARE6235aMD/N2xxFAgVH +utcpoVBdtqPyRBWO6nlVHB1cawHxO/wypWxHrDHPV2tuL1EtfvyLeUkJl+pG/BFtsCNzpPlFAgWw +IzhiDZKowgMcwQWc4bPhMtYa5fjs4KiHrHskUfX6iieo4uURY6Iu/FDbPnQyRuIfPsy2J3+k9Wk7 +Orbuss4yP9vAFUcXcFTbjeYenDnZr3z21XrNdpTHdtrfqAako36i+jX8RvWpSOWJ4+v81ddH2YAj +SSJR5Ukkv/F8K6dA4ucEVPUkkul3nkoqRWh6oqpkUQkgdY+ZlIBefldK+Ss5XpLMd5LjySlfKyYx +TBvFtJHnq8XoeSGdJJ2ftAjpg7FiRMjypJSvDH+STl6WRN8kt/4dLIGJOUiO8TI3nvwyBuZDUCSp +/qzklTHx+9gnWgzxpJgnrXDCTQkeTgWSEjiHL55Mk3AzPictx/pWvTePsgf/7pMPEmwTuofSGYmo +E04tBPoqBs+9kS2QND7TQkwJJv2mTX558qn75aedWaefVKJT94mvAbsfOUeXaQzxBLY3XjtVJage +q5xmGPrO74CZ087YSH51LzRP/3ZF57R1Uqoyz199Jp0aq3TSln78dFcbHm/YQ/8dIO7ljSSqBAfu +nx2xeNCaxqGSIBCUcCB+ukVQyh9e+GmruPEng9wbBQt/Gi27cJAnwcj34iCwHY6MFWcsJ/tAduuN +HZ/E41xxvHnDY7n4hB45nDG2xGaIRARZNnncW+yr7Q/Qp8B9Xp2o2p5kG5q/Ny3igxdt8IEHawKO +/NUwHVMAgne1we54wqgElk9gy+/klvo5AAel4Mh8JI4c6OXXzAnXcKR74ms+YYYPypE3b4kj9PX6 +KcAmao7Ut+1+kSOOfIqcODIf5oiNt9ZPbUeyIdrAB8kov4mK4O1PrFn7sqOnWoPBpzcEbZ8tmLf5 +0Bw1btsM9qEx+KlNxZHtq+KjcIRclCGH3oNzXpuoZuicDWTYkW1GNsR6Y915/clmYhPN/L0xzBzZ +Hy+NZw2wj2xH6RP6PHc4Mm/S3+HIGyHWusZuLpGFo0N2FHMeTVTxKxqHbQp/DAd8SBQfXkqGWMFT +L/sj+XLbFu3E09WTodgYNvpbRD1rDTti3tpbxForT0nZKNvvqUz3KXEEl5RLFn7Dtphv2FEPmYvh +RBXAkfpmvfBhGR+kOQmNe+M+FIfVh9dWyAQnoNW5hCxrf2SOWFeZIzjJvPlDji5HWQ75iqMLODo0 +FvujwUQVOXOkNYYd5fnjm2xf+Wu8rMFYa3wwgr93/M92lMroVzqPJRnAHKV5e12FPyKRzxyFHaV1 +JRl8Nhxhb/CCXObooB0F1OfQb1ThQYCDp/Iz5og4jh1V/XoPKO6woeCDByO1rlWIcVVrLXGU597h +yO+syDZT/BYcEf+Co7D5HrJ9bUpUSTK1pu1LZBf47LQnVp36Yp3hywHc2V7wR+xz8VOMB/+ncvy3 +7YgPs7GDNTaktldrTf22HDH3HX8ER3DScIQNBkfcz+Di2/uNquTUMS8j4kklTx39Zl4lcOmFQ6me +zTWJ3BttYvgtKC8c8oBVz1NA3gT8SkHnmW7GI90kvxBJSR5PB0kgAU9iSR7Tm4E1UeknsaScpJVE +zeNRe65TWyUf0s9Xgl+jR/KP5Yjct8AY6Zc6nog+k+6kU9d5jjhLEmWentKXE1KND52er8oA5zFO +nvySPCNT83nj0BxwNM9k0MwN7hk7c/bYe216QI/kvTng/ggkrgbXOj7WnOkr7huf/PtlPMiQ0L1M +mwZv3LIcm14SUb94qdUpflm4dpZyClz7q1vcI9Vjl7TfGadkeRr7WPeCxNJ9Zj2xiUly2GQ9tmZO +uYw+/BKcPG+PEVg39dLNGJhznvdd4aYS1au3/sppAMmz8SMhxzEDv/kvEqycLNg2kJHDZYPIp/R2 +1vgQcZXuQXO/DgHdgDayXz+twCHjLNFVy3COHA64yAkRpEJmCVnPpkQ1EG1l154/H8iII+ZfnGz2 +gwZ9YMvixTzGXEYQY2OOzJV5x9xZZ9k/WY4j15QHRyA4qvUtQTo2Jaog+tYRG7FdYEfwpPO0ccLO +UvD3Bx62sxTo4chccY4dSWaT7wKMgTnWc+eIvYRNIhPz4J5w/4Mj7I/2tcwScv2qRDVAm4ojf53c +HHzWutP6ISZpnfkDPo0tBXGtM9Yix8JLpXMLYl7McWetCbbPiiOO+FJzVMnVay3keoh6fLA43pqo +Yi+8tC18Cx98mAMB28IvYaPFH8GR7SjaaF2O8BXjY447a03nsYZjrQE4wo8GR2DtWss6RhNVbMQc +wY94YR8Xayi98C4nGuh6LFliHhzC31ZeasScmCN2XzgS4AhO8tzSPCRX21EkG/WarPW3kI6hRFX6 +0zqCo7yGMle2I9mH9xfYWvZHtrOwI2R1hDfzday/FsjDEfd0hyOV1RxZr8qYV/gj5LgOv2uZI1Bf +23+jKl7DX8OLfQ0cyTcJfAWaNeZ1BkeS4cmz+RMvfm/J2vG1iHZ7HOkcjmo5jl5rqgs74hh2dKz/ +3NemRJVyONU8w2a8trAJ+HqT4htrKu0RZUfsS7Ef8Xgll/i0HcFXr68lxNiCo0hYOWdNUb/nj1Re +cxR2FPoyjj9RVSw6yf9RvatElU7rNr6GGAHi6rpS3ynL2NMXugqa+mjXlLfXpY+2XLAsOjJcviRf +y8T8QtbleZxZZm8cJ0XVd+5/M0q7rKvW2coWIKPj0T6P6OzZy7VRj63uv5YR3Hc9prrd14GwzxtL +VNu5cV2jrqtlCn8c4zwls8Oo+zvUPzhUt4SscyhRrWE9mbtD7VwPJ9fkpUbd36G+wRbZGt4YVhwp +oB9NVFsga1TtDraXXO1L9+oHsUXXgOymRLUFOmrsycS6Wrq+IXT7rnCsvoeYk+7pSKKacGC+dZyw +vo7s6n4WsKX9SF+0EYafqEa82ikPX7PAx8g41+KY7rp+yzhGE1VQ28mSPVkmb55LeZalbMtYj+GQ +rrZua7/47C2J6kFUXBUOW04W+BxBPdZrjXsBecybEtWA6+t1VSPqM6xvYQ2u6esQrtO2Rh7Ht/cb +1ZsicGJi4iBOnqieM5irUJKwtzlRpXzNxvBbARtD2ZA3z3CkzfPmRPXckbmAoyfvchLWynzLyGuN +dwA4mYejSMKmHSXAQ3woFJvnLYnqt4LM0ePXOQljrU1/tI+wI6216yeqZwa4EEqimuNalHfbnCuC +i2NPVJVw8wQ0Jag5SY3ktAaJrJ+gkpiq3aEnqnf6G9X6RjNBvr6Uv8JU6/na4M18GWu67sl9s4CP +QK++xhbZNdiib4vsGty0vhtE2OlMVAfBfNnkiD//RvdpUz+R8DgFdXOkDRB+/puzlUPIXJgjNoXm +qJGZMPzEkK+pKWnt1X/rwF+zzuyPpz9aRPgjnq66bPqjBuImr7XC0UQCtiL4A9dnOjquXZV325wr +gotjT1QfvVASqtwono5Gwtle886CN/x++E0qe63j2/fpBU4hR5lf9BQ/R7iLJ6o50cMI+Jcz30nn +89f83lODIEAh8xUZRIz16XfvvLHnpUPMJf5vn8fatPnW4OApPuL3Cek3LTKwzn1Mm7Us5w2J5Kr6 +EfAJat23HUynb4ydPq9kdU15T3YlPPfQaaef5zOo7ybhBFSYieoNgLl/y/M/hsnPcUyO1mHydByT +n8OYNnQck6N1+JZ5ynNfTFR50iw5v5jxtRJO7TMTOFeyaXCer0lAA4tlAue8N4e9/G0mqk/5sb7q ++XcwJKu8XOildL1W3QslqiStTjAafV8FHmuzrySElye9fPNR8+Ff2PCG35R4IxNJwX3H1gVJYugX +wfCyARL46jwlrJJDp+5tK+f/m8g1hjdw7/01KBmzf7gvXT5Hp7D72xTJqQ/LWC7BfWOXA8my+5at ++6VRvJir9I1OzWdAZ+9+XAt8KCTw4jLW2lCi+kVrnq8H8akiXzGT7MTExMTExMTExBmDPR9fp9f+ +j//+sJioar9b/rUb4KloDySgvfIaoeO2EtXAO12zUX5GkqDk5UKJH4kpCeqLN0pSlQSmfz3zlX79 +VzcsElLm90pz+f7lB12/dsJCGU+EE97dU6SxM5ej/8tVfJDgODnLb9x1UkpiRJ3OH79Kb9nFqJwk +KpmjzLbDD+51r+GOxMgGqKOfRrI4cj+Mg/E8eVZ9iJHr+cqK2+U+4h4hR8LIG2lJRv0mP12nPnRN +H/leelyUM37JRb+LoO+Yn98i/MH/hqZ8YEHfuva/pwlOeno6YF3wpP4mbYj1BvhQ5c3HzzlRTcnq +pkT1g/h7nX6nwW8SJiYmJiYmJiYmzhja8z0U2P95X9gmqrwtmP3vxffCI+GB9sINLi4SnjxNvz19 +9mxfpsbDh+k3rurf/8Yr9tbs1W8qUeXfnLz78vnyszbDPE1lU8yRa/DqvdqToCgx4Cu/JVF1kvOV +JqoCm3nIeqpkgqSb/9H6UGUkF++//Hj5RXMDMc/7hhj7289fPEcnL8y5w4WTNXHhRFXGw1PK+N+Z +/vprlRxiK04IZTvYj1/NjYxlSX4kl5+I8lXcSAbpGzvhAw1s5WG8Tc5jUt/fkajmPiQT+ngNN+Pi +X9bsJKp+6ilU42RsTqBJKm38xxN0jrRPiXeaX/RddLKg6kQ1t9tDnif9klhiRz9W9+QmEd9uiLX4 +8ccf0//OrRNVnIEcz9NP7y+f/fThKlnNoGxiYmJiYmJiYuLbQG8f+PQz+/acqD7RHpJ/T/NISWYk +pjUeP0kvTeKrvvwW9amS1p4ciSovY+I3rOiOB0s3naiSVPCk5sWbj108c1slINrY7zxRvW+JKomI +yh8rGWG+fCUY9OZ8HxDj/44ELBKsJYgLJ6olKSPJVLL2ROAo1ImcE1WSUTjTdcimpDLJlaedTtyu +YN7rMp9Hoqp2PKXVeek767xKVNW3E1XJ+rqSrcc1kKjyRDUlqq+TPsGc1E+Zq3ZLwI5YA143sq3e +/blRqA8+JOJDgPI6+ZgbX/HIb5X0mxMnJiYmJiYmJiYm2BsKvDXaD5e0b7zQXvJC1/73Mo8f7yae +JKk8SeW3p+/y71d5aRLJKvUh+/DR5cX3SlL52i8yp0xUwcUDoIG10GY4HV9ePlVnJKn37qu/Snb4 +6u9zJSlwsjOvc8CRpMqQjBNV3cMnkegpKeP+8X9g/RRTZdQh46/pkiySVPp759KhvvzV3/j6MElk +JKrwKWAX38k44dxfR6aO78yrD+ukb9WT/NKv7UcLhye8/upvfnqLbj99VV/lq78aw1WiLR08jd2S +qNIHX/31fHgqmXXmp7k8QV6bqAbMX30vTozuuIJjwPnExMTExMTExMQEiP1hPl58p/3/a16GJHz/ +XPtLvsar5JOkleSV36ZGkvpOQI5/UcPXgS0r8O9rlAineiHvrU+WqB6CN8nakD/WxEhSedHSq3f8 +flWDiI39Vwa+dvpEcyY5ffXu0+Xr958uv1NyE4lqr83ZAyPlyNNJEj6eoCp58xNSndtGSApDliRO +BuaEtUJ66rl03187IQ7s1VPOk1V00SdJr+zIyXD+pCcQT1r9Aqe6f7V34hzzATrnle1PvlMyKjzi +6W+ly1Ab60SH+77SuSrpnZiYmJiYmJiYmLiPYN8sXGgvfPGKRFUJJk9EeWJKgkoy+iYnnvFmX8B1 +fA2Yp638D1WS1EhkkbnLRDVA8kdiytcPeWLGkbeT9mTvGjFW3lwMHvN7xF7i9C0iJ3g8qeQJolEn +qLk+zp10IiPD9tcG4LGW24Jo0/Yd9ybqQ7/7Fty3sNS3ZdPX2NPvY7O+HkJn9L+kc2JiYmJiYmJi +YuIckPe6KVEl0SQJJRntJKdLcNJaybq98DUkqgF/bTajV//VoBrnVz/WiYmJiYmJiYmJiYmJU4Bc +iJxoL1G9Br7GRHViYmJi4uZQf6DWoie/BT2dYLUcOCITWKWvkgm5tizKl+qOIdr2cEyurh9FT29g +jeyOTKc+UN+bG8ERfWv73Rljp35iYmJi4g6Q/fdMVCcmJiYmVsFfrW/Ab6wB58i0m/69RKCqaxE6 +HUCqc34esSNbyeyCuvSvp8rX9AXO23ESczi37I5ckg25q7lkfbnsqlzjpi7ri7JjYE70F+OMsQS4 +LvPO9YFHgHaqa8dSw3UPEvq8X+n03DJ8nefkjQJthcLj0/17ztG6GFfoCfkVY12DnX6M/XrLwK3q +j/VLGffOcpIPuZ6s75dk4GRJZmJiYmLihoCPxdfORHViYmJi4hBiY85v/V+++XD57uOny/efPl2+ +/fDRL6t7A95/Up18uP03L4JTGxIktX/+8v3lG8m+fKt6gg51WSeBiH8r9ubdh8sPnz4b76Qb/R91 +/l59vXj9PiV1CiicU4fc+0qW69fSgS7+3zbnjBPQ9ytdv3n/MbVR2XPpeSpZxva2mg/tALKvNNen +Gu/jp689N/pC5juCXBn7G5WpH+H7l+92Ep6WRxB1JEfIv/3ImNI4X7//cPlKoOyDyuCVuTAn9x/j +1BEwxufSAS/BafTBkfcyMMfveE+D5hGJHfW04f8rv9V9g+fEJzym65iP35+g+27+GKPG9OqtznV8 +q/6xB+yC+4M+uIanmI+5VNmbDx/878niXRExxjVANmwJPrh39AWHrqvquTe+9xoD86Fv5sH4zNFF +koUP7i/zZKzIv5J98t6AWl/A90t9ht3ApfVtmMfExMTExErgW/HF+OQ6UTVW/E41ZCyXZWeiOjEx +MXG/EMnLURAwHr7UJv3N5bvPny4//URiKOf+5JXw2snB5x+/eOMfb1h3AqpkhkSOpMaJnvBCQYPk +L/6NEYnEa9WnRFKJ0o9KnL6kBIIyJ8AkWuqHRPWN9FH+4ctny5I8ktyhgySCwENy9vGz6oWUzJFs +vLp88YrkkKT6w+UjjZFxvFFC9UljZw70wdhfqp9PX744kXuuIPZSydkHzfvLTz9efv7pixM35sfY +PWaNhbEdS1SB6y9emjeS90+aAzqe8JZwccxcX6s/5uUkS22+E+/v1f9H8R58PNdcPmh+8BsJa+iP +F7bRnvGTbMa9JsHiqSztmTP9kKB++FFQH1yTjDIfwH2DZzjz5kHtucevNfa454wH3YwDWcZKUvnw +0cvL73XkXn0Sb4zdSR7/ckvy65ASbo9HY4YnOKkTRfoKm+BePX6mPYXuLYml5yT7+O7FW4/zhfiA +b3ijjCT0u+d84CD7kyz3hPnV95Ej437KfxTIvMBpPGHdHe/ExMTExHVgv4oPls/2W3/Lv55R2fe8 +9VfHkojmBLROUF+qXfvW35A9WaKqAbNJ4hPSjwomBB82TAQWQMC+b4ixM4/AfZ7PxMTE/UAkcWzO +eToVCVbX92ZQT8JAovdRSQeJAU+weNLkJ4PSRyIST+A+5CSSzb2fZClpIUmNRMb9hn7pJhGgPQnT +u0/8W7FUz9czIwkL0AdPWz8qmSRpIOF0UCMBkh4SkPCjJGM83XvxOj1ZJElzwiVwnRLV/BRRfZLk +0oZkhoSVJIjk572S5xg7RxJWjiTtJEgkhKsTVZLFJ288X3QwTpJDJ4jSE08e/bViycOz+1VfzPeh +ykh0uQck2TzhpA3JP0kc9wld7z6lRPZ7/p9zNTbOzSl61I75Mg7uF4lg6CmJuPpwosr4lWTTF5zU +beCd5JekHT4Yp7lTO8aeEsN0T7ckqthF+jDjg/WZW6C6mAt9kAgTQ79/mZLyxANPeWUHcKRxoof7 +zIcQ3Fvm7q9So0PXcJlsU/sQ6a7/RVzhRHPAvpmrn+rqXoZMD8E5fdGH1x8c6TgxMTGxBcRZfFTg +/udBaT7MI8rS3kKxAt9JXCv/R/V7+dsHJJHaTzxSwpn/j2okoCVJVZvHT5IcePbs6v+oKubeeKJ6 +UYEgxWTYIAACk6HgQiDleO9Qz0PwvBT87+18JiYmvnrwJMxPw5Ts+amdnPWaDTdf7WXDjw4SVpIR +Nu4gnkKlp5SSkS8jAKVN/XsnqXx9lCS3JHboVbBwEpATVerfKViRqHqTH+Pyefpf2TzNfP9RSSIJ +h9o4YVAC5cTCiSoJloKe6klUGCvjePJMwYoncZbl68xvnKTFU02eyhI4nZgp4MELSYwTOSWJzJ02 +0Y6Eg6esH5Vcf6/EZWuiCidwgR44Qz/z8f1gjCT4ki+Jt8bwXEGbhIkkmySRsTMWeLdutYOr1EYc +MRclcv76K/VCGQdJp8r5yjEJNx84OFG90AZAXJrH4EPjcjvakHR1ElVsifmkzUb+GrNkeCr7LDYe +uf+1iETVT7HZYFR16EqJauLx7aePly903+CIrxpjn280BvYNzBE9jJfx2XazXTJPEnvmszPWak1w +zgcH2Dpz535vS1TT141j/cVanJiYmFiP9O2UPajuvudBnxVLiafEHD5w9DdW2Ffo/OL585ScRvJJ +wvro8eXFi5ysvvuYk1T52ydPVf+wktX5s+8kJ13I8EGkfPdpElXV8dWxNKGEdwpC3yuo+FNRyRA4 +vnowToFPyQnk3Kj4ShmbETZwllOA3ms7MTExcYNgo1/73CWwIedJJj6YpI3EgfaR9BlsyHlKSQIl +f0aS+J389mP5Mn/VVUmBnyAqqSBJSHpT8rmYqAplDFzryBNDnqjuJqrokpz0kHjEp7N+8sUcsx4S +hsdKEok79RNVkj0SMOpeKRbxxJnkhYSHuTopUyLkZFdz5qkmSQt9bP7qr8ZZP1ElSfbXllX/8GG6 +JySe8VVkEjRiQ3qiqkRVOhhn/USVr7tadySqfLDLvdLYeJLn5Jd6oYxF3NMPSRy6iUd8cynu6bFE +Fd7eVYlqPFFlPoyZPuEIHWnzQTLPGDTGPIZjiER1zRNVOIqnoWxC/C2s/OEIXKHn3fvqiSr2jw7p +OtUT1Rqx5iYmJiY2QX4O8KQRvx450Bfipfw2vtpy98XPyK+XPCh/4Bp5EPPDz+IzL54Iz3KS+iAn +njWekKwqXr1RbHglf/z0mcrzU9caD5Ws8kT2leLbbSeqTJByy24IGHcJbxY0VjZ96enE7g1iY2S5 +KkhOTEz8/+y9P8gkyXan3d6uWjL7sjSIkbNGI0NGy1mnuZboz1inYa/VAlm9hmBhhLx2xhlPaEBw +jZa1oLFW0M4aO6x1GWedWWMNMcY6Oyx8fGjsa1995zkZv3ijoiIzI6uy4q2q97zwUJkRJ078OxWR +v8rKeoNHxdYtfavFn1E14YAo5blKCSAgDcHDeoY4QAz6HTqz404XdwX9zmAlVBE/CAAXHCZ23n1M ++eZTbfBjCdEff/I7U4hJv5uLH8SEbWz4RjCxtiIg2Rhfc0fLBB1fLfYfzjE/pLGH0FYXqmaH8OHO +F77J0908hBxfSebHg1wMWnve24bH87Sqp1eoMmZ+h838UjfPiE7CzvrGPmcC3zdrPrQkzfJ43EUf +EHCx4j8G9MMksBG5tFviCkElsT6Nke2tjFHdNmuHxPpP3r+pHTyHOgnVdFfW2ogY9PGxcpRhLBGw +PH/MuQvGLPZ+mvzQDtpu44MP7l5uFarAWDEPjDH+qMuvC1JfiDnq1l1x+k79jA1pX3+enutFmNIn +5pW+4o99mFfOiVvdKXWxW4wXadRNPXyVmjZ5+8rxDIIguABau9E7rFXsG4J1S+vtwfp+xag/7F36 +ltaBUPX939b5Z+9sL0Kkmvic482b6WvAvHL3tGUDL8zPOxOrX9razfqNxrK9ZIxQvfkJCqEaBMF1 +ovUKgYbQ4JdfESeIAO4q5Tt5CQQnF/6IVASjfyUVEWni5I2t1QgthAPrtpexPESAC0ITnf4VWOPj +N5MAy+LP2oKQIw27b2ztZP2kHQgT3X30fEvzPPPj9WFvYoxfJ+acT2sRKPz4j+p75882TkIVkUw/ +eV6UfYd+Uo7+I4q0NnPHzn2bLW1YE6rKY0z8LqGVo27a9rFop/+irvX/rbWRcdJ4ZqxcHh/8+vim +etMYISwZg2mMJsH94vWDWPV22NwxR/TN59TwPlq9bmNiFYHHjxLxA0+0b+ovr4wZom4SqfSf8tTH +3VfK0TaeUeaDAerwMfJx2La3eb8MfNEPxCZxlvvCh9T2qrYyRoh9xoA5ch9JfPvdcBujcvyBc58/ +bKgv1QvMF3Vi4x+KpHTZBUEQXBKtN09GqGqvsH3dfxiJO6bAs6Yt+PrvUj4on7HieoF93Nb8EKoN +jicohGoQBLeB1q+83tpF/5xNnV7m1fncqZvLO8Q2LnvNtkf5U1or3/2CzlOaUHq2rc7rtDKvlb6G +fJblyza1bNfSMinPsfNyHDJp7rKdUdvM5fm5UJrS07nsSsq8k2nEHNR1iZbdQbsXbIMgCB4brU9P +R6im/vCBJD+mxA8h+S//zlD+mNIc/mNKZsdYmUANobrA8QSFUA3Gofhr5QXB3ijetI63bIJgT3LM +xToXDCDiLbg0iq8nJ1R5RpUfU/I7piLdHXWK9HzndCbN/4+qHX/4NH3Y+WhCNXVelL5OYsFXq54y +rUVpc0mhmuts5AVPE8UfP1LD1/34Gh1f2avttpDjrKK8WxE8TRQLxNmHj3zVd/p6bo6RRpk1VNbL +F8d+3rAPng6KA74SzFeM+ZouXwX264e94iPVEfEWAHHAHvr2PWvcZ7umS18zPzE+FFtePlGmxfXc +00Ux0CVUFS+J2tdmFny16inTWpQ2s0KV/mD79tuXX5g2fGVpX5jdi8+mE7//8eWrHwx7/eI70r73 +4w/8ur/ZfTC7d99///K9vX60sSHtS+PtD99P6Z++m36zgd+aMME69MeU/McO2KC+nvCLI8vzH0bA +RxqgNtgIO0+2/JohwpHnU6ZnrfAzPVPFAPtmaDY8t0SgcA480/TAdw755XM1ewtV+WXhnNpmYsRe +zxUjwX1APBEL/pzhd9MvaHK+/L6Yx5/b8lgnvh9i3Z/j8ufTLO5O9B3cPnpu0J9dtM2F2Cif72uV +WYI1l/Wcdc3jzONu+mGb6UMXs4t4e7KwL7PGIU55NpVnaf3agHgjFhtlevDrj1SeZ3qJZ/AfU5r5 +CnLwBEhxxS+xsuexp7IesQ5tunZLaxb76XQNqfUtXccW15A84+8X2GZ/6r4d3CbaN1nTaqGKjuBa +H7umDkpxIz+l3wNcKzR0kK2proM+SsNMa225J7MHTzpo0kBLOsi1FP2x98+DDpr76q/5/fzjyzc/ +/fbla+PVjz+9fP3jzxnOX9nrm59+fvnB+PTzTy8/m6b6zvj2p59efvrpx5ffprTPvFoav5fgvzUx +Uqj6BNnAcdHNpHxvjVUeg+J+ZiaHgeIHJhjU6RcF00/O+w8uTD8Kwj8Lxx//lw/BSseYOH6AgZ+5 +/wa1b5PEp2r89D3/C0g/xc/ksWny64j88iFpTDD/SmHPX/2lfwpQ+s0vPeKPH73wCSEoZsYgeBoQ +T/4esTelLuJ8U90QF7LlfcWbnXjl31TwHuQ9wYLF/+bk349Qh35ptPYT3D+srcy9/0KtxQpxt1Wo +ypZy/EAPv7rLmsmvr/rmaevxN9/b+vqzbUa2HrOmbvEf3A8+7xZzxAUfxvkPKXHhkdJbZdbwGDYU +fz/Yfv9b9nf2adtr+b+qp/oObh9iTtdd/r91bf/bGhPY+v/ntes+/Uo3123ssdyhhbe2zvkFvsW2 +fjQueFpoX2sJ1XxH1fL1Q3FHOsiu/eb2RY9BiyvKsc4d6SDWPtMp+HMdhD+L2ayDiFl0kJ1zLcgP +2bmticRJB9n1IjrI0g51EP9CbF2ockfVhan16dUPCFODVx0n0frBjr9xYfqjidIfXaR++smuGVIa +IvYTQtXeq34tMl6o4tg6bcKQC2UGlYXD1T8DUv/KYJowv7i2RYZfd+TXAlkU9ImYT5Cl828BqIsN +CmFKUPDpGYOJyGQCmGRgAPi3CPzc/7sPn8z/N/7pAW1kMaM+b48Fxh5CVWPDYL95y69Dfu9jwoLn +wRNCNUgops8RqoJy+OKYWAb+jQafnOl/JvJ+mr7q2f+BS3A/eFxYbJ0jVEuIuXxOeYvnL15/PW2i +ti5PHyTaBmj57v+EOoLbxePKYm4Poar4Ye/GFx+QsDdrzw+hGgBxsrdQ5bqNtZIfl/Nn6IA7WMRk +VTZ4Ovj6ZswKVekgixe+5YkOIpYmUdbWQYqpUgdxc46bbqUO4lqOa7qsg8xvqYP8/2xbPQ866Aff +k/kVeEQy/tn7D3RQiulZoZqEN/9G5tVnE6omUiVUH+6oSrAmoWptlFB1YepCtRCuKe3jt3YtQhse +W6i6gPQJQhhaXpqQ7Bd7g69tsCig8vH36fskbs0XiweTxv/0AzYsYML4J7tMGJ8QfGkbov8zXpug +fPfUbBwvN/3/OXxqcg4naKev/hKIVgdBSQAx8XzaMVSocjFpfXXKC8sWsl2zC3YhLzoW7+cKVVBM +8R77kv+PabHLBRz+eT+03ncXIcfRJJxnkV3E3BA0/3sJVcfmjXWTdZaNkY2Q9f5bw//nqM3txWNu +SxwRkxFvQ/C4spg7V6jihzhiTeMDb78IM1+sl/4NK9vX+TCaY8Vzy8+u9MZRtjNa+cGuKFbOEqrm +gzJv3/OvsmwPZT2z60L8+RpnayewfhLbLR+7ozVuNd4SPbbBWfj6ZpwmVFd0EB/4st7ZuoY/9IM0 +i9+ws/UULSM9o3XwQQdN+khClbhl3dRNi6lc2p9nddAOQrW4oyqhyjkC9TaEamPh8IHySTW/NtEM +NhPknz4wQUmoTsLzR6+HdAbbBalNgAeCHZMG/K856qZdfD+cMrSBjYP6cr3GwwTtJVQnXr81v+l2 +/FChin82SPoLNh6eNlOv/38kFl7sYmO9OHsKVcrw/xPxxZ0sBCrvlbwQPRsQb8DmaO/X58QRMUfa +XL3EGXaKuZZNsBvaGPcSqrmcxbB/MGiwIbMpshGy7vtXnJLtbBycS08cpXZ6TMouLuQuiseH73+n +C1XFDesYcfvR1jS+Ckfssr6xX0u8cq3g1x4IE+qgbMPn2XgcFXtly0Z125qc91/KXapNgcOce6yc +IVQfSPNlsE9rjfPrRLuO4yvBCFnWPMV628+5WDusT8Qb/xqkbZMgHrX/chzxdjG0xpwuVJNAbPlN +ZaSD2K91LSehyrrHV3mph9h7ZWuNPw6RdBDx/3BHtdBBpmcedNBUX9mfBx20JFQt7v35VISqHRdi +dRKqP718Y8df2rWo7qC6SDU/Ouc5VRetlvYoQjVPkFXKJvX5+3QrGgXPwNgk+EU1m441sLztPE3Q +g1D1CbKJyRNkZXhGlTuqCEifbOqxSZXIZFKwZWNgcySNPL72636s7UzW9E/uU8Ds/IwquF+DH3zI +d1T5hawUcFv9BfcF83+uUOV9w+v7j9/6ouWflNl7xP8H5rMvvQ7ea7zHWAjwX/sIngZsZsTWHkKV +NYyYemNrm69n+LY1jTzWdb5mxIbJBaPvF/jfWEdw23hcWVycI1RrPJYUa+aHr8f98NP0NXM9E6Y4 +DJ4exNwuQtX2SX4rxfdNWyPZp/2azeCY/fonW+O4tvUPR86I6eA20b7JdduiULWY4dqLWOFRRekg +vkLOTTj2S9bHYx1UCdUUg66DEKQIVRN8vsem2HxjPg500OvpER1u3ulG2aSDvrQ+2PvEdZBde9ra +SZ2ug6zdTaE684yq30WFJFAlWIFf/f2GxzSsnfCtC1OeUf1hEq92vcoPLNFWvxaxPgwTqv7GtXwq +ZnOavudvDbJGTxvWdNeHgXB7PpFioG2CpjLTbW0+sfpsdohKBhu4uGKCuKPKJuWDa/Asqw+ulWNS +mGTK6VMM6qMtXMTnNMSufOwsVGkrgffhG35h83u/w5XbYZPCs4Pku731uy4f3D/E015ClcWOr8vz +gdAnfhbcfBJjLEJ6L02L1GnCJLh9/ALf5v0coSpb3b1nfWSNZs3m30Hwyg83fLYN1u/oJ/8tX8F9 +47FiMceas5dQdaw86yYXV+zXP9n6xr4/3VFNe2rwJJnWpvO/+ssrccu1JNdsXKcSw1zPkcY1JOsc +a6B/UEdMp3LB00Bz3hKqrEv6AOOVxceSDvrJ0j7ZfolmkQ7icUdsDnSQXc9RL9eI7K3SQVnDuA4y +TVbpIPTLgQ76dvo2Cu3wtNIHYtd8NIXqwR1Va2/PV3+tz9f9jKrls5nwZmdz0iubC3DXlDQ+UcBO +b3LOGVy+Lgsqy2LAXSJeOVeaD5zBYuRl+R8/NpCyQwS7vfmhXdQ9+Zx+sc0/pfBJ3l+o0h6v01B/ +eeX87QfucFn7zTYWuKcJ8XSuUPX4t9eH90WK8wK9l/TJ8JGP4Emwh1AVKsPXinxdJ9bS2s458ej7 +xAm+g/vA5/4SQtVgHWP/Zq3z6wXzi2+tcRF3TxPmnLXnHKEKZfwgGnyNS+sbENMRZ08bzT1736xQ +NTviRGsUcVPGktL42u4UT6YxzCcxN+VNUEYxhw5i3/VypNnxgw6adMcrK9Ovg/hKO32ZRDL5S0LV +76gWQhVxWgrV14jUJFTfmxj+urijmr/++/OU5gL2sYQqg+C2XBitwQCbbfbPQMCMTZnnk9Mop/Qj +PzWlnfEwQft89dcntVUvkNcqEzwZJFT5dEs/f6/Nr2W/RBYFrVgTJ/gN7gdigDWJizj9GMipQlWo +bB1r5docPE0UF1wgcfdAH8ZpX2yV2ULEXXBAigcuzvk2na9xZz2jOtGKMydi7UnjcWG0hKp/9ffm +ddDlnlGdROpjP6OqCUoDcO0cT9BOQjUIFpjeH9Onv3x1l0+9OL+V901wW+R1zhZ/RCr/CNzvfKb0 +VpkgOBXFFR+GIByAT/SVV9sHwbkQV3zYyzUoX5X0a9ETP/wNgiW0vq0K1RuJPfVnVqie8IxqfUeV +u6c8s6pnVBGrj/aMagjVIOhH76dWXhAEQRAEQXA9SDc8GaFKf+iL31Etn1H90cXqJE4lWCehetXP +qJLutibs1PmrhnYa+QeZaqH6pQlV7BhE/w75CvS9rmMGn/iWjxrzucVvEARBEARBEASXAWFXC1V0 +BOmuG+5EBy3fUX34yq/upsJ7K/f1T/UzqldxR9WEnSlvfhTGRVgqd9XQTuONtZv2T58kTP3hF7H4 +dWF/gJlPEr62AbVxcT7ZsbCB9vGyAX7xhh+sSQ8413UJ8l7ZOL/7/PL1NzbptT/D0/DJcxZW982M +ZxAEQRAEQRDcMdw5PRaq0/8Q5/nom7lup50G7eaGY+8zql9YX8tnVL/44UcjPaNqQpZ/QaM7p9MP +KSFaTaCmZ1RJu/gdVTqAUAX+349jSvwHa8TNofZX8MkC+d/8+NPLd0yGTdDrn3/38s1vDb0apH+B +kLVx+frT9I93j+pI8PPR/Fw1Pt8mn/KTff7OfNqEvzCh+uZL82liePqJaxvryl9wu/AT5NDKC4K9 +iXgLRqOYi7gLRhHxFgwBfdDQDTerg4r+6CYk/15zz2dUvxl9R/VIqKbJQVDdGtMkTf34sZgo/knv +DzYRX9vgcdf1i0/TJE2i8rcv3yJS7Zw7n4wL//LG/xesTSL/jBzBelCP8aOlf/f9j/6Lr6/4UZNv +fnj5xtLwlQXrD+Yzfe34tU3iN59/ePm91UPZ0l9w2+S4u9H3TXBjRLwFA5nWtwkXDw2bINiTiLdg +FL6+Jb2QuRsdVAjVgzuq/c+o6plU7qT6r/ym80d9RpV/9M4znfwvHwQbvyp59dBOg68s17e8+erv +h6+tP2b3It0af27HfDUXUfn2dyYouQX+9fcvX7z+9PL58+l5Un55jn+KP1HUlZnS9dWAFzZJr7/9 +0e+mTj4tAGwO8i/W2eTx/5KWfQY3hc0t7xP+v+DX9saf3jc2tx47QbAzKa749VXijV+a5v+7af07 +sg+Cc0hxxXUC/9MS3n05/Y/diLfgIlhcccHr/5vc/wXXjV2LBjcH1226Yfegg6bftkE33Ezs0U6D +NXrSQXf9jGr5ELHB67WT/p8Q/7Zh/ceUpnFwYfnN9y9ff2eTY68uUlOeI98reBmgDP98lwD4/OPL +F4hUC57sz2iVD24Yiyc+qPBN1d6oxJ/P8628b4Lb4plhsfXuPUJ1+j+qfOil9a9ZJghOhZiymOMC +5MtP3xlpjUvpzTJBcA4WW9wkePfhs38w8t6uo168+CbiLdiftG+ypqETpIFcB/1wDzqo/xnVUqBy +h5Xz2WdU/Y7q4GdU49/TBMFpEE9sqhKqvJ/4EbJbed8Et4U2TC7iJFT9U8y0/rXKBMGpeFxZzL22 +CxCEKt8ccaGa0ltlguAciDnuDnFzwYUqP0Rp17MRb8HeaN/kuq0lVO/u39PM3lE1cbr2jOpvf3Qe +7RnVEKpBcBohVIORhFANRuJxFUI1GAgxF0I1GIH2zScjVA/uqM49o/rwFeDpjuqVPqMaQjUI+gih +GowkhGowEo+rEKrBQIi5EKrBCLRvPhmhWt9RRaj+jDg1YXpwR/VBtLaeUeUOa9xRPYHjCQqhGlye +EKrBSEKoBiPxuAqhGgyEmAuhGoxA++aTEaoHd1T7/o/qRxOziNHyGdXpbmo8o7qZ4wkKoRpcnhCq +wUhCqAYj8bgKoRoMhJgLoRqMQPvmkxGqZz2j+pMTz6iewfEEhVANLk8I1WAkIVSDkXhchVANBkLM +hVANRqB988kI1YM7qvUzqg/iVII1nlHdmeMJCqEaXJ4QqsFIQqgGI/G4CqEaDISYC6EajED75pMR +qvGM6uNyPEEhVIPLE0I1GEkI1WAkHlchVIOBEHMhVIMRaN98MkL14I5qPKM6nOMJCqEaXJ4QqsFI +QqgGI/G4CqEaDISYC6EajED75pMRqvmOqulDe2/5nVMTq8d3VCfBCh+sHM+k6hnVSaw+3FFFsH6O +O6p9HE9QCNXg8oRQDUYSQjUYicdVCNVgIMRcCNVgBNo3n4xQTXdU/au/s8+oSrBO50vPqCqN87ij +2sHxBIVQDS5PCNVgJCFUg5F4XIVQDQZCzIVQDUagffPJCNXZX/2t76jGM6oX4XiCQqgGlyeEajCS +EKrBSDyuQqgGAyHmQqgGI9C++WSEarqjuuUZ1S9NyH5aeEaVtE+WFndUOzieoBCqweUJoRqMJIRq +MBKPqxCqwUCIuRCqwQi0bz4ZoVo8o8q/p3njX/39bfoKMK88rzqJVXhj5x9+mn4wiedTvzP8Dqrf +SSUtPaP6888vv+GrxHFHdZnjCQqhGlyeEKrBSEKoBiPxuAqhGgyEmAuhGoxA++bTEqofXz6z99dz +W8v9677GF999//KLT59fvvhs7zXuppJm5V99+/nl68+fX76zsfjod1O/f/nl529fvvvW+P57S/vJ +vxb89XefzbdpTq59eZ/aNXEI1QbHExRCNbg8IVSDkYRQDUbicRVCNRgIMRdCNRiB9s2nI1TRQfZe +4prhg71++PLlsy9NuH60csDxASndeG62jmw/WL6fm+jF7q0dvzJ9xViFUG1zPEEhVIPLE0I1GEkI +1WAkHlchVIOBEHMhVIMRaN98kkL1I5i9CU0XpC5MTbiS9rXOE35epH3k1c7dlvJ2/saE6hchVBc5 +nqAQqsHlCaEajCSEajASj6sQqsFAiLkQqsEItG8+SaH6pb1yV/RAiEJK0zFilOODu6+FuFU+d1QR +qmisEKptjicohGpweUKoBiMJoRqMxOMqhGowEGIuhGowAu2bT1OoAkITCuHJq4tPO3ZxWpzX+bxy +RxXB63dUrR2MVQjVNscTFEI1uDwhVIORhFANRuJxFUI1GAgxF0I1GIH2zacpVBGnuktqx4hO/0pv +EqMSpBKx+Y4qtqRhl74qTH48o7rO8QSFUA0uTwjVYCQhVIOReFyFUA0GQsyFUA1GoH3zSQpVnlHN +YtRw4YkQrc6V5uK1Ouc1nlHt53iCQqgGlyeEajCSEKrBSDyuQqgGAyHmQqgGI9C++XSFahKdR8+o +zh0n+/KrwhKqekaVsQqh2uZ4gkKoBpcnhGowkhCqwUg8rkKoBgMh5kKoBiPQvvkkhWrXM6rVeZ3v +ItfKxjOqfRxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATaN5+mUEWcJvHpz51a2cVnVGWrtOKc +/HhGdZ3jCQqhGlyeEKrBSEKoBiPxuAqhGgyEmAuhGoxA++aTFKqbn1Gtz5NdPKPaz/EEhVANLk8I +1WAkIVSDkXhchVANBkLMhVANRqB98+kK1SQ6JTxdiM6dc9ywD6Haz/EEhVANLk8I1WAkIVSDkXhc +hVANBkLMhVANRqB980kK1XhGdTzHExRCNbg8IVSDkYRQDUbicRVCNRgIMRdCNRiB9s2nKVQRpxKe +6dhFK+eGhGs+x1b2ykv5pCFU4xnVZY4nKIRqcHlCqAYjCaEajMTjKoRqMBBiLoRqMALtm09XqJp9 +FqocJzFa/qiShGr+MSUobTk2/N/TWDvQWCFU2xxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATa +N5+kUN3jGVVEazyj2s/xBIVQDS5PCNVgJCFUg5F4XIVQDQZCzIVQDUagffNJCtU9nlH1YwnVD+Y7 +hOoixxMUQjW4PCFUg5GEUA1G4nEVQjUYCDEXQjUYgfbNpylUk8AsxebBub1ynu+glvnKS/mkxTOq +6xxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATaN0OoJmFaPofqQpW8RM5L5142nWMXz6iuczxB +IVSDyxNCNRhJCNVgJB5XIVSDgRBzIVSDEWjffJJClWdUJTqhJURzmkStID/Z5X9PE1/9XeV4gkKo +BpcnhGowkhCqwUg8rkKoBgMh5kKoBiPQvvkkheraM6p+V5W8dF6K1HzOcRKxIVTXOZ6gEKrB5Qmh +GowkhGowEo+rEKrBQIi5EKrBCLRvPkmhisAsv+LrwvPLSYRyl5RXv6NqrwdCtijjtsYHA6Eaz6gu +czxBIVSDyxNCNRhJCNVgJB5XIVSDgRBzIVSDEWjffJJCtfWMqoSoBCxCVHwwEet56TzbcmzEM6rr +HE9QCNXg8oRQDUYSQjUYicdVCNVgIMRcCNVgBNo3n6RQnX1G1fL1dd73EqekmY3EqYtag7upekb1 +dXz1d5XjCQqhGlyeEKrBSEKoBiPxuAqhGgyEmAuhGoxA++aTFKqnPqN6cM4xZe04nlFd53iCQqgG +lyeEajCSEKrBSDyuQqgGAyHmQqgGI9C++SSFanlHVSL1Y3pGVeKz6xlVy9Ov/sYzqsscT1AI1eDy +hFANRhJCNRiJx1UI1WAgxFwI1WAE2jefpFDlGVUJUf14Ui1GJVq5u1o+o8pXfmXnNsbbpK3QWCFU +2xxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATaN5+kUF16RhXhynE8o7ovxxMUQjW4PCFUg5GE +UA1G4nEVQjUYCDEXQjUYgfbNJylU/RlVvurbEKIuXpMgVZ7uqOpOaz62fI7fhlBd5XiCQqgGlyeE +ajCSEKrBSDyuQqgGAyHmQqgGI9C++SSFausZ1fL/qHK++IyqvcpWz6iGUF3meIJCqAaXJ4RqMJIQ +qsFIPK5CqAYDIeZCqAYj0L75JIVqfkbVWHtGlfODZ1RTmoQtxDOq6xxPUAjV4PKEUA1GEkI1GInH +VQjVYCDEXAjVYATaN5+kUPU7qghUsHLxjOrlOZ6gEKrB5QmhGowkhGowEo+rEKrBQIi5EKrBCLRv +Pkmhyh1V7oi2hKjukvpdVezstbyj6mmFLflvvzSBGkJ1keMJCqEaXJ4QqsFIQqgGI/G4CqEaDISY +C6EajED75pMUqvkZ1SREXbQa3CHVHVUXp8nGBWmRxnHcUd3G8QSFUA0uTwjVYCQhVIOReFyFUA0G +QsyFUA1GoH3zSQpVf0Y13SVFbB7cOS0FKulmo68Bl0K2fEb1XdJWaKwQqm2OJyiEanB5QqgGIwmh +GozE4yqEajAQYi6EajAC7ZtPUqjG/1Edz/EEhVANLk8I1WAkIVSDkXhchVANBkLMhVANRqB980kK +1XhGdTzHExRCNbg8IVSDkYRQDUbicRVCNRgIMRdCNRiB9s0nKVTrZ1S5Kwqc647qwVeDzaZM83RL +izuq/RxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATaN5+kUD36P6qGBKvutPodVaMUqNhKyJbP +qMb/UV3neIJCqAaXJ4RqMJIQqsFIPK5CqAYDIeZCqAYj0L75JIVqzzOq+eu+nJuNi1PgOJWLO6r9 +HE9QCNXg8oRQDUYSQjUYicdVCNVgIMRcCNVgBNo3n6RQ/RKS8KyFqMSrjsmLZ1TP53iCQqgGlyeE +ajCSEKrBSDyuQqgGAyHmQqgGI9C++SSFqt9RtWOJVO6KAuf1HVXOs2C1c4nUeEZ1G8cTFEI1uDwh +VIORhFANRuJxFUI1GAgxF0I1GIH2zScpVBefUQU7Bt09Lb8GLCEbz6hu43iCQqgGlyeEajCSEKrB +SDyuQqgGAyHmQqgGI9C++SSF6uwzqnZc31GViHVxChyncrqj+ibuqK5yPEEhVIPLE0I1GEkI1WAk +HlchVIOBEHMhVIMRaN98kkI1nlEdz/EEhVANLk8I1WAkIVSDkXhchVANBkLMhVANRqB980kK1fIZ +VcRmvqNq5/UdVf9qsNm4YE12nm7nuqMaz6iuczxBIVSDyxNCNRhJCNVgJB5XIVSDgRBzIVSDEWjf +fJJClWdUXXBaGRem9upY2seUDlvuqNIONFYI1TbHExRCNbg8IVSDkYRQDUbicRVCNRgIMRdCNRiB +9s0nKVTXnlFFrG59RjW++rvM8QSFUA0uTwjVYCQhVIOReFyFUA0GQsyFUA1GoH3zSQrVeEZ1PMcT +FEI1uDwhVIORhFANRuJxFUI1GAgxF0I1GIH2zScpVPMdVTvn9eCOqsGx0uqvBgu3tTzdUY1nVJc5 +nqAQqsHlCaEajCSEajASj6sQqsFAiLkQqsEItG8+SaEaz6iO53iCQqgGlyeEajCSEKrBSDyuQqgG +AyHmQqgGI9C++TSFarqj6mLU0o6eUbVXxKmLVoQptslGItdFK3Z2HM+ornM8QSFUg8sTQjUYSQjV +YCQeVyFUg4EQcyFUgxFo33yyQlXCM55RHcPxBIVQDS5PCNVgJCFUg5F4XIVQDQZCzIVQDUagffNJ +ClWeUdXdVIlNF5+k6Tid+zOrBX6XFZFq+NeG7fUNX/0NobrI8QSFUA0uTwjVYCQhVIOReFyFUA0G +QsyFUA1GoH3zSQrVs59RJT2dcxzPqK5zPEEhVIPLE0I1GEkI1WAkHlchVIOBEHMhVIMRaN98mkI1 +3VF1MVoITz9P8OyphKjnKR978op87qjGV3+XOZ6gEKrB5QmhGowkhGowEo+rEKrBQIi5EKrBCLRv +Pl2hmsTngVBN4tMFaCFE4xnV8zmeoBCqweUJoRqMJIRqMBKPqxCqwUCIuRCqwQi0bz5JoZqfUU1k +oarzJETzeYHy4xnVbRxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATaN5+mUEVgmj2i8+jHkng1 +8en/skbp6Y6q56tsLVStHWisEKptjicohGpweUKoBiMJoRqMxOMqhGowEGIuhGowAu2bT1Ko9nz1 +t3xGtfw/q/HV39M4nqAQqsHlCaEajCSEajASj6sQqsFAiLkQqsEItG+GUJ0RqqUQDaF6PscTFEI1 +uDwhVIORhFANRuJxFUI1GAgxF0I1GIH2zScpVDc/o5qOy/N4RnUbxxMUQjW4PCFUg5GEUA1G4nEV +QjUYCDEXQjUYgfbNEKqGi9BKmOoZ1SxUy3Ne7TyEaj/HExRCNbg8IVSDkYRQDUbicRVCNRgIMRdC +NRiB9s0nKVTjq7/jOZ6gEKrB5QmhGowkhGowEo+rEKrBQIi5EKrBCLRvPl2havZ+Z7QUqpwnyh9T +kjDN9uQV+dxRDaG6zPEEhVANLk8I1WAkIVSDkXhchVANBkLMhVANRqB980kK1Sw2rczBv6dJAlSi +1IWp8SH9exqOS/Gqr/5yR5V2oLFCqLY5nqAQqsHlCaEajCSEajASj6sQqsFAiLkQqsEItG8+TaGa +7qjWwlNpHMczqvtyPEEhVIPLE0I1GEkI1WAkHlchVIOBEHMhVIMRaN98kkJ18zOq5R3VJE7L/HhG +dZ3jCQqhGlyeEKrBSEKoBiPxuAqhGgyEmAuhGoxA++bTFapm73dGS6GazhGgiFMJ0TrfRWohVOMZ +1XWOJyiEanB5QqgGIwmhGozE4yqEajAQYi6EajAC7ZtPU6gmsYnI9K/v2qvEqD+jms4lRHvuqNIO +NFYI1TbHExRCNbg8IVSDkYRQDUbicRVCNRgIMRdCNRiB9s0nKVR5RlWik9fy38/4jyvZsdJqISvc +1vKwe/PBfMcd1UWOJyiEanB5QqgGIwmhGozE4yqEajAQYi6EajAC7ZtPUqjGM6rjOZ6gEKrB5Qmh +GoxkVqim9FaZIDgV31dDqAajSHH1he2pIVSDS+Prm/FkhapEJsLz4I6qnfPqz6iSjjDFNtnoa8Mu +WrGzY+6oDheqqcxNTZAtZGyii0L1RvoT3AYSql9mofrZhaq/WRv2QXAOz17YhmC8sw10EqqfTaja +psH6FzEX7MwUVx8nofpNEqp+bTDFYatMEJwDcfXF668LoTrtqXGTIdgd9kzjaQrVJDYRoDf7jKrK +vP708tUHq/DjCdigvLI2OK18UD62rfweGPy3XKx9NKH6qTlBLHpS+l98sLoYRGj5C4JOeI/x+uV3 +P7z85gdbCGxjJa6+qOyCYA+IN3hv6zrxRty9+fp7S/ucYzEI9kLx9vab719+bfvq13bx9t7EqvJq ++yA4F+Lqta1pHz6zxv3ga9zrlN6yD4LNcI1mOuA5H/ImHXQkVA90UNINLV9ruA5KWqiVD+Q55+og +E6AHOujwht3xM6p27JgezHdU7dyFqx1LnOoOqwvWZOfpdq5nVF8/xjOqpVC1ReP1dz+arx+nyuGb +BimPOl9//9MDtuC8so0ul8s+zK/lZTvq+GS2OX8G5VOPlXllG+c0QdxRXRGqFnCvPn5++Zq6aVPL +fxB08kWK0y8t5r5hIfhMXFnaUvwGwYkQb/CeD0Ys3oi7N7b+Kb1VJghORXH19lsTqiYaXKjaGsf6 +FvEWXALiimuzD+nDXxeqKb1lHwRbec2HbaaPniPYkg6aFaquGz5Z/KFXkg7Cz1w8kk4Muw4y+6yD +KDejg4jxHy6hgxaE6j08ozp9vYcJ+sYXjTc//fzyzc+/ffmGwWSSNUgFr20zI//Nj2aLHZNqA//m +R8PPk2BlYizvzQ9mR953yRYbK0sZBr1Vh2N5b/D7k7XHoI8ecD13VPlkxISq2tH0f63wqUkrPXg0 +/M6pLRouVLmjykWcnfumGgQ7Q7zBe+42IFRtgzsQqkGwI4q3A6Fafmuksr9bnlJfHxni6kiopvSW +fRBshms0NEHPHdUkVF99Y3ttjw4yken6JOugSbBO56ZvCh30SjqI9KSDJl1kusZ1UCFYa6SDaI90 +0NE3S9fuqJoOFEfPqNpxFqcIU0vn2OE4ldMd1cd8RnWaIBsQBvm3NiA+KHZsAzRNFLeqP/ux21i+ +C04mIS0sqH2V808LbELcB+dMmvuYJvg1k/Tz76YJxgedlR+rwyfcg8VsfmvYMZN510LV3lSvPzOO +jEEjX/Apy7fJ1uzynb3gYvjmaeN8V0KVeFMc2avHVcsOsMUOluyCXSDe4N6EKrGjOFqNt2KNW7QN +zkbxdm9C1eMtxdGrlT3V7dh712Iz2AXi6u6EKnHDNRnr1sqe6tdu2EW8XQ4b121C1YSXxaTfWEPL +SAehU3w9LHVQspGYRW9YnS5CSedmHDfm0EX48HPTQdgR+7UOwgdtTvHvOkhl0UCAD0vfJFR5RtXF +aRKbEqP5q7127GKUdDsvvwYMbmNpErpv0zPkj/aMqk8QA5cmSHBHMwlWxwaV9Ok2tw02ojRPiNny +qYGfmx3+GFy3wZYydqxPCFyopqDCdxaoqW5AOJ8sVG1srF8lXtcMvXbwYNvO74Y2apP8PsFxa6HD +trT7YTo/stsKfjW/RtNGJFu/G740TrTJ/aVPllo2J5DbuFY3c8NisFK3z2H22bbxzdPyuoWq5b3+ +Zr1ueIijjnZ22q7hmyRxRPwAsdS6mKMfbKaKyWTLByUHdqdAP1bG3aEN2W6h3/hwn33j3ouP91rd +4O20utf68/Xky/s045N4gy1CdYqLhU9mE5PdfN0lW2wXYTxY47RuaQ0j5irbg7WwiM3z11nrA2O+ +Oj/UNdl12XbNeT+b6nZb1td2fkY+GYNGvuJtm1Cd/LkYXKh/spmvu2SL7SqscWUMKd7qttJ+4ksx +Bxyfu8ZRD33ZaQ8Ssl1dj3qh/6nu3nZOdS/YZp/z6xFxhb8tQtXrT7TyH8CGujv60+VvhdTmHENF +LPmeWvXJ91/yiU1soRWbW/Fx79n/LJ9+7znnW1Dd+G3lixRHq9eaxtTO6lrTyp8tVMXJOsho6SCO +8SG/aje+WzoI8GH52++o2rFjejDfUbVzCdGbekbVJ6gtVH0yeANYPT7olj6l2aASIKAJ0oTojirn +lCPosLMN8PCOago0n6A0ceT5q3GmUPU3BX3rEA65L4mWjSC/x+cq/mY0tLhpY62FKosdtsUiqIXu +wO4U6C/jn+Zz0R+2zCfzuNR38vBntn7cstmK151Y8+m21k5rQzM/gR/5nGunb542Jr1CFZ9XG2+G +vxeJI+Kn2CzzpiqwLQVG4kjQboX66TPjzvws9Qk71h7FZstGuM8050sx3AvtTDHsdS/59Lonlux8 +7EufDRviDbYK1Te0YcanIL/nQ5TJ7oGWTTeMRx1HxFwdb0ZzLdxBqHo/0rgvx5vZut1kvxqbec7P +HKOEt7OnbqO7P+7T5nwmNhVvW4QqPvN6tDA3XrdYuNA9sDNaNpsgjsoYSnHkeWWfGB/iq7RVbMrm +VOgL485ctvIT3mfm3OdnwZa2YsfFLnYL494NdSvel+o2pnZO/Vl8P7rdxFx/iCv89QpVbBVvS+1U +/t777yKpzR5HZbyVe6psmcNWbOqDkZn+d0E/8rg38hPe37R2rPXbbfOcnzFGgv4XPhdj2O2Mtbp9 +TCefB/Fm6XsKVdc8tBtKoZra55g4zTpIQtV1kNmm9+3Uf8N9/M5fNQ7uq6WDAJ+Wv+2OKiTBqbup ++dyOXZimYxeoV/iMalOo5q/+TpPwiqCnMbpDmQfesImYAiINOmUY9DSZCNE3P9vg4sdsKE8Zt/OJ +tMBy3wmO64l6Il/99cWLjRSWNknmgIs+FsFazAYXwTdPG+d7++pv/gr5Whz1xmawC8Qb3NVXf4mv +FEceS0txVNrFGndxFG9394yq1ri1vTLZsb6txmawC8QVF+x399XfFG8eR0vrFjGGLTEX8XYZbPxP +FqprOsjKuQ4C6SDbo13zqEy6uTfpoKSNpIPSjb3XScBOvhPJV9ZB53z1d/YZVcuv76jWQtaPU7nr +uKOaJsjFYRpQ8+mNqGEgfRBNsCJWRasME2qT4BNlE+KfKjDY3zGJhV2L/InDFCz4Pu2OauU3CDbi +m6fFUfyYUjAC4g3ix5SCESjenvyPKQXDIK7uTqgG1wXXaKcIVcRnjw4yW79xJw1EuTkdZGmTDpps +X5sYpixa6sC2Bq1V6CD8nPaMquFf7TX8zmktRkm381K0SshezTOq1qFp0G2xQN1vEXdWzu2Xyigf +21b+EtY3bqHza1yTUO379zT838G33/7ovPnEhV4JaVP60teRggB887T4DaEajIB4gxCqwQgUbyFU +g1EQVyFUg4vCNdoWoeo6aLq5hqbapFdcB03Xhc18IM/Z4Fegg5KwPfkZVQlUKIXoTT2j6gvHtHg8 +DOgVwNfA0t3X9f+jOvXnizffvnz36aeXH77/3cR3v50FIXt1fQ6uCsQBMehClYXgu++nmGzYBsG5 +SJAe/R9V8irbIDgXxduBUC0/jKvsg+BciCu+xqg91YVqSm/ZB8FWiC90jeuGpINmhSo6yATtF1/z +q77XrINMhLoO6r2jCklwumA1TZjP7VjCNAvZK35G9dkrE3dvv3Uf18snH5hnzxGq3zYn6N1HJmga +wBdm88WHRNOf+NxIC4IHXtiC8Mpev+RCzuLunS0cSq9tg+BciCt4Zxst8fbBRMPrL5XeLhMEp0JM +EVtvbP/8aLH29Xffv3xnF0TsubHGBZeAuHpla9oH1jgTqby+smu1iLdgVyye0AMIq6ZQ/cF0kMWd +dNDV76/WvmMddHjDrn1H1foHulNa3lF9X3zd1wWpHbsdx8a13FGl017xGmbr6Ngmlq/ZPn9dpM8h +e1izbWFluJvqd1St3UtC9Rnfnz6ljiBo8OzZx5cv7L32wd6UH02sEn9TnLXtg+AcWL/4xPSdbaBf +W7x94Hl7W2c9PWIu2Bliith6/fbTyy+/sTXu03e2xtkFnsWg76WNMkFwDsTVF3YtyONaH7/97uV7 +W+NesKfaXtuyD4JTkW5YFKoWiyfpIJAOQhCv6Q7yd9dBC0J18RlVBKodu0Al3dKu8hlVfZKQBqAb +vvPNLeVvPlvjv51evzZfxacXbscrE0K65T/7drJ79raY0NLvCscTtCJU75mNYxecDvH0wuIaoYpw +4P30goXtKc1BxNswXDjYeL/78Pnl159NqLIZsOlY2s3HHO2PWLoqPK4s5lyomkj9+Ol7/7SeefKL +t0aZmyLi7eog5r6wi/tJqH5vQvU7/zA44i3YG+2bi0I12dVlF6l10NfT6/N3iFbLlz+9FjqIV541 +PcjvRP3pEqonPaNapHm6pT3qHdXeCSIf+NTgSxvoT2mgbaKmQbbXDzYglu5C1AZIHfH0NDkhVGfQ +OLQ+aSnthOxkG1yUuxOqZbzxbQjFUas/tW2dH+zO3QpVYkhw3hNvS7bBLnhc3atQjXi7Soi5uxSq +ijfF0RKKOY4j3i6G9s2zhSr5MKeD0DsI16SD+HGmnP7+UAcNE6p38X9UT5mgNNhMlE8QafDOBoRP +Fj5ZPp8cqByv5JdCNoTqISxYb63d7y0g+NSC2+v1QqexemO8N1vssH9zY329Qe7yjqrFUY63dxZD +xFWZr74pNrFTbIZgvSh3KVR5v1icKY6IKY8t+lP2ibQ6NiPeLorH1T0JVeKJeLO1KsfbO0ubEwWW +nmPT4s7XQuKwtAl2hZi7N6H6zNctg3gjjrTG1bbEW29sBmejfXM3oYruWdRBlmc6yO+gqhyvxELS +QcOEanlHFbFJzLnwtHPdUW09o+pwbNzkHVVucWuCmBQmgwHnEwOEKJN0NEGW/1hC1S98bJBpq+qt +oR1AwNlm7dDmVvtI801w8ullapte5J8FTeKzXLxou9oGLHBF/hRkdtxaDHvx/qR++1waSq9tIY1l +HqOWDSSfZ4+RUP99Hs0nsdiyA+runXP84E8+G/3eLFTNT65bc1jbkKZxB8aItBmfz+iP7E6db/ln +UyTeLH6ef0jHQLpsUjskGGTvx7Wo3Qrtpx9lHKX6DiCtHqPZvlseY1SOe9OuE7UHX7RzbdxzbK7Y +kVf6LMZabBaq5jOvR4vvCyOPe7Jr+SMNP26X2lnbbMH7bG1U/Git40MP6irIF3uyIUbrtbBVxxp6 +n2vcWzbybWM9xZzZqd6WLeNu/vx9SZzWNltQ32ib1518LtWtOV+bH8s/iM3Kp8fVRqF6UPdS31kL +ze8Um3Y+2x/zQZ+x41XptW0vvB+JH+Kt3CvJw6+gTeQnW7e32Mtr3KltoD/luLdschtS34Fjpde2 +W+a8B/dZ1I3PVt2J7j3IfK7NOTG3SajWY9SyAR/3VPfcGKk95CveKDfT71Uox9ywV6b1KsdS3X/G +jTWOfGxt/30GrHHyJdut2LjkeFucHwMbjRF11vUqLY/Ris9evP/yaZDW6jNp3XNu43fwvji01b65 +q1AtdZC1z+tmjNBBaCDD+6hyvNL30UL11GdUsZWQvZlnVMkHnyBuXX/nE+UDr4VFQrW+o+q+rVwp +VPFD+tyiNMPxBG25o0pQpMCYg8Wqx87pteuAceRCLokCv4tAWmnDeJHGBsqixuKGPXdUa9uT2Nr3 +VnqLncYo09tG6LDtmPPT7qh21J0xO29HKy+R29nIOwUXBCneuICrL8z0WscmC9Ue8eY+evu0pe9b +bHtI/rr63FF39oPPtu1moep01A2bx91ed5rvg7tWuttAf0pIq9dCLvZaPk+iZ4x6xwe22HaQ6+7x +uaXueVuPK4u5bXdU96n7ENn1+l2AeLO90WMN4cmeOSc+WQsvdke1oz8Xm/MtmM+Z9Siz4/uCmNt+ +R7W3buiw9TlebmcXKZ5yvPmHv6xxhta40p7YrO+oKt5q28109CfHttltmfNc7kzcT+F3kd665/1p +39xNqCKaSx3E/kTcklfcsDsQqvJdC1XSF2P+GPVn2x1VK+MCFSFq6C5pKU4lYnNaOi7vqL655juq +JQSuf2qA6DQYeD5dYOD904UULKkjiFv/lAeB+q3ZM4mUwY4JX3ujFBxP0B0+o6qLMtKUXiO7vRaO +YJHThOoVU8eb4qjVn9I24m0IpwnVG6GMo7V4KwXqrff7ivG4spi722dUyziqqeNtKTaD3SDm7voZ +1VZeiewUmxFvF0P75tlCtWRNB/mdXbPDZ62DPu+tg855RrU4zkJWediX+fbKM6o3IVSxBQaXTwxs +shwmhjde6au24dewDsoYZ03QnQjV4Kq5O6EaXDV3LVSDq8Pj6l6FanCVEHN3KVSDq0P75q5CFVs4 +RQe9T+dKO1sHxTOq61BWrOXXtOwXOJ6gEKrB5QmhGowkhGowEo+rEKrBQIi5EKrBCLRv7ipUaygr +1vJrWvYLqD99d1STwER4Ijb9LqmVVxrH5d3T8mvAErI3+39UH4njCQqhGlyeEKrBSEKoBiPxuAqh +GgyEmAuhGoxA++ZFhepA1J/+O6pmL1x4IkTtWELURSv5nGMju/QqW+x4RvVq/z3NEpQVa/klLdsV +jicohGpweUKoBiMJoRqMxOMqhGowEGIuhGowAu2bFxWqlF0qr/yalu0K6k/fHVXgjiiCU0JUwhQ4 +TnkuZMtnVEtbytrxzfyYkmz5LvaX31oHDF55/rT+bjYPEPPAMPkl1MtDxNjLtoPjCQqhGlyeEKrB +SEKoBiPxuAqhGgyEmAuhGoxA++auQhVb/KJxEINZB/G8acqX7cV10IY7qvrKb/nV3rt7RpUBtc3M +BSq/dmUTxED7JPDLV/6Ty+nB4GIC/aFhays+/P9rYcv/ICIP27qeGY4nKIRqcHlCqAYjCaEajMTj +KoRqMBBiLoRqMALtm7sK1QMdhO4xHfM+aRt0TdJBLmSTSPUfk23pIPLO0kE9z6hamYM7qgV+t5V8 +ey1/9ReB6ja38owq+WALC///h59X9sHlXGWZJP/3M5bPL1mpXPHqk8YnDza5Xt7OPb+T4wnaIFRp +g9qzBzv7e/baIAj4v4H8b9SGjfD/wYWd/hdhw+aibOn3nmMOW8Z9i+0CJwnVnerO7O3PP3SyPvD/ +A7X4zPknNhVvS//q4VJs6fve47SFneo+SahuqXuL7Z6kOPJ4m1u3aBfvLa1xu/5PS2Pvfj/WWMKW +uhdsPa62CtUFf0dssd2TYo1bXLfczmzWYvMU9u77Y40lbKl7xZaY2yxUe+uGLbZ7oXjjf0CvxRHx ++G6KzWevzbZlcwor4363LPRb++bZQlV1oFuyDjKtIx0E6CD+9YzpoOkGXUovyu+vg5buqFKX2evu +aCk8uUvK66yQTcdui51x1c+okg9MCAOsTwFsIvz2tf/M8jTw/KNbv/1NubTo5P8fVE6MfJb1rHA8 +QR1ClcWCdvMJB69Kb0G+Ta6/rm1W9EG2rfwe1H8uxt5bu3VbXv90vLTh1drkC5vZ8U+ls+1aW9eg +PP3J/9Opyi/RGMHSp0DkvU5254xRibezxyd1Gz11u92y7Wah2ls3/em1JV8xvDQ/PWiTtPiZ/jn5 +dO7p9EmYrQsG4o34VLwtXfT1cNBvYy2OsFsdI8vLPpfsNuDxVvhcGnfGxNu5Yqe+L6xHJwnVnr6X +477Wzmy34rMH6rH1zNc3xRIXc3wgp1gTjKPnF/Z7fCCnOFrbB3yMin4v1ev9SrZLMbwFjfta3VDa +tvLB+5PsZubc42qrUD2oe6H+XLexOpZm27MH9WBx5DGW1jiPJcQDeWW8UQ/ignzWtxSfeY3DpvTb +TerH2vyA23XY5jFKdueOEeBjSzt76i7X7Bk7Ym6TUFXd7nOhneT1XHeU/V7zuUaKI782I960dmmv +LGOIerUWlvtvfb13CurPUr/B22A2a2shkO/jbq8zc7mZg3Fv5IvSbrVPydbtDm21b+4qVGd1kB2j +gYwsVKWDbBybAnWt3gr1p++OKlisrT6jms49r8jPx5S146t+RpV8IAhMDD779rtpoHWRCfokgUki +sNObgVveLmDPEKjieIJ67qhanbRbG2BOb0A+beR17U2JHZy7wPFaClUtcFyclTa8Wpt8802bqQcO +tucuIJTv7TsLL2O51nfysPFxb+SfAu3qGnfqNHrqVjvdZyPf2CxUS5+tfFGPe8tGHNit2K7BmPQK +1dYHI9pUz0H9gaV4K+d8dYyMnvf5Fspx721nK18QG6XPhs1JQlV1L8X7lngr+7P4XusAXxIDiiWO +EQ6KNaG1sFgPdxOq6vuar3KMlmz3HCPRWzeobmxb+WJlPfK42ixUjfxea/t1yrp7x3KtPz1Y+zx+ +WOMUS7aWeV4Zb9TLWphizeOtXgtr312kfuy0B2WyndHK3wr10c6ecT+wW7Dt6A8xt0moKj6oe6nv +qptxXxtL9WehnV2kOPJrszLe2CsVR7L1fqT8cv+tr/dOQWMErXzBGB3MZcNGlHZ7xBxt7K277M9a +3dnncZ72zX2FaqmDUvugdUfV7C+rg058RlXi826eUSU/NUyfGDz/2gaDiSCNgfeJsPQPlsbEaML4 +1MGOsx/sWUQoi6Ct61rgeILu5xnV6au/dqxNsmEjuBPhm+7aBhjswmahegukDdM3yDXhSWwSb9hG +zM1DPOwQEycJ1Z3qvii+xtnahUBdiiPeWxZrvh5GvF0cj6utQvUWSGvcarwBayCClZijXMsm2A1i +7i6fUWWN48NdYm4pjohH1jhs46u/57PQb+2buwlVNAzPndY6CMGadBDPpaJzXAchUE0LXU4HrTyj +KtF5cEfV0N1UPybfKJ9RLUWrHxtvv5zagcayPlznjymBBplB5zY2X+kFvqutTwqwY/B5WJhJws7q +cpjIlP6c+vFV1zHD8QTd4Y8pbZmLYAh3KVSDq+UkoRoEJ+JxdY9CdSvx3hoGMRc/phSMQPvm2UK1 +ptZBCNTyjik27+0YDbSmgxC5tf8Z1J9uoeqC1MpItH7UjyMl4cqd0nyehKnbA3kpn29CvTGhenP/ +R3Xtk8cyn0mtRenGTy6PJ+gOhWpwdYRQDUYSQjUYicdVCNVgIMRcCNVgBNo3dxeq4tF1UDyjelUc +T1AI1eDyhFANRhJCNRiJx1UI1WAgxFwI1WAE2jcvJlQHo/50CdWjZ1SNAyFKWiVGId+FBTvWM6o3 +K1QpK9byS1q2KxxPUAjV4PKEUA1GEkI1GInHVQjVYCDEXAjVYATaNy8qVCkr1vJLWrYrqD99d1Ql +Pq3MwR1VQ3dTPS+Rf2wpnWdbjo2bekbV7b+ZvlfNA8F8J9sanfNLO9LJL/HvY0Oy7eR4gkKoBpcn +hGowkhCqwUg8rkKoBgMh5kKoBiPQvrmrUMXW7ZOeOVUHyX4D6s9pQhXRWQpRKM+xlX2dZ9zEM6rY +gQ2y/h+Q/j/qM0Si/xxzGngmwn8BKz1EbG3wX8Dyh4gNS8u/llXXM8PxBIVQDS5PCNVgJCFUg5F4 +XIVQDQZCzIVQDUagfXM3oYodtHSQvR78uu+BDjIuooPOeEaV4/zVX+VV57ze1Fd/+QSAAebfzjDI +/KS7ypovpfunC9jmTwwMFiAgjcn99jsbALPlHB8dbTieoDsTqjxUzc+W89rKF712wS7crVAlfkQr +X5Af8TaMuxaqiiWOl/qiuIyYuzgeVxZzdylUFW9rcdRrF+wCMXeXQnVLHEXMDUH75m5CFc3Sq4PQ +P9jDxXTQyjOqEpsuPKESoi2hWtojchGqpHNH9er/jyqfDvCTyvpHtzYRDIYP8jsbkE9MkuF3Vs0+ +LTrPbAP0TxGAycGHl092nRxPUI9QtbaBLwYcP/g7Jtl1LRy9PhfQuLNY8T+1+IfRBE35P1Jlk179 +f6jKjlc7P3uhU59Fy6Yk2y70nbxef1vIPlfqzvOzxno7TxKqa20UGqdV29Kuw+8S+OH/C/IPyW3x +eW6x5P9HkHT6JLAlDvn/goq5nv9J2EXqj2jaJLJNR7977bpJ7dytbvKXfZ4mVOVzjeW6M9iINds1 +8GEx4/8zkM2OuNP/q1SsCdJYC33zNFgLFZst371sWY+yXUe/Zdvjt4sN7cxzuYbs2v3xuLKY2yxU +5dPX2yovk+pea6dsemx7MB/+P3uJIyD22CvJK+ON87QWak89is3Sby8770EPyCf+67wT2XsPUl/c +tg0xt1moZr9r7TQW6n4g9Uc0bTpIMaL/b684yv9LtY4hSytj8+B/558ab8L7sTY+af56bbPdmm0v +yaf7XUA2uf6U3kTtPEb75tlClXyodRB3Vhs6yO+UYn9xHbQmVM0+C0+LOfDzdOxU+bJR+oFQtXag +sex9eJ1CNX8KYAOhAWYy+OSAwef2Nv9LSEKVMgQPb0LKpol0H/qnuD2LTuJ4gjqEKvUTWNTLq9JL +1D/Z0S/a2rIFfNJnbJfs1qBOXtkY31u708KVN8vShlcu9orFzQMH25k3aBfu3/qgueQNl9MbaA6x +X5o78uTznDEqoZ/4W/VpeWtzLtQf+Wz0e7NQzWNkr0tzw/tCcUQ78Tfns+zPqfMt/z6OU/w8/2DH +xB7QHtmkdmjjdRvijWOEQ+17K3mMDGIl1Tdrt9p3yyvHqGmzEeoq52dtLr0/K3a1z4bNSUJVPhmv +Vj5Qt8ZoqZ3UwZy43YrPHrzPRfxoreNCjroK+HTaY1E22O8lHPIaN9Mf+WYNXBsjIE9jhP+WTS/q +W54fY20ue+Yc9B6a6Y/H1VahqnbyutR31e3tbOSDj7vZadzX9qAetFeyximW+NCDPPwKf9+mfMWb +2ec17tQ2MCblGLVsxMEY2bHaVtuVc+7jXuWfAv0vfc7VDWV/luou32szdsTcJqGaYxifdtyygbJu +yrRsRO1zrt9rUM766eKUeCviyce39Mt4sMaRn/ZfX+vq671TyP2x18X5Mco5b9kIzfmaz168//LJ +uFf5wse0sFubyzI2WUuKPO2buwrVRR006RtPUzn6SSzQDwON5HdjsbMyizFdof50CdX6GVX/CjDY +OWkO59il49Le8zhOtlf9jCr54BOUPkmwifKB18LCBHHLW0KViSn9YgfFJOdb3rJZ4XiC7uSrv4wV +F3IsdCxgbKiMbcuORa4QD7uIhmCRk+6oXjsSBMQbH3YQR8RXbUccpo3X482Om3bBbpwkVK8dxRFr +HPEm8VnbaY3TWkjMtdbCYDc8rizm7uqrv8QRMcYaR7yxbrX2SsVbcSdsNjaD3SDm7u6rv8SXRCpx +NLenWhrCVPvvc915re2CXdC+ua9QXddBLlSZ19IvdsYz8+F3VRG7+LiYUAWLx7lnVHVXNYvRdK78 +fJxE6238exobTD4NYDL4NIAB/sBA2DHn3CF14Wn4IE3pfG/bJxew4ZY3gvbsTxLuRKhq/AlqLVik +teZFaXHxNoy7FKpArBFHZczVNmW8yS64KHcpVAUx1BNvdWwGF8PjymLubn9MaS3eyphbsg12g5i7 +2x9TKuNoCWx0HRfxdjG0b54tVDOmWRo6yJ9b5RxxiA5C29i+PaWbNil0EFpJ30C96B3V8hnVrw0X +qiWFMC3PXbiaD9IQszfxjGqJbJkIPjFgkIHb38qfswHOgTz57OR4gu7sx5SCq+RuhWpwldy1UA2u +Do+rexaqwdVBzN2tUGWNjnX6atC+uZ9QNWSL8Kx1EB9AkC+bOR2E7S466NRnVItz2dRiVek3J1Qf +keMJCqEaXJ4QqsFIQqgGI/G4CqEaDISYu1uhGlwV2jd3FaqPiPrTJVTzM6oITzt2gZrOXZRyDtjZ +K19bl0jV1311Tv7ba35G9Uo4nqAQqsHlCaEajCSEajASj6sQqsFAiLkQqsEItG8+TaEKCE1IYlQi +1cWnHbs4Lc7rfD9OovU2nlGtGDyxxxMUQjW4PCFUg5GEUA1G4nEVQjUYCDEXQjUYgfbNiwnVU8ud +iPrTJVTLu6IHz6imNB3rjumHLx/OS2GrstxRpR1orKsWqsn22VvrhJX3X64C6reGe37tz88tj4eI +ebDY8J9yJm1D3ccTFEI1uDwhVIORhFANRuJxFUI1GAgxF0I1GIH2zV2FarI91kHpuVPya39+bnmU +cR3Ec6p2rmdaS9sF1J8+ocodVbN34WlIoNbnSpMwLc95va1nVBlkOm+DzK/28ktXiM93lsavXfEL +v5oo7PELPHDMxPCzzZ/5pSsbSB4mxl933VM7DyfoiQpVjWsrL9idEKpGxNsw7lqo0v6IpavC4+qe +hWrE29VBzMWPKQUj0L654t5u3wAAxAxJREFUn1Bt6yA0jXTQwX80UTxgQxn+NWepg3SD76ieNupP +l1D1Z1R1l9SOXaAmIeqiNKG7p/mOKrakJVuJ1qt+RpV8YKD51zL8/yDuipafBDBJCNFPNhEa/Nf2 +ikClDK/8JLP/j6EQqgcwBvxMOf+/jf/3NveT5TpP/69r9v90Bbtyd0KVdhM3iiP938C5/hCP2JWx +GVyMuxWqdby1bIC8ei0MLobH1T0K1Z51i/fTlrUw2AVi7u6EaiuOWnYQe+owtG+eLVTJh04dNGkc +S2vpII5300GnPKOKEE3H5TOqStO5RCp3VLF7nb76S3uvVqhypzR9IuATxKTgg8F+b8f8T6B0V9X/ +xxCfNvDpAZPjtqQxwYb5mf6ljfls1dngeII6hCpBZO32f7CrO701Zf8IQl7nPuUgzXy6P27bz/ns +Qf7T4pZ/ccteDzZMYQvawz/CT7b8Y+mlBXEN70/qR9kfta3G8r3fjBPlWjZA3h5jJLyd1n/zx9j3 +1r0658k296fR781C1Wwf2mnnLTvVTfuw45W0GZ/0w/1hd+p8yz8bI/9s3OLnuS1I0z/Fp47CJrVD +/wj/+YfJ3o+J19r3Fmg//SjjKNV3AGnkYbPa9yk/z/mpYyTUHrVzzaflHcx5ywbIK30WYy02C1Xq +tnqp38erzhd13aS1/JFWjvuSzx6o12LG44eYI5ZYw/hH99RV8Aw7/0f4hT0Xc/iQXauONegDfVYc +tWzku7RTvS1bxj3P+YzPXtS3g7qNpbrNbnXOwXwdxGbl0+Nqo1D19Yi61/pueQ/ttPPZ/lg+fcaO +V6XXtj1Qjr2SGCOGtFfaWpbzBWue7bXZlvXN4i+Lh1PbQH9Sv0/ag+p63c7aqbGc87kF1Y0v6ua1 +VXeiew8yn2tzTsxtEqoaI6/baNlAslscI7WH/NLnTL9XoRxzU1yTeTwRS9pTZct4sMaleJvWQoPr +PexObQMw7sQbfVqcH4M+a4xa9SqttFvy2UtdN2mtPpOmOff+JNsW5jOvR+7z0Fb75q5CdUUH+bdL +U3rWPBfTQWt3VFNc+td3rWwWq5COXZQac8+oKv+qn1EtJ6j8JIELVgUQE6A7qtz25g1K0NhgeTmC +iEkk3+z8H+SSXte1wPEE9QpVsLr0xphDNmt2ILtz3rwad8ZRQhUQn/UnctjyhkyiIl/ssfme0wbR +23cfz85+b7HtRW1c86m6oZUviN8Vu5PuqPbUDbJbs812dnzueFK+/mCkFAPY6JU4dOGQbIlNXcSd +Q2+/oex7K1/0zvkWct0dPnvsynibsd0sVKGnblip+wDZaY0/h+LizOONNYx1j/6UMMdzH9q1/Pbi +sQH0p0hvoX5DK7+k166XS9Stfs/YelxtFKrZX8+8LNR9gOx6bNewPkswTOuXwRpHHnEmO9qPUJXI +wB47xkwxKduteMx19KdjD8rIjva18k8h+1ypv6y79z3UyjOIuc13VHvr1rj3thFa+b2kGDn4UJf1 +i72SNtQxpNgs10LWuMLXyfT0p2MPypR2e+wDUPps5Zf01p3tjvO0b+4qVEsd5DGZ2lfoIBeuapd0 +EPg3S5MO4jnVs3XQyjOqpegkLvkqsN8lNTwGkzjNQjbFpnBby6PsTfzqLwOO2GSS+B72Oztnkhho +PmHgUwKfOBt87OVXr2Y/TZDZvLcyrTfxAscTdEdf/WUsWKyAMSVtbmy4wMPOPyhIacHFOEmoXjNq +dxlHZXqN7CDi7eKcJFRvAdY1xRHHLRv6V6+FSq9tg13wuNoqVG+BVhzVKK7qNS7i7aIQc3f5jGq9 +p85Rr4URbxdD++bZQlW0dBBrRqmDuFOKHfbyq9cDHWRaaeN6o/7031FdeUb1QMi2nlFNwpb8m/g/ +qtixkNjA+ld7mSRgYvBVTsyBT0v3QUu2TLBuea99UlJwPEFP9MeUgqHcnVANrpq7FarBVeJxdY9C +NbhaiLm7/TGl4KrQvrmbUMUOpIMQp66FTNeUd0hll8teSgetPKMqcYrw9DuqHJOWhKiL03TugjTZ +uJBNtgd3VK0d3v9rFapC9nwSwAADaaK2L23zeSpX2qxwPEEhVIPLE0I1GEkI1WAkHlchVIOBEHMh +VIMRaN/cTagK2dc6qMyruYgOOucZ1YTumB49o1rl38z/UX1EjicohGpweUKoBiMJoRqMxOMqhGow +EGIuhGowAu2buwvVR0L96RKqR8+oIkQNnk/tekaVstha3s08o9qCsmItv6Zlv8DxBIVQDS5PCNVg +JCFUg5F4XIVQDQZCzIVQDUagffNiQpVyJT02JS37BdSf/juquktqxy5aCxEqIXogZGVrIE5JK++o +Xv0zqoCtN9J4Yx3h13yBc+XzyvOqfFcbm2T3zDZCH7xE/i53J8cTFEI1uDwhVIORhFANRuJxFUI1 +GAgxF0I1GIH2zV2FKraytzh++LdASdMoL+mkRR2EVpJ+6kD96ROqYPYuRo3Nz6iSbtzeM6o2qAw2 +DwR/beXzg8QGv+RLvtlN/0f1c/oJZrOjI/xcM79yJcqJ7eB4gkKoBpcnhGowkhCqwUg8rkKoBgMh +5kKoBiPQvrmrUEXnIDwLHfRcP6jk/9Ek6SD+Xc2aDvIbfefooJU7qgdf7QUr74LVXiVEXaCSbrbk +YVsKWdm8u4X/o4ry5zvP/KNbJoZzlbUB98lg0pgczvnHt4ZPhHyU1PWscDxBdyZU+VRFtPIF+fyk ++ZpdsAt3K1QVa2txRH7E2zDuWqj2xhL5opUf7IbH1b0K1Yi3q4SYu0uhGvF2dWjfPFuokg+ug0zb +1DqIufT/kcov+iYdZL5dqBoHOqj0V9ezgvrTJVTnnlHl3IVrEqISsi5YizQJWd1RfX3Nz6iSDz5B +Nuj6R7fcEbXNzdPTJwcuVBGpVqf/bLM+SeCTA5vE59TJsQ3i1jfp8QR1ClVfEKyNZVoLLRzd7TKf +G/vQxBY2/hk5/5Scfxad/+F4y/aN2aV/Fp3/aX7Lbiu9ffd8+t0zntjsNEaZTp9qZyuvBLuShs1m +oep+OuqGlboPYDx77NbAB3HDPyZnMeIfk3Pe8k0cemyaDbGp/zNY220FH96fnnHCpqPvnt/jbwO5 +nVV6zZa6V3yeLlR3bGduY4fPHoo48njT/w+s7ajL8nyNI+ZY4+bWwq309sf7nmjlH8BYQivvBDbV +DZ39WWijx9VJQrWjbqdzjNTvLp8r4IMYY41biyPWPvLd1l73XOO29run3r3ek+IidS/POTG3Sah6 ++6h73mfGbbBt5JVs8dlDiiNf44i5uTgijWs8Fw5m+85s91jjvK7OvuS+V+k1vXZbyD5X2tprl2m3 +U/vmbkIV3dPSQbz6DTvTPeUNO+60oo1aOsjvphZ1dKD+dN9RrZ9RdSFqEH8SogdCVraGxzLCNtle +9TOq5EP5SQKDbpPDRPgkWR3TJwf2yq1tDb6VeVaiieNTB/7nED7r+mY4nqAOoUo7CCDaxKvSW9AW +Age7teDBTiK9ld+Dxp1Fq1jg/EKOtNKGV2uTbNw+BdzWQD9CYwT0Z8kf+T6WzPFC38nDBttzxqiE +djHmq+NuebmdK3Vj53NJmUa+sVmouk/auVK3xt3rXrHFTv3pXrhnoJ+IBosfF5/pIs3T6ZMw21Iw +5Hg798MR+q0x6omjvee8F82PYpjzlh0wdprzJTt/XxQ+GzYnCVWP4ZUx0rhT91o7sesa9w6oB/Gp ++EEQcMwFmmJN6GKvWA93EQ6Ko4Vxd6iH+WF8/L1W5Zd4v9IYnfueFD7uHXXDljn3drZ9elxtFaoH +MbxUv+pmjBr5gjx8Aj7X+r6GvR89xljjFEvsq+SV8UY9Rax5vLEWao3DpvTbTeoHY0SfmjYJn5/E +zB7k0FZsNO7njhFo3L1uo2UjNOe8LtXdMefE3CahqrF0nwvtJK/nuoN2kZ/buWC7Roojjx/iLe2t +fqw9VbY+3kW+9l/WOPmS7VZyf1b64mNkrI0RaNx9zldse9C4u0/GvcovObBbqbtsZ7Uead/cV6hK +B1mdNuYPOsiOkw5yIar+WfuOdZDZ+Y08s6P9dX0zqD99QhXM3sWokWL0WJwW5yk2szjlVXdUr/pX +f8kHBrO+5a2FhYG39AOh6n7NhlfsoPDh/+z2rAna+NVfb88KtHvpzXMJrL5nXMixYLFwLV2YscjZ +BZ4vctifKxrE1n732G7xdyl2mPPNQnUrveO00s5NSBAgGpbiiPrYeLmA04a6Vxt6+9NrJ/aaF9ha +dw8rPk8Sqlvo7VOvXQ8u5Ik1W7sQDHNxRFpaC/3uFh/YLV28b2FLf/bq9ylsaecOeFxZzG0SqnvE +Yc3e/SbGiKOleAPiizgr18I929EzVnv3fQu9dasfO7STmNv81d/edm5pX6/PHogjX7fSGre0pyo2 +sX1rtnutcb1s6feeYyR6fe5Qt/bN3YRqrYMQ0cQteeggbsJ9snR0kMpVOsjFau2jrm8G9af7jurB +V3uNLE4nHZFFLMfvC1vgWHdUgQ+XaQca6+qEagmTZBPgnwZwe5vvZH9pA845d1klPN8wKJbut7xt +wvgUgjR9kkA6bT5rgp7wM6pnvnmDfi4uVB+T3liKeBvGxYXqY7Il3iLmhuBxZTF3tz+mtCXeIuaG +QMzd7Y8pbYmjiLmLo33zbKFa0tBBfMvUzzlOOsh/6RcdZOlucxEd1POMqiHR6eLU8kshKnEqu0wq +Sx4fqlz1M6olsuXNxUQx4KBPj0pfZuM/xywboAy3yE/4BOl4gu5MqAZXyV0L1eDquGuhGlwdHlf3 +LFSDq4OYu1uhGlwV2jd3FarY4pfrwHdJ46BtEKLKl63rIMu7mA5ae0Y1iU7E6YEQ5Tid13daSyHr +58n2Zv6PagnlRCsfSpuSlu0KxxMUQjW4PCFUg5GEUA1G4nEVQjUYCDEXQjUYgfbNXYVqCeVEKx9K +m5KW7QrqT59QBbNHaAJ3RSU8D8RpOm8JWdJ0R/Wqn1G9Eo4nKIRqcHlCqAYjCaEajMTjKoRqMBBi +LoRqMALtmxcTqoNRf7rvqB58tdfI4lR3T+1cAvVunlGdY8lHmWcX+Ad5GzieoBCqweUJoRqMJIRq +MBKPqxCqwUCIuRCqwQi0bz66UN3Jv/rTJVSf5DOq2GkheZceHuYXq+B9eoAYG4Gdpfk/veWBYn5s +iXLYlX47OZ6gEKrB5QmhGowkhGowEo+rEKrBQIi5EKrBCLRv7iZUsWvoINdCj6KDNjyj6l/nLUSo +zg/utHJuxxKyOiffn1G1dtCv672jagPLjyMx2PyvIMrzC1Y8GEwav2yliSKdiSGNCWRirGNxRzW4 +NUKoBiMJoRqMxOMqhGowEGIuhGowAu2buwnVWgchPPkRpUIH8YNJroNAOgg7W2NdBzX99qH+9AlV +MHuEJqw9o8prLU551R3Vm/g/qkwGk/Dtd9OgS1ljwyTxz2vTP7p1kcok8vPLEqmaOKjr6OB4gu5I +qOp/uen/uLVsEvzfrdX/DRfsxl0KVeIm/S83j7ulOFJs7vk/LYNZ7lao9sZRvRbGGndRPK7uUagS +NxZHi//TEuq1MNa4i0PM3aVQtTgj3vx/o/bsqR3Xe8F5aN88W6iSD3M6CJIOetbSQYjXi+igeEZ1 +QpPAwPKJAf+kNt2+9k8O3lk6k8Lk8D+FOAY79knjlXP+52r6FMLvsvLpQqu+GY4nqEeopoCwSVsN +jGxHQDXyS7JtI68XjXv5z58TRyIUWzvP+dh7YBEwhd2p0Gf1vZUv6C92vFmX6iUPm3PHqMbrxufC +XFI3dRJfvXO+0M7NQpX6qZv+t/KFt1Nj1NlOt1uxXYN+cgFn8fOcWErx5On0SWDLZpr+Ef60aJnt +HhtrOe6MQ8sGaJPsVsfI6JnzLeCrx2fvnGs9WvB5klClXnwujSVs6U/vuK/hY5Pix9csO7eY8jVO +sSaILa2Fird6LTwFLhByfxr5JVv67XNutPJOgTq9bmOtz5rztf5kn+3+eFxtFarZpx0vtbN8/671 +p3cP6sHqVQz5+sWxLrKIM9nRJtbCMt6IT+JQMSnbLeBXfV+LI42l2zbyS1bmcjPezk6fB3Yrttgt +rEfE3CahehBHHe3c8r5wn438XlKMuEAl3rR+EUv4rWMIMVvGm9bCwtdJUJevRyvj07EHZQ7sVmx7 +kU/GvZUv6I/mp7udx3naN3cVqis6yP+3ako/0kHgOshsdJe1Vd8M6k+XUC2fUf2YYg78PAlRR+f2 +Wtrcxx1Vggcsj8FPnyTkyWBidEeVBQgIKCbps9kiVtcCsOB4gjqEKsFuPLN6+J9HOb1E/SOf9sDS +Yuj+Jp+ri2YPbIrlZlneLVXbsONNKDtsLIhcSNT+tuC+U5/L/qjOGsv3fsPiwjXZ7DZGoHl0n438 +zFSn/7Pltc2qnvNGv7cL1aJuzWHTzsBG/cFuxtb/X5fsoGGzSun/QDgYxFVjrHT33jdUs31O3K2N +aQ/e9zTuzNfsGCWb1b6TX417024D3kaDdW+xbsPyuuoufc6M42ahSt3my/+HG+NV5wvqBtU9106v +A5vEnN0WWONYtwQx9cZiqbLzfhCL5BObxB1laQPtavW/l9wfo5Uv3znmOE5pNdha3jTnCz57Ud+o +r6ed1N0z5+C287HpcWUxt0WoPqxH1L1Qv+VPdWPbyAf6k96/B/329BOhrwhOv3vAumXHEgOAb8CO +tZCYVLyxp5Je+tvM1A/v+1y/Bfn0W31X2xp2PucayzW/PXjdkHzO1W1070Hqt8emnTf8EXObhKpi +A1r5Itl1r4XZpzHT7y7wRdz4h7opjoi5Oo68zmST4s0/BC5j81QYd1+POK7ySlIblvagTGm35LMX +fID7NOZ8+lwkmyU7sLzDtRAe8rVv7ipUSx2k+MFm6Y4qQpb4Zn+3Mi5WEbvooKVYrVB/uu+oEmeI +VL+jamU5dzhO5wd3WtO57qj6ebK9iWdUGUwmwgWoYRMxvTkszT8hsAH6YGk2kS5UmTDDhSp1MElM +apqgIUL1FvBJN7ggAxYF0qC24xUbFra1RSbYhc1C9dqp44jXMr2ENOJMdhFzF2ezUL0ViB1iCLTZ +1TZKU2wq3m6531eOx5XF3F1+9beOoxrFVU9sBrtBzN3lV3+JI+2VxFHLBmSn2Ix4uxjaN88WqkI6 +CA1U6CDujOY7pbUOklClDtpDHjpKd2Up36qrgfrTJ1TB7P0OqqEP5PzcbLI4Lc4PxGl6zXdUTajS +Dvphbb7SH1MyvIFWhgngUwXgEwMGm08ayE+d8Mlk4pgQXs3G7U1Q+uRuEKlwPEFP9MeUNMatvGB3 +7k6oBlfN3QrV4CrxuLpHoSriPXN1EHN3+2NKxFvE3NWgfXM3oar5tWvCSQclXfNoOmjtGdUkPPMd +VSAtHbtoTWmIVuwlTt0unQPPXtMONNZVC9VMGtylT40ENiWknVDn8QQ9UaEaDCWEajCSEKrBSDyu +7lmoBlcHMXe3QjW4KrRv7iZUD7gGHdT5jGp9t9TvoKZzF6ycVzYSsvmO6i38H9VH5niCQqgGlyeE +ajCSEKrBSDyuQqgGAyHmQqgGI9C+eRmhOh71p++OKiTRuShMda5nVDlXnnFzX/19RI4nKIRqcHlC +qAYjCaEajMTjKoRqMBBiLoRqMALtm09XqJq9hKe+BpyFK2n3+owqr9y65rvVtrn5d7L1Pesyn/S3 +hg3YIcneOpr9dnA8QSFUg8sTQjUYSQjVYCQeVyFUg4EQcyFUgxFo37yIUC11EEjTyBfnazpI2qkT +9adPqCaB6XdFrZyLz4SOXbQmsAWdH4hWw4Wq6Svep1ctVJkYG/Tp4WAeCE6vX5svHgxmYriI5yFj +Hi7mF694eJgHioH/pcovZenXrjZM0vEExY8pBZcnhKoR8TaMuxaqtD9i6arwuLpnoRrxdnUQc/Fj +SsEItG/uK1RNt5Q6yH8gaWLSQWazpIO401nqIAncDtSfLqF6iWdUX5m+YqyuTqiSD4hKJsY2M//f +P3wioLJMiP8M8zQZPlFMZhKu+v9B2ce339kAmI8QqmnSDX6mHDgmTWNb2sl27efPg924O6Gqdivm +FEet/ijeZCfb4GLcrVBVHAHnc/HGaxlzZXqwOx5XFnN3J1TLeON4Ld7YU5diM9gNYu5uhWq5bs3R +E5vBLmjfPFuokg+uYRCfSQcxhyrLjbikg6Y7rKQXOgg79nfz4SKX/8WKj0sJ1c3PqKZ8t1eecRNf +/SUfsshMnwLYQORb2PrkQEJV5cryZuefKuhnnLkdvvaGLjieoA1CtbeeLXYb2r4Ift5au3WL/p21 +Xxtmw+55+ofSz/jn0myutd2pbOl7K70Gu17bXrb43KmdJwnVFZ8H7G23Bn7KeLN48rhq+Se+3nXE +5ils6fcW21b6OQxu50lCdae6D+i168Fipvwn9/7P8VtxRFodm3t+KHeJMdpznOASdS/YeVydIlQH +t3MT5ueZxxGkeGvtldRn6QexObcWnsKWfm+xbaWfw951r/SHmNssVLf0e+/+9EDcpHhb3FMtjX10 +dS08Berbu++9dlvYUveZ7dS+uZtQRe9kHWRaJ3+tVzoIjWM+t+igsp4V1J9+oWr2Ep7aV7NwJe1e +nlElHxCq3N6WUOXWN5PBBHE7+5PlAbe+VY7gYRKtLp8YJhh75Zf1rHA8QR1C1euf2pnrraEdQD6f +gtBePuFotY808/lMfWdMapte5J8F7b35JBASvnjRdrUNuNhTPvYEEQvizBu0C2+D9YE+q+85vQGf +BNFv/7Rooe/kMebYzo37Vhh3+Vwad+runXP80BfguNHvzUI1jRExkuewtiHN25nqpp2kzfj0fxCt +sTx1vuWfTTHFDxurb66cky6b1A6/gCvjjeM9PhzRuK++1yyPfq/23fLSGPmcnzpGQu3BV8+4896k +bs15ywbIK30WYy02C1Xz2bUe1XWT1vJHGuOu+Vny2QP1IhoUP1rr+J9s1FXAB28ei7IhRuu1sFXH +GupP2fca+S7fk6q3Zcu4+5ybLf5rmy2ob9TZ9b6wunv3IK1H6k+V73G1UagerkcL9atub2cjH7w/ +5iOPu6H02rYX7ZXEj2KJD9nIw6+gTRZfnp/APq9xp7bB+1OMe8smt8Hy6TdwrPTanjk/GPeGzRao +42DcF+o2uus2n7wn8pw3/BFzm4Qq44I/2rkUb6k/uZ0tG7WHfO938jnT71Uox9ykDztyvBFLdf8Z +N9Y48rF1YWuwxsmXbLei99oOe1BOK+2WfPZS1s3Yk9bqM2n1+6K2EebzIDa5FijytW/uKlTndNCc +UFW/JVDRQcyT8st6VlB/+oSqvbLvHglRzjlO5y5clTdzji1C9Za++usDzZuQhYU8qyPf8maCSCOP +N0+a1PxV37nFaIXjCbrAHdUt7OUTP0msOhy37IANmE/jEKgsbnuIhq3Q3p6+99ptYYvPneo++Y5q +K/0c9vSZLtBcqPLK+ZydYhNbji/RtyWor7fOxxz3LXUv2G4WquIxx2gNxRExpDWu1Q7SWNPKeJuL +za3ge+++P8ZYlvTWv2DncWUxdxV3VPeC+lIc5btba2ucYnPPPfUS/R49liVb6l6wJeZOuqPaW/9j +jBFxpA89iLm5OKJtxNsl9tQtfh5zLPG5t98Zf9o3dxOqhQ7yr+0y78QteeggtM4nS5cQJ6/UQYhb +fMhfXc8K6k+3UP0o4WnHLjx1B9W4K6EqvHHGOzpvg54fIkag2uB8sIlhAmTLK5901EKVvN46C44n +6M5+TGnLm3fvN3kwy0lC9RbYGm8Rc0M4WajeAr1xFPE2DI8ri7m7/TGl3jiKmBsGMXe3z6huiaOI +t4ujffNsoSqwY964k9qrg+z8QAdxd5W83joL1J8+oQpm78LT0tKd/HxO3t3+e5rUSP9uNV/z5Q7q +6/TpQe2LCWVS+HSB1zPemMcTdGdCNbhK7laoBlfJXQvV4OrwuLpnoRpcHcTc3QrV4KrQvrmbUAVs +4UgH2bHyS/tSB2Gzqw4KoToPZWpadtBj08HxBIVQDS5PCNVgJCFUg5F4XIVQDQZCzIVQDUagfXNX +oSooU9Oygx6bDtSfPqGK+ERsFsLTsWM/T2kuXIv81jm2N/HV30fmeIJCqAaXJ4RqMJIQqsFIPK5C +qAYDIeZCqAYj0L55EaH6CKg/pwnVgnw+I0zr85sUqpRRufK4ppU3Z7vC8QSFUA0uTwjVYCQhVIOR +eFyFUA0GQsyFUA1GoH3zIkKVMipXHte08uZsV1B/+oQqmL0LT0t7Us+o8sr3sf1BYvPBw8F8R7v8 +VT2+g813t60Of+CYh40LvLx1dMtkHU9QCNXg8oRQDUYSQjUYicdVCNVgIMRcCNVgBNo3dxWqsuWZ +04+2N/NDSa6DDLSP8ofooDWhWglTF6Jz5xzLXnnGTf0fVQZUv/jL/wLi1634VV/SmChEKwNPmuX5 +v6sxXMTKBw8U8+tYn6d/cbPlV4CPJyiEanB5QqgGIwmhGozE4yqEajAQYu5uhSripJUePAraN88W +quSD6yDbj9FBaJmkgzyNc7QQOsji+xn/V9X/badpE9LkY1cdtCBUEdEuQhMHQtRsdH4kXJONymah ++sF8X+tXf8kHBtPE4LNvv5v+0S3n1obnLwwmKf0fVSbHJyUJWv+5Zt68XNzbZiih6wKWSW/V2eB4 +gjYIVfWhTj+VPf0xLq8N/p/l2v9x8zG0gNH/hnuMRXFLv/ccc9gy7ltsFzhJqO5Ud2Zvf8QN//ON +f1LOP8JfiiNiU/+3t/zWxDWy9zhtYae6TxKqO9V9MYgvW9dyvC3Fka+FF1rj9h6jxxz3LXUv2Hpc +bRWqC/6O2GK7J8RYWuMW44j09H8tfQ/ec43bu++PNZawpe4VW2Jus1DtrRu22O5FiiNf4+wabXHd +KmLz2ZsV2y2sjPvdstBv7Zu7CVVEZqmDXMMkXJiibywdfQNJ0Pox825zjZB1QbuLDup4RrUUniKf +pzw/L2zrc2yv+hlV8sGFqg3utzYQ6bb1M3wgSpkgRCpwzmRyV5VPDZhUa4N/uuAi1bBXvyXeqm+G +4wnqEKosALSFW/QurB/8HUE+trC2cLjdtgA7QuOuTZJPLEAba2nDKwHOwmY2bk8AYXvuIqcxAsZg +yR/5jOVa38nDpmfce/F2dtTNgpHbuVJ3x5xvFqq9ddfj3rIRpc+l+elBm6TFT/4n90A6fRJm64JB +8Zbic5d/iN8x7o7iCLaMUSt/K5ofxfBiO40tcy6fDZuThGr22cgT1F2O0VJ/8MP67HZGy6YXH5si +fhRLXMwp1gSxRX4Zb6yFS23tYct6RD79Xhsj71fyee4Yid66AZu1/uCjnPOGjcfVVqEqn173Uv2W +p/fv2liq3/hc6/saFr8eP6xxiiU+ICGvjDfqYS1MsebxZvZ5jcOm9NtN6sfa/AD56vtav7GRz3PH +COpxb9kI9Wetncw5djBjR8xtEqq5bnwutPMS7/M1Uhz5tRnxVsRT3lNl6+Od8sv9t77eOwVfsxmj +lX77GBms7z1jtNc+APT/YC6r/JIte1D2eWynfXNfoWoaptZBCM5CB7kuwvbiOiieUZ0gHwiGcoL0 +v1OBwedrvnz9l2Pz7V8P5hMDJoIF6JlBwLmP4pZ3WdcCxxPUKVSpA9aCnfxs28gv6fW5hMadTbHc +LBGf9UbJq7UpCwdb4NyWzXetrWvUY7Tk72CMjJYN9NptoW5ny8ZJ+bJt2iQO2tnINzYL1e66DWx6 +FuKOdnZDeYQDG6UtTL5RWvx5On0SZsunvR6PijeO2UBqn1vp7c+BndGyEaVtK38r9fwsttPI7azy +SmqfDZuThGpv3dRJ3T222c5o2fTivqztXMixdiEcOOZOgmJNEFushRIX2LMWLrW1hzI2lvpDPdj0 +jlGPzy0ctLORX9JTNz5Knw0bj6tThGquu+3X6e1PPZZrfV/DynuMscZp7eKDEfLKeKOe4kMUjzfW +Qq1x2JR+u0n9UJ+aNoneMYJs12HbAz5Kny0b0dvODjtibpNQPfBptGyg2w4sn/e5r8VV/hZSHPk3 +3YgfrV3slfgtY4hzi60yNvf5YMRw39YXaOUL2Xm/12yTnY9nI38r+Oj1eWBntGwE+dhBlad98zJC +1TRQWafrIMtLj0Ee6CDKoYGMZ9ZeF6vclcV2rX8F6k+/ULVYK4Up50fCVOeIVtkrz7ipH1MiqBh8 +BphPCGxSmKSjr/PahOTvZiNe+WSB8gwwg0dZJhmhetYE3clXf4GxZbGCNSGATbmZjmZLv/ccI9gy +7ltsF9gsVGGnujN7+1O88UmuNsk5sMWOeOO4ZXNJtvR973Hawk51nyRUd6r7opRr3FIclXZrsbmV +vcfoMcd9S90Lth5XW4Xqgr8jttjuieKoZ40r423PNW7vvj/WWMKWuldsibm7++ovsEf2xBt2txBv +t8JCv7Vvni1UBfOFDkpf3ZUO4o7ugQ5CG1mdrWdUD3RQ/vpwUccC6k+XUOUZVYnOUnj6udno/Ei4 +JhtPN27iGdUSb6CV8YkCRKvBc6i8+eSLyXxrnWPiwCbDScf8KNNWoXU8QfFjSsHlOUmoBsGJnCRU +g+BEPK62CtUgOANi7m5/TCm4KrRv7iZUAVvpIP3qb9ZBpm3kSzqo0D7766CVZ1QRmAhPxKbEp8Sp +hKhEKrYgcVqL1rfX/IzqlXA8QSFUg8sTQjUYSQjVYCQeVyFUg4EQcyFUgxFo39xVqD4i6k+fUAWz +dzFqSLQeiNN7/D+qj8jxBIVQDS5PCNVgJCFUg5F4XIVQDQZCzIVQDUagffPpCtVCbJZC1H8RWOcc +G6WQLe+oSqhyR5V2MFY3JVR7ymJT07Jb4XiCQqgGlyeEajCSEKrBSDyuQqgGAyHmQqgGI9C+eVGh +Stm18rIpadmtoP50CdWDZ1ST6JQQvftnVFMj/VesbHPLPxmuPNnxyve1scmkcnx3u/TbwfEEhVAN +Lk8I1WAkIVSDkXhchVANBkLMhVANRqB9c1ehii1chQ5ae0Y1/ZIvYrN8FtVFqZFFq72+N1vysNUd +VcpLtN7EM6qy8weIv7WOfLZXO04PEj+nDXowmEnAhl+84pVfAaYcDxLzS1lW1n8Fa8NEHU9QCNXg +8oRQDUYSQjUYicdVCNVgIMRcCNVgBNo3dxOqsrP1sqmD8IcwxUY6CM3DKxqopYNK/yuoP31CFcxe +YrT8am8WrffyjCr5wOAz2PzvH0Qh51pY+Ke2iFImi4ng/Fs759/T8OlB+v9B/olD8sHE5Qnt4HiC +7kSoMrYIdkQ+cKwxb9g/e2UB89r6eMKnMcF27laolvHG+Vx/6ths2QS7cbdCtSeO1L+It2F4XN2r +ULUYWtwrFW9lbJbpwUUg5u5SqFoc+f8eJ+Za+aKMN44j3i6G9s2zhSr5IB1ka2VTB6F7EKwSpPr3 +NIUOOvg/quggdFFd3wzqT/cdVRebht8htbISon6czg9EK+d2rDuqOif/qu+okg+aIP3vH352Wbex +9X9Tv7F8PiHgDfjO8tOnDK7smUTKY4MP6j9rgjqFKgsB9azVJZueNvXaLaFx97GydvOJBbyz9msB +K21ZBC3P/5F0+U/MS7tT6e27xrJuXwvZ7tE+kdvZyCvJdRutfJH9zdudJFRXfGZkt2Z7YLdiuwZj +8ybFD4sQ8aT/+6Y+6ZV0j00DW8WmfJ3KQX8a+SW9tr1zvoXeuqHHrvQ3Y3uSUF3wd8BK3QdssV1C +8Zb+yf0z/sG9/g80/SnB9q3ZKjaJtz3+1yB9YP/y/jTyS7b0u9eul0vUvfK+8LjaKlTlb3UsYb7u +A7LPDts1LLZ8r7Q48r2S2GOvJI84K22JTWyJN+z5P5i0WzFZ2m6ht+9b+p1tG3mn0lv/lrpX/BFz +m4SqxlLv4ZaNyONepdeojWv+1kgx4gK1vDZj7aINdQwVsenxVv5P/HPiDXr6U/Z7T9tetvjrtc12 +x3naN3cTqrpLOqeD0DeG3yklXhGsaCDKlDoIvSQfa/0rUH+6hGr5jKqvbxKiaDOjTONcdplUVndU +X1/zM6rkQy1UbSCm72ZrggqhqnK8+iSkiWAymTQm6ewJ6hCqBK61j0B6RjuVXqL+ke/9MWiX2l/b +ms9nBCf9nPPZg/yzMdrFmxauvHhpkRMscEW+BxILY+PN2Y23wfqQ59LI6Q0sBqY3pbE0d+Qx5ueO +UYmPu/mkbmKxZQPUzZyzQKzNOX7UH44b/d4sVBkj6ibWNYe1DWnezlQ37SRtxif98H5jd+p8yz+b +IvFm8cOm6sdAumxSO/wCj3hL9n4sUXsOGvfV91qyW+275TFGmvNTx0ioPfhSDC/59IuPYs5bNkBe +6bMYa7FZqJrPvB4tvi+Msm7SWv5I07gzP0s+e6BexKfiR2sdwoG6Cp65oE1gQ4zWa2GrjjXqOGrZ +yHf5nlS9LVvG3efcbPFf22xBfaNOjfvi+8Lq7plzYD0yn3PvC4+rjULV1yPF0VLfVTd90sV4jffH +fORxN5Re2/Yyt1eSh19Bm8hPtm5vsZfXuFPb4P2ZxuikPahVL3OOTx8js2vM5Sao42DcF+o2uus2 +nz7nHpt23vBHzG0SquUYLcVb6k+OzZaN2kO+9yf5nOn3KpRjbtgr03qVY6nuP+PGGkc+ti5qDdY4 ++ZLtVnivEW877EE5rbRb8tnLQd0Gaa0+k1a/L2obYT4P1iNpjYT2zcsJ1dRG0muhqnK8etxObfO1 +O+mgiwrVPZ9RBT58oR1oLGvzFQtVPgVIt6t5E2ph0Vd/a6EqsOOVCfUJ+s4GwY6XArDieILu6BlV +v0AjKIylu6S+sZoNtiyInLfsgt046Y7qtWNxkz/VZfGZiyPiEJFBvMFed/CDWTYL1VuA94vFjseb +xZELgVYckUZslmthrHEXxePKYu6uvvpLvOUPPRbiDcq1ENuIt4tDzN3dV3+JG67JWLu0p86scQdr +oe7g13bBLmjf3E2oLumg4pulB0JVYGev/kFO0kHP0UEXE6pg9hKaxBzisxSnLmSLc/Jlo1fdUb2J +/6OaGudf6eWWMndQv7TB5mFiG3QmKQtPbO34GbZMHmCbyvid1Y1vzuMJuqNnVHllPDQmSishrbSt +84OLcHdCtWx3GUdzMVenBRflLoVqi7V4W4vNYBc8rizm7vIZ1S3rG7ayj3i7KMTc3f6YUhlzS0S8 +DUH75tlCtQQdhNjUjyiVOgh/PToIkYsOqn2voP5031F1sWn4HVIrKyHqx+n8QLRybse6o6pz8m/i +V39LmAgbCP/UgLukmpgS3oTcDmcyQLfmT/zE8niC7uiOanC13OUd1eBqeTJCNbgKPK7uVagGVwkx +d7dCNbgqtG/uKlQFukfaZqsO0ocUG1F/uoTqk3pG9Uo4nqAQqsHlCaEajCSEajASj6sQqsFAiLkQ +qsEItG9eRKg+AupP9x3V8i6p7qD6V4CLc+WVthKtpCn/jQnVm7qj+ggcT1AI1eDyhFANRhJCNRiJ +x1UI1WAgxFwI1WAE2jefrFB14WlwV/Tgrqm9uiBN6aVola3bpbLY8aNf3AlmrOw1hGqD4wkKoRpc +nhCqwUhCqAYj8bgKoRoMhJgLoRqMQPvm0xGqqT/2/pr+DQ589l8p5geg8o/eAud1mtuSTlpKlw9E +sF0LX+ev/l4JxxMUQjW4PCFUg5GEUA1G4nEVQjUYCDEXQjUYgfbNJydU39j7CaH52UQnSJTWZGG6 +AOWxY6xGCdWfNUHvP/vi4Ldxeb12aKfx9v2n9gR9NAFB/2+lP8FtYPH0hb0pv/zGhKoJh0moTulN ++yA4h7TOvbdNYRKqn02oKr2yDYJzIaYstiRUv7QLE/bY2EuDi2FxxZ7K1xQ/Wry9//j55RcpvWkf +BCdDTCUd9EOlg/yG3a3roMMbdtNXf9F+X7589vb9y2fvjPcNSP/SbPhaL8+svvvQtnMsz59hNZ35 +2tpwKaFKB5gg+MH43kTed5b2+fsffbK+9ddb4Adv9/c//+T9UH9+oD8/WX9MgN9Wf4Lr5weLqx89 +vog7f9/Yeds2CM5lWsO0zhF3fLAY61pwOSzebE0j3r7/6Se/XlActu2D4ByKPdXiza/dUnrbPgjO +g/30BxN1B7rh51vUQdO6zBr9fdEfhCp94dsJaMNnL0xgvniFcJzh+ctnb95Oz6C+fffy2XM7b9oZ +X3yRxCq/WGxcUqjSEfjhdz9l0aq0W0Jt/9H6QV9uvT/BbfAQd+38INiTMt4i5oIRRLwFI4l4C0ah +OJNmuAfdMLX/Jz/mDvH3P+mOqgnVt59ePvtgGvD162MRyvlbE6nfmM3n76ev9XKH9fmLQztApPJj +TP6M6s53VEt4no5nnIIgCIIgCIIgCIL7gee+/ZEN49k7E6H8EBJf7X3z5kF4vjAxyh1URGr5HCq2 +3DVFmMr2lYlc7rjqh5j2fka1Rg/gBkEQBEEQBEEQBHdC0no8w+p3VPVjSvzLmdcmVhGh3ElFvEqg +lj+mhFjlzip2X7wyYfqlpScfn4xLC9UgCIIgCIIgCILgDimF6tcmPOt/SyNhymuNC1aOkx3iFDzN +CKEaBEEQBEEQBEEQbKYpVJPQPJUQqkEQBEEQBEFw5yQhsUiv3SXx9pooKdtdnue0GWr7A79geavl +S+q6y/Mqr06r29JVt+xbtkXeUZpxYE96aWco/6CeVpq9tuqWzVGewf8itvRnb7/pF6qymeNzer3k +jymtQmepOHXwKE+Uti8KvCzHyaYur/xsZ9R2QnWt+VqrW7alTcvuFPDRYqvNOSz5rfNqStteWn5g +iy302EDLX5lWps/Zz+WfQssnbLU5lTW/a+llWg9L5ZRX55fprfxT6fFX2izZtuygZVsyZ1umtyht +W/Zr+XMslSnzzqHHJ3nl2rpkC1qPu2wrWjaPRd22ufb12M3llel13hYo27P/HdgZLRuo/S357KX0 +CS0bUH1l/XN2pb81eyH/S3ZrNmV+i16blr+e9C1QNo+N0bIRub4O2y1oTWjlgfJL1vqc21qkNdB/ +xmjlwdEzgBWtMrO8/fTy+QeDf/FxhF2/Zz6/fPXNdy+/+Ob7l1+YEHDs/JWdv/pkafb6wtJeYMO5 +wTFp5GGDLWU8zfCynpbKJtuyPPZA/d7ODyZI3tlYv/1yen1v56S/s9e3dv7G0t9bv0gjDzu3LdOq +8kC+bLPPOq20s7LUWfqkbnxi5+WVluomva47l8en0Wr7gZ3Km53SOaYe0nPdKc3bY+ddbU91Q1lP +aedp9lrW7T6NnIZtleblv3z57P1HE6r8YNL3xsxXfYXFoP/40grP+X/uCGTeg6OF6gt+Ler9Z/fB +safTEPLszfXC8l68tTyOrUFffLCA/oC9YfU7luY2fluY8laWX6CSPTYfE/h7bfXwRtebPb1SnjzK +Tu1IeaRb/V6v6pZf4wVtNxsWD69X+djaG89trC3Z7ykLLX2zifJPKhr4JOK/trFgmrA+e/1Go++e +18qvbdynBc77FDxehnx7na37Ic3t6Yt8L6E+F+U59jeI6q3bVtgd1V2OUZGuN4Kn5f5MPnNZ2qJx +Ya6Vjr3y1Gb8MD71GKm8/K+h/qe6ag76U+apfurGpmw7fsu2etuq/Mpm8tnoD+S6Ld1e3afKpbzc +BpURdZ1AOfOfy5VtK33ShlcpPZV7rjZ6O5ON6inr6KHwe1BnnU9b83jrtTHntM98uE0JfsmT3xIr +52uSr2PTOui29Du1yal9zvTfx9VtLL/sT2qfxr3pE3ug/uTP2+BjLrsUA1W9m8C/+zXwa8dH44Nf +w/cO1lhb132fqNf1wpbjF9Y+H0c2NvWjtAWNrfpjr7k/10IeoxRrGiP1hfZqHhMH85L9WEzgJ+cZ +lY9muU7yXsj8MO7a37X/pfHnlyKJbe3T7Kf5va32UAZbXS+UPku7XlRGPg/iKI2DfHp7P/p/MKBt +2LltHUf4snPvC/6K6w78+v8ALP0KfNg4a+1oxnyOy2TDvGiMSj9pPpvgl77xmt7Tjsd6ws7dF37N +X84n3ds11XWwRtdtXUL9N168SddnPj7p+k02lX1eB5kf9potddYk/5NPmyfiSD5TfV4n14Ip1hzm +k2tNruVK29I34+JjyTyZDeOdxgweRKZdP/u1Ju8Js6/84P+Vjc9ri6UW/MpqHq8l8Gv1PzMRke9O +6Q6Vn6dnAPkV1q/Nn4mKL3788eXrn396+fqnB179+LO9/tbh+NWPpE9p0/lhfl1W+Q/HD7zC7mfK +/vTyxXfWnq+tvfxLEn7xFT7a+PCDPH5MXjrWOT/Oc3COTZWvcrUvz1P5wlb+3FdhX+bl8vb6QefY +pPxchmNeS9/Y2jlwnM+xk72QH9mm81y3CcN8Tln5B2xV3ijbRX4+r+pW+dm6E16e49QWlffjhD+b +2hCrHne2LrKHcYeaWF0ix7Stx7w/RgpVfLyxAH7729+9fP29BSqLhlX86psfcvqrz5Zub07SX3/3 +o6e9sWD/wmymNvzo568+/zAteLa4vP6c0mgfm4al8anO6x/sTfGDleWTHdqQRPEXH/D908s3vGGs +HSxI3sb0KRr14+vtb61NvCGtzldWt7fT/E1l2OSmTY+0N/TJXl+ZLe12Gyvji1c9+HMkG98w/FY6 +C0taXJwUAHwaxqZhPPuYbrkTBMwNiyZp/AqXvbqd6mexYxEjD3R7XfluY+Dj66k8i95z21SfJ5/+ +SZzqtmO1zdNtA3hmbXDfnsaGUPiu+1tDv70/6mfqC23Bl9qqfmDDQkw/aTObP7ZWnvZO7Uw+sPtk +afSHzYU0jQGbsBZ38+nntIcPL+gnbz7KY5s2DN+cmCOrz8eHukuftLXs2xwaF9pK+eacG94fq6cc +d9rKd/lpC2mMh7XJfeUxt2PNCfnuJ7Ut2xiac0g+mVPvH/2hjPWJPLXNbVLbpzHiU1Kz1Tzpwx/K +MT741diSzlgyx9pMy7LEAu0lnfGlDPaaY/pBm/08tZO5xYbyQJkeqJMxor7vDOKcixn5eW0U9Xp7 +6EcRRxojFwPluLmot7KMG2PE/DBuKY7yhTHrIGubrSO+PtoxFyf+STz+/NfwrH76mHy6H+CYPqS+ +qP5nHxmTqV15XIE20m7GlvZ4fxJKp62pn+qjvwe5ICON9ws29F1xIP8cLyEbxhDfhreB+M7jMoGw +ePX197bG2lr+va3DrK+2tvr6aheUB/2ychpHxpD1m73hC1vPfW2XaCqhPO3QmDFeasNjkvrkz/+k +MZrm3tqmNRU7jolN5kfz6fGV8oXiIr3Xyvepr2V6r1GH6i/Lt2A8zYfvy+ynzIuNN/sf8/Xqk+3R +zCl2hubG5w87t52OuRZ48Gl7tO3Zvn+brcrg/9XH7x8Ea92eFtildZw7QF43+7PqNjyOfEytbnul +Ld4Hu0CnD3wAPYkbfBFD1mcTPfjwuPTrDrvoT9cdpHFNQNweCWFii/cjc8a69dH8cq62Gj7nzFU9 +52WfmE/yfd7K/SKds/7QZsYKX8rTe9jXIztXvOBPcaB1nDoN34eJG2xpa9mfFaZrqWn+fLw5Zvzt +2OecOvDFHNlxvjbjus9g/POa3vC/iuLz+5+na0nEks+39VfXg3bOtZtfv3GdiWBlLm1eua6k7S+4 +RqzbQdtZCxkfn6v0nrK+SKQiMj98/OHlN9/+9PLjN7YumV9f18mnz+YHMfrR6v30+Wfnm2+n1+n4 +Jyv/vQvdWuAeQT7zVa4HigePCav3zVvz8+Ilv8z63NbvL2xNfU0fMxbzP5Bmc5XPExx7eplX2tr4 +uW3pM5VNuC2i1V5f0CaEDuIrCyjG044PBFmyUVqJbHmtbTkv/XkdVfmcTtlk+77yN1c+p9txrsvO +SSNf5RG2dVnw8qRTlhjCtqjb/SWbWhDmulVetlXdq+U5p26z57xV3knlNE74VNv54INy/Hqv/9pv ++pc0/N9U4k97S75WsPdIK35b+Jq8VaiyaGPIG8I3H17XwG5iEnUPi9CbnxN2QaFzGqMFgc1KYtIX +XfmwQH9jC483ns0nvSlYXJ49swEz2MzYSN5S3nz6J+x2MarNhU2Ec1+kWEQNF5YMgOH1mE/q4phF +7YUt0ti5oGYBZcAZRFt8aSdtZxHyT8hY5LhQYpHztttY+MVSPT7C8pTPZsAC6IsgG8pEFlnAGNFW +LhgJBDYmyrH4sdGl4NAmxKv7YUF133aOb8rhFxsr75sn5djQSKM9vonYMeVYBFO6+2BBJAC50GTh +ZqG0sWfTO7ioWiT13UWVlaXt9Nc3RepNafxCGJssZawN3lbSfYOwNAKYPpR1a4x4o1jf3c7qy5uy +2u6Lu/mjXsrii35rTKjbx99IFwiTEDdb7J6lurDHn9pOHv076nNK15wzptTL3OoigrbRN8bd+2NQ +h9pezjn1kmaLv/eHdOxoO+3lGBs2VfxyIcInvIxj6g/x4O3AHzAf1M3YcMFj7fS5pW30n7GQiPOx +I21qo/eJ19SO6UMUg3Pl0x/FL/MD+KXPjAHp1OVlzUeab8+vx533mLfH0vHt47ow7rym9nn/8E1d +tMHH1XyRD9SFX+Bc48P48R7SBwm0wefISHMlWx976mB+GGfyrA2IKF/DuIjjAy/WNj6NtgsXfPgF +DXXMXSjyyhhgp/ejxoh2yFb2GbUx2dBOXokTfNgYeBwzNswDPolBxSxtI2642OA1x83SuCewow2M +F/PPvNEOtQHsnPXcx4S11cbI15Zn5tvqYk2fhGhaXxH79ioxQpmpnK3J5HubjIMxSFAf/aEt9Flz +pzJq0xAO6/M5ZS4Zo3ItLdtu4zG9hw2ED+3XGAtsPFZtLolx4ok5ZQ0jPb1/vf/yW5T1uEvrqdrg +wpP9lHlAeBDjtvcyd+zNxDGCjX31C9tv8z7NPmvt833a5hgBqphHELhP7du0mzm3eplL6nrFnmrl +jtpZtI02cxfP75Rx7cJ1R/m+8msEayd1ceFs4slFkuX7NYPVwfWBixmt0cLq8X2eOlKsYDddI9h1 +B7GJD/ONUCvL5TZShvcac8vYKt3wNbZnzsnnPUk7mTuwcvmuKPOGPefMO3NMXfSH8pwTC+xr2LGW +c9FqaXn/py3Elvu2c/pbtof24VN1efum+eLaStdQLg6JScbd4oYPnaZrph+nsubHxaHNsc/Vz1oH +ed+nOuW/Ru1hTmy8pw8V8MmNBJt7mw/3SZxyPedCdZoz1vDXn9J1osUAbXv23K4hDb9epP1clxKb +rPeUqeul/ayT4ONgcWW2CEzE6Xviz9r05t3nlx+//sGQYJ388D8plf/uw1Tma9rE+mf9Id3fB15f +FYsl3jaLQ9rBfPHhJiA6EA8IVP3fShOsLywmJvEogVmISX+vlmnTe3fJ5sFuej22ndJk84K2IXQQ +QRJEWUil1yyW0rEEmWz9PNlk+4SfV7aen9LyudLSsZdLyLZ5vqHtXhf2stV5YSPKsi4G7bhuux+3 +zg2OwYVoyjuoW+f2OleeNBe4xkHd5vPgHHs7py7+F+qz51N8vTDB+u7DtMYgWIHrKr+GIF6J44VY +diw/vd9YP9aFqhVyoWpvZApwccWCPC0KK2DntrZofMPmlYLYFigq9u+0szhps2JxZ3OhcXySzmZn ++Ceg2HFBxybCYoNPe/VPvQw++dTGQhv9kzkWQytHni5gXFCmTYC2ZVFpPvyrSPTXxOm0YFq6lZsE +rtVjaWy6LE6+MVkbPI+2Wz3auPyTVcqavW+q9GlxzKYxmvpuPtIE+aLjm4z5oM1MoC7oWGwRHuSD +RAm2XNQSFNae7IMLGTueNhWDjVIX6GxMbGh+MW3l2by0QUAKjvLcN1rsU/s8KKnf+uFtNPwrVN63 +Vp+F5ac4mdpKm1L7qcte3Td10H7awUWRX5zRr1Q3bdEYqc30n81bdlyUcUFKWcPHlPGgXjZt1UtZ ++kA93sepnNunevMYUV4wrl6v9dvOc/+O+qz01Hc2Lebc2u3jSl+pQ/0F7xP9ecj3ttEeFgE7nsSS +4mZqp4+Zx6XBWGrc6H95Tn/oQzl+B3WbX/OvGPEytANRw7jg/6CsnTN+xfi7YJUdc5Munr3tXJyp +LdzZpA7qov3455j54Zjx0pjTN+9fuoBkPDW2s+Nur2bn7WTcaYvaaX3ycfQ+JNQvyLFnWPv9Qq20 +Bfwxj7w/FW+ME2Ns9n6xZuuGXyDpYp41x4SX1jtflOkXc8k4g+IX5Jv6mXOPm9SHj1Ndk93UTs/X +BzpQ9on26oKXO7HFGLsPxp4+cEx7vD+G95/4tT7lNW5u3Ce8T/jmfUYfmN8y7sBiZPqwkPGw8THh +g5B45XeuJnHv33axC17OvQyCxl5ph6/JrPX2SnvyxljOUTkO6qfBBbuv45a21pf9SePHeFjb/ENF +3heaj1bby3hEqGosSzv65zY2v3qvERO8x/ReI3aoR36BuHoD5pMxKfL4KuUr9ljFq+1zDnNkF+n+ +IQN7PRcZtje++dHsuIbgQ2KbW+5KfvGl2VlZv5tl1wTTdQb7rp0nO+bcRbGJBReVvF9ov7cjzSnH +rNcWN/4VTsqmO6FfWDxPe7f5NB/TVzqp295v3OHj+oFrCjv3uLK48XZbm2nDa76dla5V/Pog1zfV +7W306xiDu4XUxXhYuq912JdQlnH1eDN/Wj+8P4x1Svc5T3MJLT+8Wh/9fcv8814izccCrDzve883 +iAHmnblmLcWeuMIX7VBMYIs/K5c/yG61w9rnH8JZjGQ/aU587Bhbrpl4jtHGTjcH/JorfUDg67Bf +L1g5g3UvXw/aHOQxKOsF0nwOpvWU+oDjXIZ8e8Wnf/ONNQEb8i3vheURL9NdX5tzW399Lplza1v+ +ZoC9N5v188q4aV20MUCkfmnx/435+tKEKV/r5Xr2CxPOby32vv7008tvrL53Hh+TD7+WtDa9fT/l +I1YRqf5BZaqHD1aW1yPLI2ZY+30dt3mpBapjYsKE6nObX+6ovuKDBOLdsf7ydWAXlxOT4LQ85yF9 +wspjk8orzec92ZTlPZ31wo7zHVUXPEn0III4lkCToPqQ7DyN4+LV7Tk3W9J0t8+/zmppElxum8h+ +ijwvb8eyL/1nm3R+UD4du4Cz86O2JxvhtulVSPx5WeNADJblyS+OdT5Xd1k+lyvSVRdQ1svjK52r +rHDfZXk75m4wApb/n/o8CVXhgvX95N/Wmxe2ruU9bjGWwfK5nuC9zfrLmsp7m/cD74v6j0QK+ULA +4p3eyFvxDein6SuyLFb+tbbn093P6VNUvvpbCFUrk8Ueb2pbLP0uK8Fui4hvLCyG6Q3A+axQZTNh +E/GLG3vT0A/fQKfyXo8tjv5Gt/5iP73Z0kUSGyhtNFtfPH1xnRGqLFZqOz5tIZw2T6uvGI9DrD3W +F+rVIuoLGIufLp7riwi7oJqEqi1oXHzwcLNNpF+kchHJ3S02GHyUGxXnbF6+YU2+/QKtR6iqfrUt +lXd7Ljyh2NSY29V+c/Fgc0FATv2lXdYffLHR2hjn9lu+t4HNURde9up38oBNg3w2PtpgffB+JtHj +PkmzDdN96qLc+1LWm3xQD2NCm3yMjFRv3sBpnx37uNuG7BfvZucbIO+ZZr8h9T3Fdp5z5ib1dxKq +qT3eH8unLvqNOCW/nHPO85xP7fR0ypCmOSeddlpf8ziqP2nuvE6Rziehmsrjh2Og3jpe/NXSivnL +/SEPe9qjNmrueFWb6APtYtyxYX7wgQ3jlPszjTsx5O91H/f5tYoLWb/o5OKKcScmbBFVvw6EqvpD +LPj7zfKJOcWJ8mvw7XNn5WgrfaKtLNbWTl+7+MCOdtJeW4xZb/xDM18j+epg0Q7GS+Q5Tu3FP+fp +IuXh/WvYe9Dr5j1Au8s5pt+U83k0G8aWcumi3/3mOuycPNIZC+9PKmN2PeNO/iTAiaU0npQv2+Tj +mYQqazNrK+VYQ4Gxs7X2Yc02f19M6z5lWXN8TWbt5xER5rJXqHr/zYede3/oC3tFqy+7Qz1TLPjX +lYkbxjmLlqrdarvmgjWqFqq8ev9svFsxoPe/i5M0lypX1lOSfHoMczHPPLBvp7lh3FjP8/7JPmv9 +87trNl/cbXXBQsxgZ/s0dsS/i0d8MudWRnP+4q3NRZ5z82lp3pY05x4vCGfGznz6+9fn3PZy27u9 +bupwn1a35dNOv9tGurXBrycQUKk/HqfUYeM+9YcPzemPtUd1e76NZSJ/JTj1x0VYGq+D8SP+iTfm +lrVM6Ua3UBXF+urlDsrYsc1pXkv9GsH8+nvX0tgHsccP7fA4SrYOa0kRL0vtEOl95h9W2DiwljHu +vjYz5zYfvC99nG2uH8YozZfN7apQTee+Fvic49/8eF6al2yX1hJigDXB7H38fd1P12oumi0GzM7f +Z8w5sUj7mUubf//wSHOefRuMW1o7XKiaIP3ySxOqJji/9juq3/vd0XcmUhGufJ1Xd0zl65m1eVGo +2hj5uLEWza1HfFBivGAvRBi8fXssHIQLVXtvITz95tEDD3c9rd8uMg/z6vy6rPJbwjaXtVcXqqXo +ceHDepTSalH0JXkGxy1BdnBe5ekcGwmwg3yVT+fZV8or/Xn5wlbk82R7dF74O8jnHJvKntf63O+S +6jyVrcsf+ILCPo+b8nmtzsu63dbOeW2OW8onjzuqrXjjgxLEqq0nLyw+/Ye4tuBxbWsp6zrvQb1n +6j9l+BvTN4YTsHK88VksWPB9M/HKjoWqf6XO8uiQP3hNULNxW/2+6finqraomA8WnSxUbZHha0Lw +4NMWJm2AfN2EjYbFzzo8fU3JFhbzwaLIBshiysKAMPaFDXurk7pdlNoC6l/9tQsK3wTMT/7qL4Np +5/6VIjvOC7EWnLWx8/xirFlM8ybDhaIW4iKfBZJ8RIsm0exyGS48sGFT4kKUDZyLUL4uyBiQxgWK +XTCWFy3HX/01uDDHX6onCyq1TbbkY+cXtqSpbzMon7bn/tp8Ux+bVFGPt596ctstDcFFWfqU7B3a +Yeds3lmopj7ltjNu2Hq9Zke9bDzqix3nMbJxdNskrg6++osgYNNn8WU8aDt987s8C9RzXo4rdTCO +5Cnf+6Mxsny1lbmjDGkIMJ9zaw9tp83lnEsccsHKhxM6pz/y53VNfr0NpNM2LooQNdSDX2IQH7qo +wZZyRX+mtk11TP1JdTB2qpt2+phZOm0t+pMv2tJFlIsw/KavBE53Aew9ylx6+y19bdzVR7VTcUJb +6IfiDLgLiaCibsaMduZyYOf+WiEbwy8+EZH4sPb6GCjuDV8HWV/SmqWvPXp+yyevGiN8Er/MueaG +ccYuvR8lsP09oDnGB7FGOj4YY/mnHP3CL/k+JqmcfDJnjDtjwhjR1i3jzhjTZo+j5Dvn28WlxfEb +fUWQ9ZY5og7bH1hz89f1XNRZ+nNbz60Of0TDxpGvBvt+wtgzxtRPvTXUR39oC7HFuJNGPw/aPgiv +17C2+Vqg+Gf+8/gkOLb++rpdClWfP4Mxpiyxkt4//r4nBlI9+f1Lnr8/k19ezYd/oIAP/CrPYNwn +wWH7YRIc08W/zZ3vqbZ/sk/bXupfBeZDB4O7qNoPEbe+L3Nhy0WM9fV1EjGv7GKeuZZPzqc9dfpw +QhfxLpjtWoHrAf9QwtvIWE59cJFi7ZjEr+KouO4g3V69nYVQ9f4Qz1a/X7uYHfiHXOkaxd+jjBHx +RX+sjGJvik2zox2pLXn87NX3duaWuVC6cbpQtTEhfg/ixMrrPQxqDzHDnLMvUgY/9IUYYf/X+yDH +Vkqr22M2HqOsDdRLGmNvr1w3TddmXO+ZOLQyPkYWN/5hhI8z65z5JRaZZ8ba3vdHQrV4T4DHns0D +zyzrQ50yP4NPS0f85g+91Bbm1trvX9X+XYor86VrSOKB68pp/bE593ZMfXNUH/NnY5OFqvXH76j6 +86XWPxOufq1p9v71X4vjXqF6UJdDf2bwvlrbfb7tOoS5RWD4na7yrurhHVUXjhnEJGlJUCZx6XDs +6WVeaSthW/pMZVN5t+X63V4fnlG1NksUIbA5lqDi3EVS+TXWCuVlW5VP5+TNlT3IS3XzK7a8yp9s +XJQV5Lan89m2p/MalffzwrZVt8oI1aO8Vt0q6xRlvbzh5TmnLoNzlc926TyXT7a5Ljv2O6p2XAtV +7qZyR5+28DVvriF4r9Rxu0ZaF/xY7wfeM/VfmXkObCRa7PmEijRdoLFRvNYdVf/kzRaJtOD7JpY2 +Iv+Khi04Lgqxs0XNNxfeCJbG4ugbjh2z2LEgcu6f0pnNtAFaWW1CtjiweXGnVZuqb2Bm4wup1c0m +xSLBgojNW3ujeXvMzgUwizG2tIG2sAj7J7TWRxZ7+q9FrRcWfTYgvwifNhm/wJU/8tkg0sXigVDV +xqQLVl2ksjGx+dEWFn4uQLy85eGbhZjy7tfSuKhlc8IHmxWbIQsywcYGRdvwq7bh2y/2J5+TkDN/ +WmTVtxbUTX/TRultxz/ntJE2eP+mur2P2mjNxuvGhrTPVpYLNtp54DP1R+IMv5y7XUqjPO1GZANj +Rxq2bMapvb45k6Yx4gKAsUm2fq6NewvWltxeH0PzRfuUX84Z/VEe/WR+NOcaH9I415yX/hk3xYyP +k6V5fwzKYEd5xoV6mHPml7LMOWNOWdJpU/4RDrPVnOPfY8LK0B/qtPeN52GHb/xRnjpUjvFNseXt +px4bT9+EiU38kO7jbmVpt/sufFBmDQQWZdxvaovimflmvBhzxoL+UQ9xQR79J93ff9ibjyK23Ac2 +9IU0xpI2kqb3WsLv4PGhm603PK/P2vWKdcffF6l+fDI31M+dEfdpEK+0E1I9PhbUQzuJS/oGjJnm +h7ppG+2iz55naRq/sm5izfszpfmYK9bVn94xlx3jTntpKx+uEBd6z6gNNi7sFX7hypiw/vPKuq7x +Sf5Yt/mAkbWZNdmFLLas1b6uWx3Yl23gIrmIoelCP9k8Jqn//sEQ49MaI+CY2GUOiV3mCTvmknkj +jbLMM+e8nzhn7CVUKV+/1zQ+jtkyJmW9srF05sEFX5oXFyFp3P39n/ri4sL2R82f29oxYsXvkGJH +m+zCnh8vzHPOtYGXmT6c1vxw3eBf8bU0fPtdzlTXQTtB7y/zwdfraaMf2+sUR+aPD0dSvV6fXReQ +x1eFvQ1ch1j8cd3hfeG6ATtLy9cdKQ38Lh9tLdvEeDCHjDnxxnuKuSAv2blQJZ154v1OfNZjL/DH +e4i501xjr3zq0rwTI+RRD2sF9WvOWQd5H3sc2RhTL+WtXv+QK63R/t4v+0O7fD5SHyr8Wor3Yxrr +ad7t2MbTv76NHb4YfxtTjyWu3RCVdj2oGxI+5ubLf2vEzv3DAkvLAlXtKbF2TT65brTrMj44YU2g +bsUMz7FanXnO/RrS5pwyXANyTWdt5gMV72d5scyYMZ70gzlgTq0fk1D9ofjqr6UngYs45U4rIEpJ +48MWXnlOFXv9iBLPtvIjSrm+NdI4ejvSPE4/aGOvCIo3xVczTbw+nWdU0zG2np/K53Ol6djwsko3 +mueNtjfL26vXhb1sdV7YtMqWwjP7S2m5bHlucAy9z6gyRnV50l3IGrPjxjn2ds4PUPFjSnwIwh1U +F6isG8Sg4ddI9h7ytam9VmxlUqfFX8voJGxR9QUHWGBJ8zdX+u69bwAsmnZsr4jQ6Zd9J0Eqwek2 +5QaQypM32Uy2B/WoDvyxeLPApgViWgCnuv1Y59R15MPOSct2D+30essyah9lTwEfbGKCTYLFUflK +83RD6YyNypBe+qHf2c4o7UhTe1VG/us6OCZN5Us7pZf2Pchnjfy02taqG7Chf7Jt2dV+eQO17Egr +402QVtrgT5Tj3EvZXvl0v1X+QbuKPNnTLvnROTbeZkN5amfZH9LlH7BR+3rqLu3LMqXf2qfKyh+o +LaVP2qgyZTs4x95JNr2o7aW/+pzxVzuVXkOexrn2eWBjeXUbwPxPa06BBCD5PT7n5jDbGWWd7jfl +gcb5yMbY4rMX/NZzWY+Pf6rKGm3jw/qa1vdpfSVvysfW12NsWIN9/CZyGct/8Jte63mdG4PHoh77 +eozK/NKutKdPGuvyXGPQEwNz4MPmgPl4mB8bf4216kjHiEnfq7Fjj6738uyzMee0jzwu+q392oOP +ytcUefjwfZq6tU9zN16xhA19UdyYCJnqb7TV7dJ1ByTbB78P9WbK+QLsSKttSC/nsLYRspNPXkuf +nm/trv3wynlpX/so65DtXDtaHIx7Mec2Zi7g3WYac/y6DXPDWDOGfpzOue5K8+1xsDbnYDYuVNP8 ++JqQ/Mmn+2H+rY+rc177Z19ojJk/tmbXsK+Ic65lGbNUnh9OQrgCx/LFeGCLWC0pbVahDqvrQaiK +JBT4UAJxgWB993761d8ffvR/GaO7pdNdz+lDmVKElkLzUHxa+YOyD+WPbUnjdbqp03xG1UWUHZd3 +9pTPMSJJgqqktOe4Ppf/DPn4KvI4z3VDsittclnsi3yO9S9cyrp17uVS292WutKxn6dXla3F4Wrd +ZdvJp66Un+vWscA22VM/ZetnfVXG60uv7pvzVJZzRDG/KP32/XTuAvX7KeaAOLSY9A/Drl6owtLi +UqfLtqa0KemxbaW10rf4KG1FmR8EQdBDrCHz9I5NaVfSsg32Y8tYb7Xrse2lx2dps6ftU6ZnbMox +LGnZ9tLyBz12tc01Q3tbQlVioeK5CYnyq7/5B5VcXNbCdOa8EKkPP8Y05de2SvNjE6zTM6oSUAmJ +pCyC0rFDXrI/EGSlvc6rPJ1jIwF2kK/y6Tz7SnmlPy9f2Nb5Xj7VVeaV/vK57LGp7JVXnl/dM6oJ +7p4SV/pApIQY5Ffmb0qoBkEQBEEQBDeN/yBmopUfDCQJ616hiqh48d0Ph8LTjv2XgNOzpy48k+DM +uBDl+Mdke1x+uos62dZlp18Z5o6qtQGRw9dGS+HJsf8v03TuIgqblFaCLel+Z1CCKtn6OaIq2bjQ +qsvjk7J27Lb2qv+jmutO5XlVOaW//zC9qi61x21S+bpur4v0ypZX2cqf6na75CO3yeom3f0l26Nx +S+VVVuVJy7YWL9irHvnzO7Qpraxb5UtbCdUleNTgrdkqVs/8ICjJ0+LvF1/9QRAEQRAEQRAEV8gf +G7/69R88+/U//sGzf0xwXPKPv8n84jf/9Ad//E///Cj8gjZ8Ze39y4KvrO35OLGUf5AHZX5xnNPK +4yq/rK/2pbSj878szqu6j+yr/IO8xFx+zVHdSquOy/zWsVO1tVX3ar6lKa6O4i3BMbH5C7Ay53L0 +1zIKgiAIgiAIguDxWRKqEhESOHb8i38yofrPbSE50RKyW8TtvO0kVK2ttYjSeU5P7XVbQ7Y5rTxv +HVt5jtfKelr1musGlS/8leU9rTiWrZ9jW9Sv89I+H5e2ddt76i5sdZ7TCpu6vB+XtnN1JZsyLdtb +umKtFK23JFR/8Zf/+Ad//BsLUoNjb/AzS//lr//gF7/+zZRnr7/4lb2B/jKdE9S8/qMFvDMd5/J/ +bGWxzfnGrw0+KeL4Kxss/P/S6uYcf5b2CytH3V7ezlUHfqk/25ofbw/tNz+eTho+sFNZtVNtJd/s +W+PQBe1jUr9KE8wryGedz3iQRh42ssdGdgRR2SaOKacAYpHzMTE8YJMPgS1lyC/rKPN5xV/pU/Ut +Mddf+W21u7St66b92NU+sZOtbLz+5Jd02ekY6r5wLN/KB3zKb2/fBWOgNqgfHOc22mvdn9acc1y2 +TeVTvHv7NEZqY90fwThQnnxeOW+1S+n4IE2oDPYH5RLYe1sM9UXl1Ab5bMUmeSVqUy/YU07+1Eag +TfKpMfN0zlN+WQa7ehxLOyBPY17g6xPrFuuI1hKtIS2fOuY9XfrEvrRbq7u0b+Xnuiu/S2V6USyW +vmhPZefrrvYHZ1p/sy1xgR3rMWPoa3QxjuwFaV/Jm2Qq4z6O+nPchkfD22fMta/Mz/GZUBr5pR2v ++CjHgHTAv3z3Yr6mPTTFL2Nu8zCNd2XHHJXzY8e+l1dj/jDnydbgGsHfD9Zu9m/fq3mPUE9VvsVB +HKW6/fpAZfGLDX3xNhq8qr1lW71+2SVffjy9ertoa9UGH2vGWOOtuShtONdc+ZykOksbgT/Zaf7L +GFF+uUYpXXVgX7YLW/dhdnr/e/lkV9bfQX5fMuYadxu7fA2GnR0ztnkMNUfFPOHHYd575tx92hy1 +1oSyDdYnj1/VzWsBPpp1+RgyLgnGydLx+Ssr85XVy2vZz19au7/66p8cjuULG87/0tpBOeD4j21f +kE0X5Xy5ULW2lwL1F39sbXlmdr/0tPYd1VbaHCeUT8LYhWopdCSK6vMDkdQg59W2nNf+Kg7yWuWL +82yXOEqr69pSvqNuT08cpTXqLm1yeuIgjbrmyiexm9MTystlFVu/mGILG1trc+wNF6q84bS49CB7 +gwXmlxak4BuE5//ltDnYG+aX//wv08LAG9tgY3J7gtrf1GlBUaBzDHbsNiwaqsvsWZC8rrTYuJ2d +/8IWAV94rG7agI/DemhrSsMev6RZO7HxdpqPXzDgtB3xrHqsvC+4aVGkjVNdxVjQ75pWHuVoz9wk +k0/bFAwsnKRroVIZNiSdY6O6OGaBxT9+OFdZLby6CCUPG9Ln6vF22SvH2PkmbGmqr+6fKPMoW/a3 +rJdX+owd6dio7fJV1o1NHiMD+7m2l+eyoU0H9dgx56qjtFW/67no7XeJ2kKdHB/MuUE76v6onUDM +lHOOD9XFeNB2yvNa9gc4Lse4nvODeqo033jsVeVFzqeMof6Uc1PWQTvrejjnlfPSFtyH1a109RVk +U9JKr+NEfQN84lt16D2hPMZa46Z2qs1HPgzqYQzKdvo6qDWrWAdVVj6VVl6QqL0H59RhNmXdpGuM +lFf7UDptoi7S6jEhDx+k5TGx87I/8lP6q9PxwVjQVu9X8p+QsGDNZVx0ceprOeNUrq91Oa39tq8c +CIbKNteptjCXspnryyWp62TMfYzUrtS2Etqu2NJclOkqz3EZA6qHvFYMCGyhjHuwenwPZn6YC5sX +7Hzvtfj1eUvi8hfm9yHN9l8uwC3d917SmCcr57Y5rfBpfWjNOe8Zb4MxxUfVRrc5jiNPS9cEqie3 +m/cfabQxjZG3SdcDqS7apOOHuor3sPuox5PzlObxNo3DgQ3nmjPN5RKaP60tB2NgeeX8qi5ec5mU +Rl0eRza++PE0gwtRxVbdVvJJq9ONadyTaGE9S3PuY0kac+ntPSzrc215WgcP8q2+es7zOtnC52+K +H+r0NcHK+bhYHmUP5py5pAx5ZRkuuqknxcMB9D3vAXY9aXZ/aUL013ZdyKu3L11rulC1dPJ++Sva +8eAHO4QteV/9mnxrS5HvtOpXuvJ8vs0388gcIyoQDwhU8ctf2nXwNM7L7C1kH87zHVUJoVIM1cf5 +vLBvnqdjnR/kme1BWnVe4vb1+ULdOa1x7OfUVZavzksOyiIGOcdeaZwXx/V5trWyyjuouzqvOfAF +dd2Nc+r64/QhCPxiijG3Y+3QdQLvFcXqXCzXzNke/Vkib6K8OJ+ClZ0WhBSkvlhQWRKqWixY0KjL +8nxzSfbqIL5KHyw6OfAtT5162DAsD1Fpef5pGee2EGhjmtKTnS9UVg/9Jb30S5vs1RcuT5va/7DQ +pXrSJlS23Rc/FtfF8UvtAfOZJ6bcZFLbMmwSEiQKAsqav4O00gd5sjtIL87z5gVWRxkklNNxq220 +qbBZjxv6nfpet5Vz2sCr2qV6SKN/pJsfr9frt3Q/Tnack6+NlnP6U7f9qF6zwe6gnmRXnnt9huy0 +OZgd/enqOxu42kW9ddvUF++P0ZpztUtppQ+ry9OUnttvHJzbMb5UV1mnjvHRKg8cH5W3c8qoLdjU +c0M65bFTGexUph53ryeVl11aCHn/Poy7ja2P8wzUhx/GvWwL5LYkVJf6WuaX748a8uRf7ace2qh2 +irSW5bWINlKu9CNIow1qL21jnMoxkq33zWhdbHJctktzrXIaX8C29Ek5xr3uT++486q5VT8z5sts +Dy4u3WayZa09WF/VZnvFf16T2U+oJ+XNgu/clrRusWZ7X1b6sxupLsZQffUxsv6ldjXbjo3mkIsG +yh70185/aa8+X9gYslG/NcfUU/oG6sWuqp92lh8wezvcnrmzWLbxZw48rtN++EuLawSifE0+LE1z +ZW2bfNo5F9vuc2J+zlPcpeuBwzyrw9K8boNj7wvp5ps6ve70fjuIGx8juwDjGoW8FIv4+AXtT+LD +65Gdlck+6g9JSijPuDO3tQ3nec5nypdgr/mj3EEZO8aP8rGlbqURC6qf1xxHhtrH8Vxb8EV6ms8S +nw/GwcZtEocpnTmfGyMbS8WE59ucu/80lyU+f8QZ88H8N9ow0VhLSMen+fa2/AttsbmlLZpL2qEy +FotTPJR+E5Qp1g4XqtauX5vYnO6cWhvNBuHpd1pdiJJu41r4wT+2iFTyD+6mWp730cqvrUfTHNKm +SjyUICJsnn/B3NA/Xgfz8IyqtfNIFFmanyeyDf3iXOkFXrbM57g497TyuPJV1uXH+OM8UdpnyraX +55RtlNd57SvnVeWzTW3Pa7LVudJ07OeJ0ldp62kzdZc+9Kp8HXvZdN6MtXSHlXzizWKzFbOrEPu8 +z3gP6j1x9MebyIx9Q7E3tS8MvFl4XUNvLDt+WJzSYp8qd9/Ks1fesC5UzYY0pbNoudikvPlkgcAP +C5AHvvmZNg0WscPFbmq/6rdzb5vVT7tyuvlIi4fbm0/Zs8H6serxgUqLmdpOPQwki5WEavLppPpm +YZyww4cWxHKT4Zg0wcJMoChfmwwbPMdauEsf2HPui6vsi3TKSaiWQdFC9vLBMeA72Wh+m/0twYax +L9vqfgxelUY+vklr1a18QR8O+pko7bHhlX5jo/YzB2U95RjpnLLYkC5IM6Y5b/S1ZnXOIeXV+WqL +5lxtL23oL+fk0ebcfqPuH+0o66qRPWPFRpMEoqer/a0yagtzrP5Ql+ZGPmhn9p/SSVO6+7BjbGUv +GHONe2ucS9J7zdtBu8u2AL7LPojyPac2N+0snTbhE7AFyloZ6p4unNIn+ald05qV0mij+kk5+Sp9 +0g7NG/UpzetKqG5otZc+ka6ylMGf+oF/la99qj9m1xXv6pPqpHyau8N2mT+rJ19cWnyzH/geYD54 +1dp8MI/2iv+D/YR6Dnw3UD+9LeZD/anbPwLrd26zj5H1L7XrqN2y0dxx0XA0lgZpssEfZVRO7zXy +qKcuOwPjczDOzIu1368PeLW5m/ZL5ivt0zZfeS7d3s6trNu6j2KfTr6m/Xt6neZ82lObbeLDhVS/ +xlBx5HUQP7luQ3X7+83K0k6rw+3J8/oN2u3lH/xq3fA2YwNVX47WbqF1BBv5E5yT3nxfNMCeuavf +u44d40f5et/qvKxb9SoeToiJkhwDNrY+bkV8MD4aYx9PxjLhceXrIOWqO6oNNOf49bgo7bUmWHpe +S7DDpsjzeaOdvGou05zzDTzdwGji42b4fCWhanX82nxNgtR8WRuzUE1C9CShStvXsLb7OvCrX1lZ +EwpH4sHoFqopnlfT5pi37X9GVefYGvlcaelcaUfHEm9FWc+vynpa9ZrFG6h84a8s72nFsWz9HNui +fp2X9vm4tK3bXvrjPFGXP7JVWmFTl/fj0naun8km18er2c59KEI6+cRbK16XsPcLr3pv+k0dvSeO +/vyigTcvb9hkqIVFheaQnaENwzcC51+K4wlvDG9KK8uC4mkEtZVlQfM0Ah070lhQFPgssvo0zDpU +LnbksfgcLI5WB3Vxrrq1cJdtpU5fKPCR0hi8VnlfAGk7dZFGu8ox43UNfLCRQbnJpIXQcTuDwDrI +N+oykC9S7NjrKdKBY/rOMRsUdqkvud1eN2kp/cBv8iE/6oPX1YHqoLzanubCX5VGPmn4V91l++u6 +OaZtsvP8oh6VbdVLmzjOfUx25Tn+sSvndmvfwcc2UbaFY6W7nZ0f5RdpZX/UTo41Z2V/iMu6f3V/ +qNfHzKjLa85Lf2qn2oo9dtjwyrnaQj51Ulbo4khQrtkfK38w7paGv7L+HnxsK//g7azsDs4pY3aU +URtJq21E3X5L8zVKF0RcmPhxsWbJH+PGpl6UPUBjQJ7aT5narmxbjfswG/pEefXpqN3Jvo4DtSPP +xwKy8dgw1KeDstN6qzXY11vqxsZstb7yAWJeXw2/mGOv0tpdrPWLUD9t0XugZfMYMDaMbzn2NeVc +cAHRar/3L9lA/T4T1HNQzsC/5qjIY6xzvGrv8zmcrhd8fmwe2D+JZ52T5z7MVj7yHVXmLr8H7CIl ++2RPTfus+7C2Jh9qzwNWf4oDf03XDGqL94O6rV/UeXBHVXWkc2xpA7bT+/FwDBzSARt8mE+vi/cw +Y1fbC81tbcM56Y0xzzAvXq+9Yl/On+LEX+2ctDq/LuP29kocERt6/9MOjr2dyW8JZbDDn+pN6PoN +dL00jTtzrnF+GCMfZ+KBeGG+yLcxnB2DCglWzZvHQeFTbeF4uiM+1cmHJT5fPucpPjx9ihuPNzue +bQfpeR2bfP7KBec/HQhOTzf/pPGV4If0yQ/5tVD19uNfdS2h9wLtsPfOtGfQroZglVDVBzAjYQ7s +dfarv+Xxan55brZH50X50r4u20pbym/ZHtXV2XbZHuRX5yVl2Z7zOu0oj7rm2p7EbkkuXwhZzuuv +lyNQfzUJ1Hz9kt7rHquK1zkKG18T7D3Be/khv/5LG49vJKXhRvDhi8W/TALVNwnekLZAeJpBWl4o +WPARswR1Wny04Higs+DQATvWAqSyvmHgl3Tf8FIbWCTTRiI/B/1iYIp65NcXDLPJ5ZRmAz/V83AX +hDz3yyKsha8Yh1WYTF14iPLCgjxtDIyL8jzNoC+yVWBowyr9+mZklO0rbVh8s8+UXtZNWeoG+YGy +fBr3LvDr/UlBTZ11e+SPdNKoWxsTcMwiTZky7WCMrLzbGdjhC9+lDXUQCxyX9XAOalPZ73qMWhc1 +c2CvNqk+tQdU9+ycG0rjuPSrtta+WjbkLfXnYM5JS+Up+xsbd5UnXQuRfFG2rJd0jbvarvLy6fWk +tLI9HJMmH6SVdfdS+qWu3xjUW7cTG/yrXqWTVsYr5WTrPhLqj/pIWhofX0NYB1lvfG20tYRFWfWU +dZQ+yRc6Z6yw8zEy5KPE7QyNIz7lx+sy1B9QuznW+48yPmaa88J/L/igLJT9Svm+vrKe2rqa13vr +kwv6vL5S91RmGsfjPYY1P8dijffbXtWfwt9VUI9Ra5zVduaNV85rm3Juy/nFn+aYdN5Lddk5rOy0 +z6aLXfZZmx/fk5kz9sNin8wfKjMnVm6ayyQSNE/YdvjcNEf4pLzHTBVH+Ez7v/Z4znM77fxgzNP1 +QS7P+5RxTe0h/ZfW9ofYq9tp54o3yjHetU3OMzhemnO9NzWnQnFAu8q9TXNOnmzJx05p9Xua49a6 +uILej3nc7b2cx60cX9mTZ2n5/cv1oI2vxnYzmif3OfnTtZv7THPpMUi6tcnnMpUnTrwtht9VVZmD +OgzGVPNV2GAvYaqv+yJQ853UZIcgffgRpen51ek5Vc6nH1U6jqMZ1B6fR7C++t5haaVgNVHhz6gS +/8zFLOm9sJo2R237cH7aM6r1eVF+yV62B+cJ2ZfUebW9H9dtb/hv5eX8qvxBns4LMai0g/wiLR/L +NolMt6/L13UX5we+oLItfekcQaof6uK1FKiOHbMmEZvFHt8F71F7D9TrhWvTgz9z7BcMteFGtGi4 +n+JN7QuaGsKb0ux8s3JbC2pe7Q2bSTa6sHG/adPIpDJHQtEXp6ktXle5uPjCIX9Wns2yrNuPU91W +xjff1O7czlxv8lv0vxsWJQKHia3BL5ONTWlHugcbYGOQX/vWQiYfdX5pc+A7+aRPULcx153SsMem +dwzmfPqbwKBNsl2rG9S/sh/+hsM+lXG/IJ8pj+OyXSov+xRDk+9UxtuRbMryveCXsmp/SenX21nY +cVzW36pbvpfaVo6BfHm9xsEYGXVdGiO3t1fOW/7lJ6fZufpM+bKc+0z1uE+lG5wrT2VLm1PwtiSf +UI9TbqvhNno1SC/tNY5uU0HeTDv9QzStM7aueJ1lf9XXA1JaWX9uaypTt+8g315rn6pHZdSfg/rT +MX5OHXe1Qz7dl1HZccGn/UFr8tIHgNM4JnvW+LLvJbTb+2Z434w528eC9vgYpfbVY1Tma344r/uh +OMJG7+nSh/yf0n/zlfdrzU897r63prnJc2l7Jnsl7WEvTzby2ZpzFwuy6UV14zNdx2SBSp/xeVC3 +2Vqb8nuxtEs2+Jpskj9sZU+f5tpKWjlf9XwCdy5lA25T5IP84KMF5Rh/n9vCTu81J9m0/JV1Kt3T +jLIdSxRznq+Tyjmf8VWO/dL7vBsrf+izqpt84qKYvwcervcOfEI5trxyXs5T6j9CFMGKQG3FBM+v +ko+IneBY5/PlmlA/bclC1SgFg19PmWBNQmL939NcgFTfwzOqBb4flefFsZ8X+S6i0nE+L/PteC1f +x8o/OF/Lr44PzjvqXrRvnOvYz4tjnSutPC7z83Hl22O4OC/zeRVlvo7Lc+LKhbWdK+Z4VSzyyhri +us3se7HY117g70WlH/0h3ngj14anwJu33BTukXvvXxAEwbUT63AQBE8JhCpiQAKhCQJiEq7TNxdq +ClGZ85Vmx55W2MyVnbWdXo+fUU13AF38KM1AVHsatobblWmFraclchriiTTKFumtsp5eHOe6IZU/ +aI+9ylY0y2Nb1K/zunyux/gVaVXbu+pu2aa0bNcoX9bNOeXLurMdZRtpzGcpTktKoVp+6EK8zpFt +HkOoBkEQBEEQBEGwM4gBe13FrusRDRX+bYF8Ptkd8lD20HbisOx8+aM0tb9MX0wr0pVW2rbSmvW0 +0oycVqQvpXl6Sjuw7U0r01PagW1vmpHTivSlNE9PaS2f5V3QOs1/Ud6O04cfRyL1FBDL1MGHzfYa +QjUIgiAIgiAIgkciCZ/VdM570ubS59LK87n0ubKt8r22rbS59N40pdfnc2lbbNO5vrHEV975+nv5 +VfM5ZLOGvp4fQjUIgiAIgiAIgiDYTC1UnY67q7Jxu2RLWV5DqAZBEARBEARBEAQnk4VqEps8s/pL +fljJXiVIeRUSpjz/qh/2qp9xDaEaBEEQBEEQBEEQnAxCVSIU4an/o8q/QkKEZnGaXgERy/9Zla3/ +X98kVhGxlxSqv/zVr//gK6voH3/zT86vgyAIgiAIgiAIgpslazvTeeg9f2YVTcgd0fL/9JZilV8u +5iu9Vi6LVP3P1ZJSrF5WqP7jH/zjP/3TH/zzv/yz808Jnd8i6kNNyzYI9iBiLBhJua5F3AUjiHgL +RhLxFoykjLd7iLu6D78xncf//nWhyq8E81XfWqQKRKm+3lvfST2wM35lYtXtBgjVskOc658W84+N +/5jXa4d2Gn/5VerPPz9M1m/s+C9/PX2acDP9CW4DiyfeJ3wrgU+viL+bet8Et4XWuV//o8fbV7au +/co2iCm9sg2CcyGmLLaIMda4r37zm7TGxV4aXAiLK/ZQrtl+Tbz5tduU3rQPgpMhpgrdcCc66Fcz +/fmV9ceF6jNEqolPhOoc3C3lzqoE7SyIWrPh39NY3eOEql0Ake42VHpDsIFyASehmicItd+wD4Kz +sfcJCxoXcrf6vglui19ZnBFvbEj+P/AaNkGwF1z8IBhcNOhiJAguxC9sD+WajXjza7fYU4MLIh0k +zXDXOoj+cM1g1w75F3/1vOopjPjV31mhqg7dGPSnOUFMCjYMYlUmCE7G4gmhUArVX5T/fDkI9iSt +X7rDRdwhIo7sgmAvLOYkVLmDf3DxVtsGwQ6wp2qN4y7QL/wuUNs2CM5FOkiaQbrh7nSQ+oOgdJH5 +mwlb1w/Oc7ql1eluW5x7mtngO4RqH7MTFEI1uAQWTyFUg2Gk9SuEajAMi7kQqsFIQqgGI5EOkmaQ +brhPoWrvJa4Z+Kouz5/yL2ckRvlhJNLA0wwXoSnN/yVNSqMcXw0m7Uio2p7RJVT/zd/8/r/56//+ ++3/yD//r9//Nn//D73Pe4s8s7x/+1//6/f/1u//v9/+/xD/89//l6S37a8f7Y+2f+vO7qT/Wvz/7 +69SfZ399VCYITsbi6d/8yd/8/p//zX///b/5h//u8cd50zYIzuXZ9EqcEW/E3Z/82W2u1cGNYDFH +jP21xRv8meItxWIQ7A17KNdsxNuf23XsvyE94i24ENJB0kBZN9yZDvpz6SDWcI7/2vSQ+PPEX1u+ +o3Ol6bjibywPuz/5c/Ntr/i39++fcG2C/iyvT47+LPFRhSoX62sX7LIpadltYG6CQqgGFyGEajCS +EKrBaEKoBoMJoRqMRDpIGijrhsfQQS2bjczpoGOhaselMD0670iTUP2zWxKqDDRl/6aAAfmzyo5z +7Hywkh3QqTMma26CQqgGFyGEajCSEKrBaEKoBoMJoRqMRDpIGijrBjRKw36VWR1klHZzOoi0C+ig +Q6FqrxKeiM05ISrKu6tuX9n+mR3/iYF/a/t1CtV6YjgmzQckpflEFWUWy6e0lu0CcxN000LVxzGx +NiaymxvnYF/uUaiW8QZL/Sltb73ft8C9CtXeOIp4G889CtUtcSS7iLlh3J1QLeNtLY5K24i3IUgH +SQNl3YAmadjPwnxRRjqGtdLn015P0UEnxsCcDlq8o3rwNd8yTefKV1p6zXdUDbXB2nydQpUBZWBt +YfEBYHAFDf2HxJxfFiFsKVv6aNkuMDdBTaFatnGtrl5b5e+1yOAHFEQeEHZe++YccU++ggu70uZU +1Ke1/my122uMQD5FywaU31O3bEXLZqtQJU91r9mVtGzEFts1KE/7tCDNxRuwMOV4K2xru62UfWnV +K2q7Nduecd9Cr89eOyhtW/lbharqXPIJvXYgW9Gy2QJ1ljHkcWS07Grbnvb20Nuf0m7Jljy1bclu +C/K15lP5PWMjW9Gy2SpU8dPTd+XvZdcLPnyvBOLIXmlvy470veNN/dhrfqC2W7LtZYu/Xlvlr/R9 +k1CVzwV/jmz2HPceKE+dXJN5vKWYq/2qrjLe5mJzK2qD6mjZiNK2lS967XrBz5a6S1o2oPwVn9JB +0kBZN8zplTmo54p10NEdVcVavqMKpDWOFbscz91RxTcay9p8vXdUfXAZAIPG4YOJ45V0/4TAkD3p +2IKOy4nccYJm76hSR+8bo8cOsOu1XcPHD6zdouWb82xjxwQQx3u0AR89/ZFdj22v3RZ6faqdvXZL +tqcKVWjll8h2yR/02vUgX1qE5uINSPfYNB4j3gC7HtstPnvp9Sk7aOUL7NZ8bhWqoLp727lmB7Lr +sV1CdeZ4s2MgrbYlzfNla/S2dwm1oceXbHvqlM9W3imcUvce/TlHqLbyhez2amcv+MgxZMeKpZYd +dZbxNhebW+ntj+y22K7ZbaHX55a6O/qzWah2+HRku5ddL1zE5/UtxVLLN3VeMt7WfGHX2/fSbs22 +l611b21nK9+QDpIGyroBHdKwn4V6pGN4rXVQvlNqYE+bSMcWdCwdxPFa/xrM6aD1Z1Rh7nwmTaLV +hSrYubX5du6oKg9fDDr5HIPbpmPZ1pO84wTNCtVbgHFgbGBtTAgWx45PGL9gI1uF6i1Qxhu0bERp +G/F2eU4RqrdAbxzVsRkxd3m2CtVbIMeR7ZVrMRTxNpxNQvVWIH4Qn2sxl2MzHbdsgl2RDpIGyroB +fdKwn4U5m9NBrJstHQTlHp59GDvroK5nVP2DEp0X6AMUbCVOS1uPawP/1ubr/TElBpiB0ERwzivn +pOuNp7Qjoar0QV/9DYJzuUehGlwv9ypUg+vlHoVqcNXcpVANrhbpIGmgrBvQJw37RZZ0ELB+co1I +GhpIOiiXt+ML6aB4RhW0kORBSGhiSltNHvm8lscStKV9J3MTFEI1uAghVIORhFANRhNCNRhMCNVg +JNJB0kBZN6BHGvaLXLEOuvgzqjdxR/UKmJugEKrBRQihGowkhGowmhCqwWBCqAYjkQ6SBsq64c50 +0PwdVdNFEq2lED0SsrKBlKY7qn9yS/9H9ZGZm6AQqsFFCKEajCSEajCaEKrBYEKoBiORDpIGyrrh +znRQPKN6JcxNUAjV4CKEUA1GEkI1GE0I1WAwIVSDkUgHSQNl3XBnOui8Z1ShtEmvuqN6M8+oCi7U +/We4zQfwXeuWDR2QTbY1zrjQn5ugEKrBRQihGowkhGowmhCqwWBCqAYjkQ6SBsq6AU3SsO/CNY6B +D7gCHRTPqIIGXb9uhQ8GhmNe9XAwr/6rVgY2pAHHZVnSWvUsMDdBNy9UNUZrY9JrF+zDvQrVLXF0 +D/29Fe5ZqG6Jt17b4HzuVaj2xlGvXbAbdylUe+Oo1y7YDekgaaCsG9AhDftFmDfKlVqm1kHY1VpJ +c16XPSEO5nRQPKPKYNLx8n//aGHBF+kMPI3mXBPBOXbQ8lHXs8LcBM0K1S11YHtCm86C+vxTmYTE +fsuWPD7V8ABL5y27rWztd4/tFn+PyVrfLylU1+qu2atexZvHkTEXR9TntkW8PVbfH4NLtHHNZ1pT +ibOLCNXePvXa9YCfHEcp3lq+e+1OodcPdqKVf2keo26LuUcXqnv32+MIVuKI9GybjkeP/95938LW +undq50lCtafurX3ZqT/uR/GmmJuzO4hNY6829LKl31tse+n1ubXuBVvpIGmgrBvQKg37Waij1jCK +W3zN6qBkBy0fdT0rzOmg9WdUDeJOxyWenmwPhGzKv4k7qvXgaiLqCVEaNjr/E7Dytd2OE9QUqvgn +QGjPWl3ZNh23bESvzx7wVS5wQFptR13Zxo4VROe2oe73kj+3tX6v9b3XbgtbfGbbKr3G7RJzPrcK +1T3rFqXPNds1VK/Hj72Kll/iK9ul47V+9aA2rPXH7Xae815yG1PdS/WXda/awUJ/0qZHnHUL1TWf +QJ7Xv6Wd6bhl04t81WtX+byLcDuR7HdrA/3uGCP1e63eXp9bKOtf8+l2Hf1xn7SzSK+xmNskVHvq +hlx3RzvLfq/5XYPyxFi5dkHLrsx37HxprHrxPq2MO7hdYq3fbpfGcs22hy11u23qz5Jttlue801C +tdPnJju3TSzZ9kB5Ykf7o45rv6rzIDZTWml3Cu6bvlfpNWrD2hhB6XPNtgd8ZJ8dc9RjB9m2Si+Q +DpIGyroBHdKwn4U6enSQ2t6jg1r1rDCng+IZVQbYJyj9k9oy2HmtJwj/nLttguMyjbKtuhaYm6Cz +76hiJ1r5l4L6GMty0Zprg9sRPMZeCxz09l35a3awxXZveuvETrTy4RSh2kpvobp7y2zxPQc+FG8e +R8ZczGXbIt72aoNo5Zf02vb42kpv3VtY83mKUBVr7VyrW/Ta9YKfHEe98ZZibs82lK9zkC9a+Zfk +lLrXbHt8bRWqYks711C/9/CJD48jWIkj0t0useca10qvwU608lvs0T6xtf6d6t4sVMvXJXptyRet +/K3gJ8dbzxoH2Bl7tGGLD2xFK1/02m2h12evXcmCrXSQNFDWDeiUhv0szB1aw+LWXzlnvfQ5Jd2Q +ACWdV2kepXF8IR3U9YyqpxXnB+nJ9i7uqDLITIzS8aVPGDiWHWCHeITswyYZHztO0KxQvRUYC9HK +Fz02wX5sFaq3guKopy/30N9b4Ryheu1sibde2+B8ThWqt0DE21WySajeCr1x1GsX7IZ0kDRQ1g3o +lYb9LMyZ9A2vczqINVTn0ksDdFA8oyoYcAZAnwjwqomYs6EjmrgyrfTbydwE3bxQDa6TexWqwXVy +z0I1uE7uWagGV8ldCtXgapEOkgbKugFN0rBf5Up1UNxRreFinYmAuQv3bGN1OSltzr6DuQkKoRpc +hBCqwUhCqAajCaEaDCaEajAS6SBpoKwb7kwHxTOqV8LcBIVQDS5CCNVgJCFUg9GEUA0GE0I1GIl0 +kDRQ1g13poOO7qhKeD7ZO6qPxNwEhVANLkII1WAkIVSD0YRQDQYTQjUYiXSQNFDWDXemg67vGdWX +f//85X/8r8//9O//p73+/fNnL79q8u8t7+//5/98/j//5f99/v8mOCe9Zd/Nn4L5AGtL00a4TSP9 +BP70oD//4v35r/Tnq/862Tw7LhMEJ2Px9NLi9z9afH1l7zXeNy+J/ZZtEJxLWr/+va3tX/3X/+lx +96c7rp9BcITF3J/+e1vj/n5a4zhW+pFtEOwAe6qvcRZvrHEvuYaMeAsuBNdt6ARpoMvooCqvZsd9 +fE4H/Ud7T7keoy769h/N/itIxy3qvNqec17//X+c+ksbzP+fcm2C/tR+AUd/jyVUaShl6YhjA8Nr +axI0WH+fXneYqBCqwVAsnkKoBsNI61cI1WAYFnMhVIORhFANRrK7UL1SHdQlVA/OTXx6WpEvG70q +70/NVm0w/9cpVNVxTQiN06cJnNsmdzAJLDpzeWdwl0KVcfz3iTURJFsf+5QWXA6Lp7sTqoqhLfHW +YxucT1q/7k6o9sZRbRcxd3ks5u5OqPbGG2yxDXbhLoVqbxxFvA1nN6HKXkyZlg4iHa1DuvZsYprj +QTroWKiauJTYlPgsmROtZZrK3cQdVR9sG4Q82CmNPBpKuj4xIM0nyOBck7e1zgbbhKrVRxtFTm/Q +awfZtpG3FR+jitYC1mt3Cr19p66evvfabaXXZ1l/K19kfws+LZ42CdXeuiHXvWJ7YLdiuwbtI256 +4qi2g6W+93LQn0a+KMdyi20r/xR2r7vwN2eb1q9NQjX7bOSVZLsVf7DFdgna1BtHpNV2e6xxZV8u +MUatvFM4pe7V/sCKT4u5TUK1rHup/rLu1XbKzmjlb4G6WnHUsqtjc5d4Ex39Kfu9Vm+v3RbK+lv5 +4sCu17aRl9gkVMuxhJaNyHaNvJJef72cu8bVdlspx6iVnyn6vWZ7YLdi28uBz0a+2HnOdxWqPTqo +XEPJx1Y6iLyFtvbQJVRdcJp9Fp2A8Ex5OudVttkuUZa/mTuqNFgTROPKVyannKC6rNucP1GbhOpJ +wb5iK5/ehwW7XvDXs1lyXtrM2W0m9WOt31DaLdkqf68xAo27aNmA8nvqlq1o2Vg8bROq5kd1r9mV +tGzEgW0jfwuUr+MIWn57N9+tHPTHaNlAbTdbd8rvGfct9Pokr9eu9NmySetXt1Dt8Qm9dkB+Scum +F6/X6Ikj0nrWwq1s6c+BbSNfeFsL25bNVg7qXvBJ3eRvnstGPljMbRaqPX3P9UIjXxzYGS2bLVBX +GUOKo5bdJeNt8/ws2NKmA9sq/xQO/BktG3Fg28gXsvG+N/IT24Rq8ilaNqD8Pce9B/pZxxHU/ecc +artWbG6FPuT3ZCNfeBsK25aN6PXZy9a6S1o2cOSzbbuvUDWuVAc1v/oLEpst6rxa3N78HVXl0VDS +mSD5bS069SRzXtussO2O6o3AWLJY9WySsuV1zTY4H4unTUL1FlDs9MSR7HpiMziftH5tuqN6C/TG +UW0XMXd5LOY2CdVbYEu89doGu7FJqN4CZRzpuGUHsot4G8a+QrVDB7XWUI7BfSRb95FsN7BJqLaE +Zz4v7qgqXzZ6Vd5N3FEFGkQ5DbDO6QhwzgApXTZleewoz+uOExQ/phRcBIunuxOqwfWS1q+7E6rB +9WIxd3dCNbhq7k6oBlfNbkK1FIG6MafzAx1ktrySDkpTunSQyjfrmqdfqG74au+BbZGmcjf1q79Q +TowPhkGaFhpNUJlf4xNn1L5XCKEaDMXiKYRqMIy0foVQDYZhMRdCNRhJCNVgJPsJ1YJa59Saps5v +UZfppEuoSmy6GDVceOo85eW0+rx4Vd7N3FG9AkKoBkOxeAqhGgwjrV8hVINhWMyFUA1GEkI1GMlF +hOoj0n9H1exdcBbHNS2RWqZJtHJHlfp5n4ZQXSaEajAUi6cQqsEw0voVQjUYhsVcCNVgJCFUg5E8 +aaHaEp75vPi6r/Jlo1flxR3VfkKoBkOxeAqhGgwjrV8hVINhWMyFUA1GEkI1GMmTFqouOO1Y4rOk +FrGtNJW7yWdUaZwPRDquL95rmwNbo7TdQAjVYCgWTyFUg2Gk9SuEajAMi7kQqsFIQqgGI7mIUK01 +jmubFZsDW6O03UCXUJXYdOFplMKzJU5b56XtbdxRNXs6Tzka7o1PlL9+BTQc4Wgb30G6lyU9HZPW +rGueuxWqBHiPAOq1C/bB4ukuhariqKcvvXbB+aT16y6FasTbdWIxd5dCdUscRcwN5W6Fam8cRbwN +ZT+havZZyyR03NRBRksHkXYBHTT/1V9DItTTUl4+r44PzpOtnlEF83+dQpWOIwbzoFuaFhbOy4EH +TRCdwA7cR0rndccJulmhyjjy/7QUFHP/W0sLm+ygZRfsi8XT3QnVVhy1+kRaT2wG+5HWr7sSqr1x +RBpEvI3FYu6uhOrWeOuxDXbl7oTqlpiLeBvObkLVNQxax6Asc6e45bzWQX5uDNJBpz+jmvJKG73K +9ibuqJaDS1kaJzhncrxDidKWsoAtdmV6q64FNglVgkj1rtUluzVbX2g67HopFy3RWrw4L/N1XNtt +JvWlpz/dY9Rpt4XucU/5sm3aJHraafG0Sage+Gzki+7+GPLJ67nz7fUaip+lOKpt5uy2cjBGRssG +arvZulN+tm3ZbMTHSf5WfB7YVnklpR20bNL61S1U63a2bAC73jE6sDNaNr14+4w6jkhr2bbsltra +w5b+dI+R0euzl952bqm7pz8Wc5uEqnzyutjOZLdUt5P8yGfTZgPU1Yqjll3vWrgFjUtPf2S3Zutt +LezObSPUPls2Qu1cq1t2btvIT2wSqgc+jZYNdNtBp20P+FPslPFU99/rNZQvWrG5lYP+NPIFebKD +lo0o7ZZ89uL97/TZOz9lf9yubbuvUDXWdFA+N7uBOqj/jmrxSnvL/NpexwhVzZn5v5E7qsLy8sAb +PjgcF7aaNKFyrXpW2HZH1erxSdNxmVezpU2nt/8IHz9DQcExaS3/pMnOAydR210S1blUb4/NKWSf +C3OpOtfsnJS/1E6Lp813VLvqBsVRRzuzXY/fBfADPXFEWis2a7vNpP7M1VuSbTr63WvXTWrnbnWT +v+IzrV/b7qjK5xordQtsxJrtGvLTE0dzdi3bLWi/6fGT7Tr6fW67jtD89NBrK7uF/ljMbbujWtS9 +1gbyu9q5I9TXE29bbXtReX9dGHcn5XfVqXFf87mFXp+lXUf9K/3ZfEcVf875dU+k/oimzQbwoRhS +HM3Z7R1vwv2sjQ/5qe89ttluzbaX5HOtz7LJ9af0JmrnPPsK1S06CMbpoOYzqrAkROv88txtkx/d +UeV9av6vV6hShkFnojg/SrdXGg0cl5NZ+zuRbUL1htCbci1we+2CfbB42ixUb4EtcdRrF5xPWr+2 +CdUbYWu8RcyNwWJum1C9EXrjqNcu2I3NQvVW6I2jiLmh7CpUKVPrIOI3p9trSwcpxneI893uqLbO +a3sJ1Zt4RlUwABr88rVsrOyog8kEjrHJE3sadytUg+vE4ukuhWpwnaT16y6FanCdWMzdpVANrpa7 +FarBVbKbUBXsyWs6iHjGjjrQJ8DxBXXQ6c+oFvmy0avybu//qFpZBqKkaWfUdku2HYRQDYZi8RRC +NRhGWr9CqAbDsJgLoRqMJIRqMJLdhapjZaVpRNPOqO2WbDvYJFRL4dk6dzG6cs7rTTyjeiWEUA2G +YvEUQjUYRlq/QqgGw7CYC6EajCSEajCSywjVx6NLqNZ3UXVcn7eEaX3Oq776y/s0hOoyIVSDoVg8 +hVANhpHWrxCqwTAs5kKoBiMJoRqM5EkKVfo2Jzzz+cxXf4XbprybekZVcLEuWvmQbawup8g7kRCq +wVAsnkKoBsNI61cI1WAYFnMhVIORhFANRnIxoZo1TpFWk23QQFDknch2oZqOj84lVFNeaaNX2d7U +M6oaABovOC8by0SUNhwLBCWv+Cn9dhJCNRiKxVMI1WAYaf0KoRoMw2IuhGowkhCqwUh2F6pzOqjc +q7MOMm0ySAcdCdVagApvj45b5+lY57zezP9RpQz/F4hB9sYZOb0YeDpDPnBe+mBAsOW1zOvkLoWq +j1diSQTJzoMm0bIL9sPi6e6EqmJnS8yt2QX7kNavuxOqEW/Xi8Xc3QnVLXEku4i5YdylUFXM8boU +R7KLeBvGbkKVvZgyszqI9HTu82yvA3XQeT+mVNxdrfN5vYlnVMvBpSzngnPSmaTWJsdx6tylJmhW +qFJHHSgtyM808ktK21b+FlisFBCCtNqONtV2cO5CR/myP0v+yNdYQssGlN8z7r3U7WzZOCl/rzm3 +eNokVEufrXzR3R+D/J5x74F6WzHX6lOv3VbUD6eRL04do1b+VlR3Hvcqv6RsZytfyGapnWn92iRU +5benjVv7Ay2bXvB1zhqH3VJbe1A/vO+N/JKy30u25JW2LZutlP62tLOVL3rsLOY2CVV85Rhe8FvW +vWUs1/q+BuVbcdSyu8gal/qRx6hlk9jS79K2lb8V6uv1Sb76s9TO0t+C3Sahip9ct9GyAeXvPe5r +UL6OoVYccd6ybcXmVtz3hn73jlHPuPeiNnbFkdFbd+mzlW/sKlSvWAf1P6Oa8i7+72n+8G9/7w// +6r/83r/7L//j9/7wP/yX3+O8xX+wvP/yf//v7/3f3z3wX/7H//i9/2BlW/az/DuDMv/F4PU/pHNe +qf9vE36eIP+veE3IRuVa9azw76yuv7X2T3353dQf61/uz7O/OixDu6mL1zK9hnzRyi/ZYrsGPnys +rN3wH4w5v+S5nR3LtmW3ld7+9NrBFtteen2eYjdna/H0h/b6Vxa3f2vvNd5PnDdtYc1fSa8t+T0x +3IN8KY44nvOteJP9UmxuAR+ilV/Sa9trt4Ven712sGb7bHplPSPeiLs/XPO75lOcYrdm2wM+PN5S +LHkcGS07xaPs96gf5HvNH/milV/Sa9fLKXWv2fbYWcz9OxsbYu2v/vZ/+B6r9CNb6PEpttr12K6B +j969sow1t7PzvdrQ05/Srsd2r/aJS9Td4Y81jTXur9hT7dX31KV421r3mm2vXS+9eyVpOd7seHS8 +Qa9tr90Wen322okV2zE6yJDG0bmvP9jqOJ1jo/lv1bPCqg7ydkKKs3x8wvnfpuNqz/5Drk1q/Xn0 +h6E1aqhQZXA1QaRpYaknSBOh89LPmWwWqrcAY8s4wcKbzSFY5hbBYH+2CtVbwWMuxVIrX8gu4m0M +pwjVW6CMt7X+9NoF+7BVqN4Kirm1OHK7RCs/2J1NQvVWULxBK1/keCM2V2yDXXhyOoh2ZsEpLNYO +hKjS6vM6LeGxCnZsXKdQBe+8lWPgefXJMMpz7OoJK8sLpW3kLoVqcL3cq1ANrpN7FarB9XKvQjW4 +Wu5SqAZXy9XqIFD6BvqFai065855FXauu6g539AHMEljXa9QFT4IVl5oEspPFsr8FuXEbSCEajCU +EKrBSEKoBqMJoRoMJoRqMJLL6SCY0TS1TmpBmRP2936hWlIJz5xWn1dpB1/9BTs3rl+oPiIhVIOh +hFANRhJCNRhNCNVgMCFUg5E8OR2UhSqiE8rzpbzG+U09o3olhFANhhJCNRhJCNVgNCFUg8GEUA1G +8nSFakkSnrPnM2lxR3U7IVSDoYRQDUYSQjUYTQjVYDAhVIORPF2hiug0XGxWac5M2t08o8p3q9WB +tQsp2dNOJ6W1bFcIoRoMJYRqMJIQqsFoQqgGgwmhGozk4jrI9Y3RshMjdRB+pc8ylfDMafV5lXZz +d1Q10NbA6Reu7Bg/fmzMTZQGjZ909p91Tmkt2xXuWqj2jsmJYxecwD0L1Yi36+OehSr9iJi7Pu5Z +qEa8XSV3K1S3xFHE3DAupoMozzGvm3WQcWIM9AtVRCe0zsv0Oq84l1Ct/u3SdQpVOs5ElAOshYWJ +IZ38uUnSxC7ZdHCXQpXxIAgawXAAY44tQYTdkm2wD/coVD2OinjjfNbO0IIV8XZ57lGolvHmcVTl +l9Rr4a33/Ra4R6FK7DgcG4trXBFvS7EZ7MbdCVXuNnkc2bFir2UHire1tTDYjYvpINJKHUQezM1r +j00H/UK1JMVc/hqw4rBhc2RvrzdxR9U7bmU0QQwy9fqrUQ4+YMM5r4LzsnyrnhU2C1XfiNJrmV4j +u552yW7NZw/ylQPEaLXBx7/I91ejtjsFtaFVb8ljjRGUda/57G1nj8+tQrW3blirW8jnml0P8uWx +lI7nfGtDzfbGnm2AVn7JUvtKtvjs5RJ1r/k8Raiu+RS97Szt1nz2gB/Fj45ba1dZb2lf252C/O41 +RtBr18spde/Rn61C9ZS612xlB638LVDXlniTrezX2tpD2fdWvijt1urttdtCr0+1s6fuDp+bhKrq +hlZ+SW87t/jsoXevJE35Egd7tGFLf2Q3eoyg12dpt9bODrvH0UHJhnNeBedrN/ZW6BeqKdayOC3S +yhgs07CVOC1ttIYmjXU7d1TF0QQZmhDZ1jatOjrYLFRvAR+fFBStDVUc2KXzll2wH0/5jir4e7mw +bdkE+3GKUL0FynhbWv+3xGawD1uF6i3g6xakeJuLo4i3R+H+7qga2ivX1jjFm2KzZRPsyqPoINKw +4ZxX0mqbVh0d9AvVEsVdnVafV2k3eUdVg8y58rwzKb0c/LrMmZ8iwF0K1eB6uUehKsr3cHAd3KtQ +3UrE5jjuUahuJeJtKHcnVE8hYm4Yw3VQmV6XGaGDvE5AdELrvEyv8xrnfMBStOF6f0wJNAAadMDX +0qDXExVCNbgV7lmoBtdHCNVgNCFUg8GEUA1G8uR0kNp3QBKera/2+nmZVqTf1B3VKyGEajCUEKrB +SEKoBqMJoRoMJoRqMJInp4OyUEVwGlmIFmlOkaavpJfitLTRHdWksUKoLhBCNRhKCNVgJCFUg9GE +UA0GE0I1GMnTFaollfDMafV5lRZ3VLcTQjUYSgjVYCQhVIPRhFANBhNCNRjJ0xWqiE6YOy9ZsfU7 +qmDHxu0IVQZj7SJKNmt2nYRQDYYSQjUYSQjVYDQhVIPBhFANRvLkdBD1ZMEpkvA8+mpvSittnGR3 +k3dUNQDWyIOHiMsJqG3Ih3yebEq/nYRQDYYSQjUYSQjVYDQhVIPBhFANRjJMB9k6OmtDPuTzZFP6 +7aRfqCI4jSxEi7R8XqYlW4nT0u5mnlEtB1kT4gOS0njlvAQbFiCQbfk/iEr/HdylUGUcGE9YG5PS +tpUf7Ms9CtUyhtbiaEtsBueTLtZYz+5KqG5Zt2TXYxucj8XcXQpVxdDa+yfWuOHcpVDtXeMi3obz +aDqonGPiGzjHTjqo9N1Jv1AtqYRnPm+l6dy4qTuq9eByrglgMsgDTVy56JQTRNlLTlAtVKmzZ0Ho +tQPZtvK2Il8KED61aPl2O9nYsWxru1PY0vdeO/ls5Z0K/np8bu3Pks9ThGpv3b3t3NKfHvDl8ZaO +53wr3soFbM829Phaal8J+b0+e+n1uaXuNdu0brKedQvV3vp77aDXrgd8KX485ogjo7ZT+0p7jmu7 +U+jte68d9Nr1sqVu2bbyatZ8WsxtEqpb2oldj+0Wn2u4rxQ/Wrtae6XqVKwp3nZrQ3pt5ZdgB628 +ki0+e+n1uaVu2bbyEpuF6o51Z3p99qB4w6fireXb25dstf/u0Qb1u6fvsl2rt9duC1t89tp1+Lw6 +HVT6UP4G+oVqEWvN85IVW19DwY6N6xWqlNHgMhF6bU2QysgOZOOdTjYb2SxUQYG0BnZbbFvpW8lj +lIKCYJgbm3KBk23Lbivqd0+f9rbbwhafW+yWbE8RqnvVXdJrtwZ+ynjzOErptS3pQvG2Zzt6fPXa +wV5tK7lE3Uu2aWNjPdt0R3XvdmLXa7tGGW+Kp5Zv0kpb2dd2p9Dbn1472GLby9b6W+k1a3YWc5vv +qG6pu8dWdr1+l8BH717Zirc92gC9frb0e6+2iUvU3eFzs1Dt8JnZYtdru4biTfE0t1eSlmMz2UNt +dwpb+tJru9f4lOCzx2+vHazYXa0O6u1fRb9QLUkxd/TV3pRW2jjJ7ubuqFKm/CRBC8vcBNXIh0+S +0bJZ4SShegswbrAWuATL3CIY7M8pQvUWIH4Uc6184XbEXJUeXIZTheot4HHUsT73roXBPpwiVG8B +j6OOvbJ3LQx2Y7NQvQU8joi3WOOujV11EPqlvBt6jTrI6wCLRchCtEjL52VaspU4Le20dyeN1SdU +/+irf/1HX/3mX//yP//mX//RX/znf815i19a3n/+P//nX/+ff3ngP//Gyi2UmeWXBuW+SpTH8EtI +dqWN0mX/n9Mraa16FvD+WPunvvzL1B/r31/gD5tnx2WC4GQsnv7IXv/C3mtf2XuN+OO8aRsE55LW +r7+wOCPeiLs/Yv2s7YJgLyzmfmkxRrxpjVP6kW0Q7MAf2bUf12x5jSM94i24EGN1UGFX2ozUQdTl +ddux+IvieCmtBXa//IuDNqA//8jevwf68+gvGQ4VqqIcbF5bF1KyaXHCxIjVCYrFLtgTi6cQqsEw +0voVQjUYhsVcCNVgJCFUg5GM0UFVfmnT4pI6yIWqvW5hTbRW7b1+ofqIrE5QLHbBnlg8hVANhpHW +rxCqwTAs5kKoBiMJoRqM5MnpIBeqYMei947qXNrN3VF9RFYnKBa7YE8snkKoBsNI61cI1WAYFnMh +VIORhFANRvLkdJALVXvdQu8d1fQ+DaG6wOoExWIX7InFUwjVYBhp/QqhGgzDYi6EajCSEKrBSJ6c +DnKhCnYseu+etrjJO6ooa8r7QBiV0vZBIn+JEy++VicoFrtgTyyeQqgGw0jrVwjVYBgWcyFUg5GE +UA1GMkYHVeum8pegjPTTBlZ1EH5bgnOJ3juqiesVqvXEcFyeqyOk8YtWUNbjg5co0zewOkFPYbE7 +IbCDE7F4uluh2htHEW/jSOvXXQpV4qgnlnrtgn2wmLtboRpxdJXcrVDdsnZFbA5juA6SzWPpoFyH +HYsncUeVgafjVp+/kqaFBV/6qWWO64nkvLQ/g9UJatWh+q8VgkAB4wFhtOx8XAseo19b6nzMcd+r +bounuxOqvXEku7W4vBauvX09pPXrroRqHW9LsVTb3sOcXjsWc5uF6rXPS28cye4Sa9y1j9EjclGh ++hjjrjhaizeo7R6jvU+MoTpIwtRjwl6xA83zDnG+qoNcqNrrFojHVrqo2n/lQpUBMBgIfAhNRun3 +qExKP4PVCaqDgDpp01rd5NOnnjZusV0DH+XCpcWrZVvaEDi8tuy2ojas9cft6Hca6yUUH2s+t+Bj +3uEzt7NKryHfWbC1eNokVNf8lfTakr/XWLovQ/EjWr7LfNnv1QbNZSu/RHZr9Xq/dhojkdtZpdf0 +2gE2S+1M69cmodpb/2a7Dtse8FHHUstvbQd71A/0pSc+tvRbtq28U6DO7rqhw7bHzmJuk1DFV9dY +AnbJ3xLuM7228reAD2KsXuNatmW+2KsN3WMEnbY9Prdwibo75nyTUM11L/t0sNk7Nnsgbnr2VO+L +UdqutbWH3J8qvYW3oXOMLhZvK+Ne2u3Qzieng2iXt8WOl1As9nBbd1TpvKEAAnwpveVXZcUZE7U6 +QXOL3TXjY2gQDLy2bKC2O2Mcg04snjYJ1VtAcSSW4qi0jXi7PGn9uruv/vbGUWkXMTcGi7m7++qv +4sgvsFJai9Iu4m0Yd/fVX8WR6Im5iLdhXE4HpTSf05QOLb8qKzivbTpZ1UEuVO11C8RjK11U7b1O +oQqUKSeINA1+a+IkZrUA+eAVtqXvTlYn6JYXu+D6sHi6O6EaXC9p/YofUwqGYTEXP6YUjCR+TCkY +yeV0UFGec/HYOkh1tATnqdCHog3XK1SBxlLWB6Gg7ERtw3Fdpup0L6sTFItdsCcWTyFUg2Gk9SuE +ajAMi7kQqsFIQqgGI3lyOsiFqr2uUd5F7b2jmt6n1y1UBY3uHeQttiusTlAsdsGeWDyFUA2Gkdav +EKrBMCzmQqgGIwmhGozkyekgF6pgx0usidOSm/s/qo/I6gTFYhfsicVTCNVgGGn9CqEaDMNiLoRq +MJIQqsFInpwOcqFqr1u4m2dUr4DVCYrFLtgTi6cQqsEw0voVQjUYhsVcCNVgJCFUg5E8OR3kQhXs +eC9uVqiu3cYmXyylbWB1gmKxC/bE4imEajCMtH6FUA2GYTEXQjUYSQjVYCRPTgf1CtVNX/01ijZc +t1ClsT4AFfXFFHbUoXz9whWQfqkJisUu2BOLpxCqwTDS+hVCNRiGxVwI1WAkIVSDkTw5HdQrVLdQ +tfV6hSplWj/LTLoGvzXwB+VS2omL0uoE3eJi58GcWAtc2a7ZBftg8XSXQrU3jmQXMTeGtH7dnVDt +jaOIt/FYzN2lUFUsrcVRGXOt/GB37lKonhJva7bBLjw5HXSKUCUeW+mi6tN1ClUaScetvjzQAl9M +ALT8Kr+coBNZnaB64r2NHfVmu+RniV6fPeBDi9bS4lXbETi81nanoH6v9X1Lv7fY9tLTRuitO9st ++LR42ixUe+qGze2s0k8BH4ofxRC0fCtP9nN2W8n9WRh3Ibu1erPPKv0ctvjstoMF27R+bRKq8tnK +K1mru0R2PbZr4KOMobk4kl1pu0f9gJ+evrvNljEyWnmnkNvY4bO7P7BiZzG3Saj21g1ul/wtIbse +2zVol+KoZMluLTa30jtG2a6j370+t7C5nVV6i47+bBaq3XXDlv4st7ObOobm4oi02natrb1cbIyq +9HPIPjvGXXXv0M4np4MYD2JsT9Tm9D69cqHKABiUB00QaUrHvlx0SHssoQq9dW6xO7MfGfxowSIY +lhYu2ZW2LbtT2LvvvXZb2OJzi92SrcXTdqGaaOXV7G3Xg+JHMTQXR9Sp/LXY3Ap+enz12sFebSvZ +Uvce7Uzr13ahWqXNscWu13YN/JQxNBdHsitt92xDr68tdnu1T1yi7jVbi7nNd1Qv1c5W+imUMbQU +R6T32m5lS797bHvttrKlna30mo52bheqiVZezRa7Xts16hiClh311bZ7tWFLf/a228KWundq55PT +QS5UwY6XUCz2ULX5Nu6okqZJwBcTAKVfjsHLpddLT9DSYnfNMC49YyO7M8cx6MTiabNQvQW2xFHE +2zjS+nWXX/3dGm89tsH5WMzd7Vd/I96ukrv96m9vHPXaBbvw5HRQr1AtWROtVZuvU6gCDaWcD4DB +cX1e25OuyeP40hN064tdcF1YPN2lUA2uk7R+xY8pBcOwmIsfUwpGEj+mFIxkdx2E3hD4ODifsR+p +g04RqmtUbe4Tqv/27/7Vv/1P/+1f/du/+9//6t/+P3/3rzhv8f9Y3t/97//9r/737x74u//2vz3d +bZ4d2ndBWfGf0ivptS+dl/Zl/gl4f6z9U19+56//zfr3/9hYuM2z/3RUJghOxuLp39rrf/q7/+ac +9b4JgjV8/fpPtp7ZOkfM/f/tncGV5LaSRXvmS7JkVtrKAG3lQLugnWwoG2YpF9qA2coBLbX6xvya +eGAEMkiCSWYliU6ybp1zD0kgABLEYxBP2fxfOT7K0RzsjTSlHGd5bZzjpDfepXAA/k7Vmq3oLee4 +HAewE8pp8gkjH1R8g8d89N2qXJlR2bSvOJ7GPcGqD9I5NLY/7Jkq24zKtpRPjn+zffXtz+n/2LM7 +85+zPwXqAX/WqBpKEq9OuVab8NUJclp9ADxK0ZJpTi/U8tzomfNnpxUP8AyRv6Qz6U26y/m91Qbg +o1S9RY4rxuFWN40HeJaiK9PbkONMbynHteIBPkrOb3eNqse/OuVat/ggPU9hMCvJeP5vPvay0X4i +YotRFXas69Fz29Woln5em/ivEMsT5GOx+t/snvymMQrt36F1LoApf5iWSmKzF2urHmBPlLukN+mu +LOQADkQak97IcdCLeKdq26oHeJbsG2ZGVe9Wr1dcq/2rse6DpkZ1aj5bx/fq4ti2392oTm7GJj5i +bh9pM4l91Kj+8X//KVTDWlGZl2NUYQ3XIUYVuiC9GcpPsYjDqMJhhN5sX3orOS7XxT7AXriuZkYV +vcGemJ4ON6of0ewjbSaxw3j+uOODpkY1k4xn+ZU0yqb1UZbrjFcwqr9pkMb/RNJoUW+ax0X8vTZR +X/u+EztiaPPbv/9T9jdPkMYzmVyAD+NawqhCF6Q3A6MKXQi92b70VnJcrot9gL1wXWFU4VBMT5uN +6qM+KLSquIi/1ybq1+JmWGy5pgd9kOJaZrOWbSmfHH/3b1TthGWCbMC6IXcnSm0Vq/pAx5M25RdL +lUW5jkuZ3fAUN+cWN1yPYjGq8J1wLWFUoQvSm4FRhS6E3mxfeis5LtfFPsBeuK4wqnAopqcPGdUP ++qByPGnT9EElboMPUpyupfqgGM9ev6javsxnNaOxH8fOy3yjaieMm5cpN1f9+82rN7iUW5nOL8rN +95upm1+OB0r7cp2O9zHUeftSF+3SNdQJurhRlfkR//ZtKybYGgf74Fq6nFHNOro3pqhfi4N9kN6M +yxnVR3SkenJcH0Jvti+9lRyX62L/bITOeKe+Hq6ryxnVrKN7Wor6tTh4DtPTh4xq9iDGkg+aeRj1 +F+XSdDkeKMdZ30t96Dy1LjPEPW5Up8Zzur/12LavalTrDdJNTjcwJqGWq78cW44HcsytzmPLQI0a +n89r7GBUH/kfTto7bjPTxDVNXjGeXL/ri3W4xwOt+kTMY6tuxNa4B3j43Bti1/r0e/+IUd1bRxG3 +JXYToZ/pS1VjDSIu2FtvW+enxGwc9+Y+t2J93dNGRnGbYiNuIdbv/yNG9RFtDHHrsbvqTbS0lPUm +ctxUm09jY9k0P8a9+ckobmufW9l67r3G4/f+MaM6aGNNl2KrjiJuS+wmpvktdDQdU67PcU9j49g6 +l4rbNJdb4x7h0XNviF0bj8/BI0Z1uzY66y2uWbrJetN+rs9MY8VS7CNsnR/FbIrdGvcgW/t8eDyT +crufexjVgTjHsFVZOZ4wihXeX9mP65yV+/Xa/t3zW8zjRjWTjOfoG1UvG8WlbcS+jFEtxjDdmHwT +I8bqpuXDDU5tyvHAONb2vY9Sl/sQiq31xg5G9TRMk9YSW+NgH1xLjxjVU/CIjrbGwfNIb8Yl/+nv +o3pDc8cTerN96a3kuFwX+2dkq462xsE+uK4eMaqnYKuOtsbBc5ienjeqps3Qp3OLGZcPvie1KccD +4z5sf6kPodior55siHvcqE7MZi3z8hHT8snxy32jOrqpN4Z/b21Gtdy0FFPKB4byOJ7/F4OYgPHE +TfDzxPWov8sbVXhNXEuXM6rwmkhvxiWNKrweoTfbl95Kjst1sQ+wF66ryxlVeC1MTx8yqht90OB3 +Ut2o3FF/8jC5rxr3iA8a4h43qplkPJvfqE5iIi5iy6+pwo79fpUxpnvo7jT9KbA82JPACWVANoBN +E3TvpiXyRMV2mJhprOpzrMVsPEehnGfoG6MK3wXXEkYVuiC9GRhV6ELozfalt5Ljcl3sA+yF6wqj +CodienrMqNqx8l/ocYXBB1ms2jgv44MU1zKezeN7dXFs2+/+T39PkCAwqvA9wahCTzCq0JORUSXH +QQdmRhVgT+QJ3OfIN6wa1Sv5IMVVwxkk4xm/kuayUVza5l9fv6tRFXb8MNH2XvstMWtY23KtX3w8 +9ybIafYD8CChpT/sofxfW8BJZ7kcYE8if0ln0pt0l8un8QDPkHUlrf1BjoODCV3pP44UvaUcl+MA +niFym5BvmBnV4hvGca1+VlG7oFUvtsSsYW3zeLYZVZlNo5jNSVk9zmVePjKyHvNdvlHViRvxr87q +BPlNBNgF05MSRDGqhvSXEwbArpT89UfJzyOj+mUSB7AXynGmsWJUPceV/3LOuxSOwN+pWrMVvWkd +6+XNeIAnUU7bYlTPwqoPUg4Pg1mx52tqRF/+G1VdRCP+1VmdIJId7Im/VDGq0AU3pMpnrV9UAXbH +NDc3qkP5LBZgB9pGdR4HsAfKaZ/TqMp0invH9+ri2Lbf5Z/+6uSN+FdndYIwqrAnGFXoCUYVeoNR +hc5gVKEnymmf06hmZDqF7Y/+aa+XjeLSNv/6ilHdxuoEYVRhTzCq0BOMKvQGowqdwahCT5TTPqdR +ldk0itmclNXjXOblIyPrMXyjup3VCcKowp5gVKEnGFXoDUYVOoNRhZ4op31Oo5pJxjP/SprNaI6J +uIjlG9XtrE4QRhX2BKMKPcGoQm8wqtAZjCr0RDntcxpVmU5x7/heXTrmn/5uZ3WCMKqwJxhV6AlG +FXqDUYXOYFShJ8ppn9OoZpLx5BfVY1mdIIwq7AlGFXqCUYXeYFShMxhV6Ily2uc0qjKdRjGbk7J6 +nMu8PMxpjuMb1e2sThBGFfYEowo9wahCbzCq0BmMKvREOe1zGtVMMp7dflH9+e2nn9++/fTzt39+ ++vnrt5903OKr1X3755+f/nl/r+hY5SXmy7zNS6LrNDTWYTz//PSex2P3osZN2wJ8FNeT9PVmz9rp +nhs4F57npLOiN+X4n01zXt5sA/BRXFd6r759GzRX1hPoDY5CerNtfaeydoOj8Dx2XR/03vZBWjNo +/832t/K1UZZRfVyDbZv+c/a3FDjhuhOEUYUOuJ7qS/Vszw2cC89z0hlGFQ7HdaX3KkYVuiC92ba+ +U1m7wVF4HruuD3rAqLaM6CNlP3+9XYNtMaotZhOEUYUOuJ7qS/Vszw2cC89z0hlGFQ7HdaX3KkYV +uiC92ba+U1m7wVF4HruuD7pnVG271YjeKw+KWTX8XmFUW8wmCKMKHXA91Zfq2Z4bOBee56QzjCoc +jutK71WMKnRBerNtfaeydoOj8Dx2XR90wC+qLYpJ5RfVdWYThFGFDrie6kv1bM8NnAvPc9IZRhUO +x3Wl9ypGFbogvdm2vlNZu8FReB67rg/iG9XXYjZBGFXogOupvlTP9tzAufA8J51hVOFwXFd6r2JU +oQvSm23rO5W1GxyF57Hr+iC+UX0tZhOEUYUOuJ7qS/Vszw2cC89z0hlGFQ7HdaX3KkYVuiC92ba+ +U1m7wVF4HruuD+Ib1ddiNkEYVeiA66m+VM/23MC58DwnnWFU4XBcV3qvYlShC9Kbbes7lbUbHIXn +sev6IL5RfS1mE4RRhQ64nupL9WzPDZwLz3PSGUYVDsd1pfcqRhW6IL3Ztr5TWbvBUXgeu64P4hvV +12I2QRhV6IDrqb5Uz/bcwLnwPCedYVThcFxXeq9iVKEL0ptt6zuVtRscheex6/ogvlF9LWYThFGF +Drie6kv1bM8NnAvPc9IZRhUOx3Wl9ypGFbogvdm2vlNZu8FReB67rg9a+Ub1EVoGNVPMquH3CqPa +YjZBGFXogOupvlTP9tzAufA8J51hVOFwXFd6r2JUoQvSm23rO5W1GxyF57Hr+iC+UX0tZhOEUYUO +uJ7qS/Vszw2cC89z0hlGFQ7HdaX3KkYVuiC92ba+U1m7wVF4HruuD+Ib1ddiNkEYVeiA66m+VM/2 +3MC58DwnnWFU4XBcV3qvYlShC9Kbbes7lbUbHIXnsev6IH5RfS1mE4RRhQ64nupL9WzPDZwLz3PS +GUYVDsd1pfcqRhW6IL3Ztr5TWbvBUXgeu64P4hvV12I2QRhV6IDrqb5Uz/bcwLnwPCedYVThcFxX +eq9iVKEL0ptt6zuVtRscheex6/qgHf/p7xr5GmyLUW0xmyCMKnTA9VRfqmd7buBceJ6TzjCqcDiu +K71XMarQBenNtvWdytoNjsLz2HV9EN+ovhazCcKoQgdcT/WlerbnBs6F5znpDKMKh+O60nsVowpd +kN5sW9+prN3gKDyPXdcHPWlU18xpJl+DbTGqLWYThFGFDrie6kv1bM8NnAvPc9IZRhUOx3Wl9ypG +Fbogvdm2vlNZu8FReB67rg/iG9XXYjZBGFXogOupvlTP9tzAufA8J51hVOFwXFd6r2JUoQvSm23r +O5W1GxyF57Hr+iC+UX0tZhOEUYUOuJ7qS/Vszw2cC89z0hlGFQ7HdaX3KkYVuiC92ba+U1m7wVF4 +HruuD+Ib1ddiNkEYVeiA66m+VM/23MC58DwnnWFU4XBcV3qvYlShC9Kbbes7lbUbHIXnsev6oFf/ +RvWXP3/85fe/f/zlT+P3P3/UcQvV/fn33z/+/f5e0XFt82Uc/7LoOo3beP7+0Saojuf3Op7f520B +Porr6Xd7zv7Mz9pZnhs4F57nlM+kN+nul1TebAPwUVxX0pi0VvSmHIfe4ChCb6azojlbx0b5LBbg +GTyPXdcHvbd9kND+DFvPjvanx7Gf6v/0/V8cv1fFe8b7ol7f9E+BGNX5BGFUYU8wqtATz3MYVeiC +6wqjCt0IvZnOMKpwKJ7HruuD1oyqrV9HBrR1fK8uHWNUNzCbIIwqdACjCj3xPIdRhS64rjCq0I3Q +m+kMowqH4nnsuj7oI0b1Xt3SsW0xqhuYTRBGFTqAUYWeeJ7DqEIXXFcYVehG6M10hlGFQ/E8dl0f +tPGf/pZ/vitsPVvLtR/k46j3Mv7p7wPMJgijCh3AqEJPPM9hVKELriuMKnQj9GY6w6jCoXgeu64P +2uMb1VZZ2seoPsBsgjCq0AGMKvTE8xxGFbrgusKoQjdCb6YzjCociuex6/qgZ79RzazEYlQ3MJsg +jCp0AKMKPfE8h1GFLriuMKrQjdCb6QyjCofieey6PohvVF+L2QRhVKEDGFXoiec5jCp0wXWFUYVu +hN5MZxhVOBTPY9f1QRuNav1GNZXV43vl6bgYVdv6mhij2mI2QRhV6ABGFXrieQ6jCl1wXWFUoRuh +N9MZRhUOxfPYdX3QI9+oynSKxvHof2xpAt+oPsBsgjCq0AGMKvTE8xxGFbrgusKoQjdCb6YzjCoc +iuex6/ogvlF9LWYThFGFDmBUoSee5zCq0AXXFUYVuhF6M51hVOFQPI9d1wc98ouqSMazGtFp2cI+ +RnUDswnCqEIHMKrQE89zGFXogusKowrdCL2ZzjCqcCiex67rg7b8omrbD32jOjkuRtW2vibGqLaY +TRBGFTqAUYWeeJ7DqEIXXFcYVehG6M10hlGFQ/E8dl0f9MFvVOO702DxG9UUyy+qG5hNEEYVOoBR +hZ54nsOoQhdcVxhV6EbozXSGUYVD8Tx2XR/EN6qvxWyCMKrQAYwq9MTzHEYVuuC6wqhCN0JvpjOM +KhyK57Hr+qCdflFtxQT8ovoAswnCqEIHMKrQE89zGFXogusKowrdCL2ZzjCqcCiex67rgzb+olr/ +aW8qq8eT8tk/A/bjYlRt62tijGqL2QRhVKEDGFXoiec5jCp0wXWFUYVuhN5MZxhVOBTPY9f1QR/4 +RVVGdPqL6sycCo+LWH5R3cBsgjCq0AGMKvTE8xxGFbrgusKoQjdCb6YzjCociuex6/ogvlF9LWYT +hFGFDmBUoSee5zCq0AXXFUYVuhF6M51hVOFQPI9d1wd98BvV0XGrLI4NflF9gNkEYVShAxhV6Inn +OYwqdMF1hVGFboTeTGcYVTgUz2PX9UF7faMaZR4b5jTHFaNqW18TY1RbzCYIowodwKhCTzzPYVSh +C64rjCp0I/RmOsOowqF4HruuD+Ib1ddiNkEYVegARhV64nkOowpdcF1hVKEboTfTGUYVDsXz2HV9 +EN+ovhazCcKoQgcwqtATz3MYVeiC6wqjCt0IvZnOMKpwKJ7HruuD+Eb1tZhNEEYVOoBRhZ54nsOo +QhdcVxhV6EbozXSGUYVD8Tx2XR/EN6qvxWyCMKrQAYwq9MTzHEYVuuC6wqhCN0JvpjOMKhyK57Hr ++qAP/qI6MqJGNbKpLMojll9UNzCbIIwqdACjCj3xPIdRhS64rjCq0I3Qm+kMowqH4nnsuj7o1b9R +/fL2w5e3v3749a+/fvjyq6HjBr9a3V8W89f7+w82oIKOf7W2rfhXR+N5u9B44AT8+vbDm+lLupP+ +dNyMA9gJ5TPpTbr7Fb3BwUhjJccVvfEuhYMxvUWOY+0GRxM+KDzD5X2Q1gxvO5PXIf78zv3n7M8K +m4FjYoIwqgAfJBZx0pmetfzAAhyA8hlGFXqBUYWu+EIXowo9CB8UnuHyPkhrhpbZvMdam7wO8ecX +o7rA6gQB7I09lBhV6InyGUYVeoFRha74QhejCj0IHxSe4fI+SGuGltl8hrwO8ecXo7rA6gQB7E0s +4qQzPWv5gQU4AOUzjCr0AqMKXfGFLkYVehA+KDzD5X2Q1gwts/kM6jPWIv78YlQXWJ0ggL2xhxKj +Cj1RPsOoQi8wqtAVX+hiVKEH4YPCM1zeB2nN0DKbz5DXIf78YlQXWJ0ggL2JRZx0pmctP7AAB6B8 +hlGFXmBUoSu+0MWoQg/CB4VnuLwP0pqhZTafIa9D/PnFqC6wOkEAexOLOOlMz1p+YAEOQPkMowq9 +wKhCV3yhi1GFHoQPCs9weR+kNUPLbD5DXof484tRXWB1ggD2JhZx0pmetfzAAhyA8hlGFXqBUYWu ++EIXowo9CB8UnuHyPkhrhpbZfIa8DvHnF6O6wOoEAexNLOKkMz1r+YEFOADlM4wq9AKjCl3xhS5G +FXoQPig8w+V9kNYMLbP5DHkd4s8vRnWB1QkC2JtYxElnetbyAwtwAMpnGFXoBUYVuuILXYwq9CB8 +UHiGy/sgrRlaZvMZ8jrEn1+M6gKrEwSwN7GIk870rOUHFuAAlM8wqtALjCp0xRe6GFXoQfig8AyX +90FaM7TM5jOoz1iL+POLUV1gdYIA9sYeSowq9ET5DKMKvcCoQld8oYtRhR6EDwrPcHkfpDVDy2w+ +Q16H+POLUV1gdYIA9iYWcdKZnrX8wAIcgPIZRhV6gVGFrvhCF6MKPQgfFJ7h8j5Ia4aW2XyGvA7x +5xejusDqBAHsTSzipDM9a/mBBTgA5TOMKvQCowpd8YUuRhV6ED4oPMPlfZDWDC2z+Qx5HeLPL0Z1 +gdUJAtibWMRJZ3rW8gMLcADKZxhV6AVGFbriC12MKvQgfFB4hsv7IK0ZWmZzjXvtVCe8f50Lo7rA +6gQB7I09lBhV6InyGUYVeoFRha74QhejCj0IHxSe4fI+SGsG7VtZQfthOBfK5CULUWb1uWy0DvHn +d+4/Z39W2AwcExOEUQX4ILGIk870rOUHFuAAlM8wqtALjCp0xRe6GFXoQfig8AyX90F6vnRs5YW/ +fJuPvezN+etd/Vm/Zf/Gm5cN6990DXpXWBlGtcHqBAHsTSzipDM9a+lhBTgC5TPpDaMKPcCoQldM +b5HjWLvB0YQPCs9weR+kNYOOw6CGMV0gzGgxptZuOFb/wzmivK5F/PnFqC6wOkEAexOLOOlMz1o8 +rAAHoXxWXhjK8egNDgajCl3xhW55p9q2GQOwE+GDwjNc3gdpzaBjGVGrK7+ujszp7Xj4RVV9DYxN +qvY9Rm1iLeLPL0Z1gdUJAtibWMRJZ3rW4mEFOAjlM+kNowo9wKhCV3yhW96ptm3GAOxE+KDwDJf3 +QVoz6NjK135RfRMjYzowPR7Wv34N/vxiVBdYnSCAvYlFnHSmZy0eVoCDUD6T3jCq0AOMKnTFF7rl +nWrbZgzAToQPCs9weR+k50vHVt7+RfXG0i+quayUq49Yi/jzu8GofvvXl6/f/vX127Atxw2+Wt23 +9/d/2UAq36yNylvxr04Zj13/aDzGbTxfR/EAzzHoSc/ZmZ8bOBeR59byO8AeSG/SWgG9wdFIb6E5 +9AYHU96nyTMU33Bi7ZXx2PWPxmPU8Wir8VnZF/FtgperXqjtmOF+jbD+hrWIeywd17Jg9jcErC1k +Pt0EYVRhVzCq0J/Ic2v5HWAPpLdiGshx0APpLTSH3uBgyvs0eYbiG06svTIeu/7ReIw6Hm01PpUZ +1ZxiVPuwOkEYVdgVjCr0J/LcWn4H2APprZgGchz0QHoLzaE3OJjyPk2eofiGE2uvjMeufzQeo45H +W41PZYbMaezHcS4rRnSF21oEo7rK6gRhVGFXMKrQn8hza/kdYA+kt2IayHHQA+ktNIfe4GDK+zR5 +huIbTqy9Mh67/tF4jDoebTU+lRkY1c6sThBGFXYFowr9iTy3lt8B9kB6K6aBHAc9kN5Cc+gNDqa8 +T5NnKL7hxNor47HrH43HqOPR1o1o/JPfr8mYYlQPZnWCMKqwKxhV6E/kubX8DrAH0lsxDeQ46IH0 +FppDb3Aw5X2aPEPxDSfWXhmPXf9oPEYdj7Yan8qc/I1qLhfzb1J1PCmz/oa1CEZ1ldUJwqjCrmBU +oT+R59byO8AeSG/FNJDjoAfSW2gOvcHBlPdp8gzFN5xYe2U8dv2j8Rh1PNpqfCozpuaUX1QPZnWC +mkb1CPOKIf4cYFShP5Hn1vI7wB5Ib8U0kOOgB9JbaA69wcGU92nyDMU3nFh7ZTx2/aPxGHU82mp8 +KmswN6rD/RkzLrutRTCqq6xO0NRAqjzI5c9wRJ/womBUoT+R59byO8AeSG/FNJDjoAfSW2gOvcHB +lPdp8gzFN5xYe2U8dv2j8Rh1PNq6EW39k9+5UV3nthb5LkZ1YuxeFl3n15UJGmLqmKwsJkIfEt/u +00fGbG3UXveu2Sdck0Er80XcRzQEsIZ0NeS5qreiOfQGRzG828Y5btBhOx7gGQZdjXJcKgfYjyGP +SWPfbL0+8g2n1F4ez2O/qJ7vG1U/aZko37468RItxz6G8XiGMZWJCiYTUiYp3auY7HvUROrk/+Ws +Qu5T9SWm3Recj9tchs6m5QD7UfJNHBe9eV6LMoCdaeW4kQ4BdqSlt3E5wD6M8php7Wo+aDqe4lXc +i2Sj2vRCqazcixV03sHrdDCqef/M5Bs4LR8m8zYJmWpWdV8sLrddYuhzPtm3Pof+BuPb7gMAAAAA +APqSPYNoxZyNPA7tLxnV4lPEgo952V9Ui5lT+7Nh190aT/mvDV6/ZFKDbCw3cUSfAAAAAABwHLY+ +v54Peuwb1exhpoa1eKgVdM7Ba/YyqtamDihO+vLoOjf82+zTjAcAAAAAAI7i0/kgbTU+lTn8/6h2 +ZHWCMKoAAAAAAJ+eT+eDtNX4VGZMzekJf1E9F6sThFGFIzB9FY2d9LmBk4HeoCeuNzQH3UBv0Anp +7FP5IG01PpU5/KLakdUJwqjCrgx60nN25ucGzkXkubX8DrAH0hs5DrohvUWOQ29wMEVryTMU33Bi +7cWzMxqPUcejrRvSi3yjei5WJwijCruCUYX+RJ7DqEIPpDdprYDe4Gikt9AceoODKe/T5BmKbzix +9sp47PpH4zHqeLTV+FTmLP6iascv/4uqtuoj/v/6Sp1v8/+HXz7HuM08ppyz1A/70S6uN8pv/aT4 +0XG+VjcMtq+y0XiM23keM6qtawhUfusXPif7GdXQ7khf9Xh4lnR873mGz0Fo5Vk93PLbLU+P9IfW +wAid7KoJ9WmE3qru0By4NvbQ21Rj0xzH+xSKRpJnKL5B+nBthBbjPTnW0e3dOWjp5kWWY4b6oW7Y +j2sp2k/l4368fHScr3WjD9JWbVRmTM3pKX9RzTG1zojy6U1abJMX9So3buXxv1R1a1Ouu5QNN7zG +GxE77iPKd/5FtZ7Pr0MTWPuDz03StPExXdz6CM2W59br1WftX+Vo79MT+egpPUhX0Y/68H6q3ma5 +Ez4roYnCbnoY572n39NwHaS30NwTepvp1vvS/m0953WTtvB5KHowLcT6q6WLHBN1Bdsv78oaf8td +rTbLeU/lG3xQ+A8jYsd9RPltPTk+j/ep61GZc/JvVBduXPSh/Xw8anNLBEPMbYJicMN5tk5Qvq5b +/dD3QD5vcDuP8Jv4ILnfem2NuJfgla/tciRNT/X5AQaduWarzj6m2afRubeMZ2sc7Ebko7X8/jhL +OboDW3W0NQ52QxqQJgrP3PuYOyf6rVrbXc93iOto1cH3JWnj+fwzrC9H+9bnbT3XOce1ylv0uiYo +86/8M/INI+0t+aCbnubz5W3C0I3aDNqueS+1bZ6nlO3og7SV7q3sIt+orhjVBXK/tzbtCSp4WVxD +uW71pX5sv/QjFFdu6rjP0Xk9PojzDDHj+G1Ym3wd9dyd0LkyrZhgaxzsRNK0cdPZcww6du321psI +Da2dN8etxcJuRJ7bSxvqr+B9qu+u2tM5Mq2YYGsc7EbWhvZbMatYu3iHxns0a62W9ZpXnSdo1Qdb +42A/7F5XzT1z33M/iaI5vV+1llT/z5xjK3GetXPluLVY2AVpIHLQKD/V+/8RHxRGddpm2QcVXXq8 +UEzoIOoK2n/GB2lr9WFEizkV1mc2qAU7PvAX1bf//vIm3oetjhu8Wd3b+/t/20AqOh61STFv6i+1 +b6L4whCv/VzfOueUfB7tlzIvH/oe91lp9D20a8Ruxc83ugfP9AeXY9DkkzprELoL7aM7EIforfSZ ++kZzECRdPKuH0k/NacPxLu9puBSht2c0EdqKddvQ5wB5DYKiCddJ1UvRTIpLMSUPpvZNFF+4aS/X +t845JbdRH6WslHvdpM9Ko+/5eGwMVrZGabeF6fWUY+sjl83/lgLHtG6WjqcnjJhNEyTUJpHrdDw6 +n19jvpZ8njxB9bo8thBlqXzU/zTmEdSfn7/259fbjIdPSdGu6eLDOjOGPgZG+lJZaM9Ae7CH3sRI +c5PyW74b18EnpOpkv/xT+nONRW5DaxCE3p7RhNqSx2CNojXXyWI+SjElD6b2i6hNItfpeHQ+z60l +tnEe7ZcyI3JwxN671tr/LMb6sbI1av9rTO+JzuVjupXP/pYCx+SbkgdU26je+hhf0LiPR1m8ublO +5drPsaXcScej8Xn8dDzT8zxMuZZ0zlYMfFqKTos22/VbGem99Jf3223g87GX3qZ5rVKOh/pmO/hc +FJ0MWtlbE6VfchxMCL09o4nah/TVzHHCYtHdp6boxPQw9Q1VF0VHQ0xFdVF/j4W4UX+T+ps+7Vhx +ObaUO6Pj1IfHT8czjrHxWdka9RxrqO/JNRxuVEcDOhNXGw+cgvIcTZMFwEGgN+hK0dvAvfUEwF6E +3shxcDRFZ1fyDVvGs7tRtfhR/36O0T2c/S0FjvmUEwQAAAAAAJ+aT+mDtB/+cC8m14BRXeJq4wEA +AAAAgN35lD5I+3szuQaM6hJXGw8AXBvlrMRivr5Xv1K+2reI+syd+uiz9is8blY2bd86zlhZ6WNa +vka0c+L6gtG5JnWz+hY57l68193tN9Uvxq3Uzco+yoa+Fq9jQsSV2Eb9iC0xAAAHUvLVlXzDlvFo +f28m14BRXeJq4wGAS6PctJqrUl6bfgsyaj9pq+OcD2ffkQSKs7oaV2Lv1Nt+6XtSNsTl67Ey7yNi +o+98vHU8a5R+vW20z+eqfXp5LSvlaauYaf/TfkpsI84o5/S4EjuJq+fyuoFxWYlN/eRybZf6fgj1 +7+Q5GNf7uTONe1T6yfV1fxyXiXOXmDtxAABHEbkrcuo0356OrePR8dIYo26pPliKK2XT9cbsbylw +zNIE3Wvz0iyM57SCA4Brk3KWFviPxii31VzXyHNRv5rXR+eY1987j45zma5xiLtday3z9vk4X1ep +s7LWebagNq1+y/h0HGU6np5nVDa+z+KRa7s3PpW3+mi1iXiVRby2W69jidKHnW+pvcpb11na1fKh +rl63bcd93MazdB4RfdyLAQA4hJTTAh3nvH0qPJ9OxzPOr1/uo9igVZ+pcYlSNr2Hs7+lwDHlBZEG +Mx7UCZmM4/TjAYAT0s63TVIOVtulfK26Zi6LtqW81W5udFrkd8F6P0NMs79SfhvPEHdrN7yXUowR +bSNW5UvXsYba3K4z+mzHzcY7Krtd1902C4zGl2Lv9dFqo5goK229fRzn9o8w9LXch8qn5x3mzajl +Xmf7MZ5x7G08paxxHjG0uR+zxK0tAMAH8Pw15ZbjTsZkHLexeN7Utuw3TKeIeutre6xiEqVs/O6z ++OnfUuCYkuQnAwIAgI/z0II75eDRi9H3hzw+LMYjJuf0KF86r8pufc/rKzrHSj+1Pm3ztRQUl68p +szCe3Hfm7vUuoDa1faDzNuLinPk8t7J2m9p3oz6Tx5fv0dJ5l9qMzlna+Lbsj9s/QrkO9bXQR5w3 +zlPifFvPH3W2jfGMY/N1j/vPDG3uxzRJ5wAAgDazHKz9YMl4TslxrdhJbt7NqBasfnhRAADAszTz +7BJqEy+T1DYv8odcPpQNL5whtuAxo/NGXSG/qG7tmrEWM1zHPE77qpteZ25/i731cyubGp9hP/qM ++Fw+Os9G8nnKfUvlpT8vK8fT84zKbtde6wpDXW2TGOod9REodlLXGp+Op9feKtNWZc3r3MhwLfNr +yPW3c6Q6tUvl0U/rWmq5Ua+9QfQxOs9W6jUAAECLUf6N48yaSQ2KSW2Ul7pEKZvm/dnfUiAAALwK +eolUQ1AW+/5yScejNqV+HD+qN6J99DslG4roq0XtP50zl4ta5u+afO4cV/bzce5vdD0LBmkDcZ7c +7/xcFlvKJvc98Dat/sXQ30CrLvpskdvezhdlfuxlpU+Pu7X3+DguZeNreJQ4v841qy91fp5M4x7F +dc1jx3GZOHeJuRMHAAA7Efl2b2bnwKgCAJybkqPHC/bdFu7Tvib709hFUl9RFv0GNW4SO4uLGI+r +5PJcNy1fw9osnTvKI64VU+ufIfflLPWdzx2MYqLMGcWJHPsMa/35Obec96Hr2xoHAAD7EHl3b2bn +wKgCAAAAAADAFoo3PIDZOTCqAAAAAAAAsIXiDQ9gdg6MKgAAAAAAAGyheMMDmJ3jOxjV4duT+B9I +eG0DXK+10o77tOh+ZFoxYhp3L3YrW/vcGufUb6MadZXcV9CKAwAAAAC4Eq118B7MztHbqFofZzR9 +2bC26j8dRRPO1rIob5VN2VKX63NZlG8te4RW+1YZAAAAAMAVma19F/5/UVvci52dY2+jWmIbpPpq ++HL5q1Ove1x2GfJY17jXLtdNWYrL5bl+qXypbSlzXU2ZxSVy3RqlTUO7H+1P5LY9aF1D0IoHAAAA +AGitF8N4VqKusSafxs7qE6Vsuuae/S0Fjim/OL7f/n/aptRfIhVn+2c3qmvjPRsay+b5UNyetM6x +RKv9s7TOs0Sr/ZRWuwW+h45G/7ElUNn7cC3aAgAAAABobVjXh7GG1LbsT4xnEPXh9zbFKiZRyqb+ +ZPa3FDimteCOgRWireKK4bvf38tRr3tyPB3nWXlkPhQX3KubshSXy51yn1t1ud20flqXuReX69Yo +bRr36on+jtbR7JlsXaPKJokJAAAAAKAyWkM2TOcIjy9tWvWJGpcoZatG9f2/Cu++vYMthGfc6r84 +tj+rOwflmut1+1gAXo75s5ZZfJajLtOKAwAAAIBrc3ddGGvNNbbGTvu27eycQn9fvvw/NhFQYVUu +J1cAAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image002.png Content-Transfer-Encoding: base64 Content-Type: image/png -iVBORw0KGgoAAAANSUhEUgAAAu4AAANuCAYAAABJ2oymAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAS +iVBORw0KGgoAAAANSUhEUgAAAu4AAAOGCAYAAACzzRVJAAAAAXNSR0IArs4c6QAAAAlwSFlzAAAS dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAAP+QSURBVHhe -7P0vnFvH0v8PKvv6AWmZ2EpQYTPQ0AMdZkOHPYYJe7LsftneL/tetrksgXnYNbRZBtpwoM0iKC0T -Wwlt9v3+nG7NGVnSOZoZ58a5Osl4RjrndHf96eqq6uqq/+t//+//Pfh//b//H//3wXA1HgwG/z9+ -ztcZA2cMnDHw5WJgw9Bn48Hk5ZPBhr9X/7oZDJarwWDI997LP7/fwrf+ajAYe7NceancH3PPF1u3 -P0FM2mwey5XP6/yfazQqn2lr1NFWu3GGfNtI+0ZpJ7fpxObzDx3b50l9bAbD2WQwfvWE1zeD1U/v -grM78K4KvgLHTh/ecgwb7rmCVBxnXIxzyBfCMcrAboHwo88HX7YJvu/AwXt+Pob3Nkraf2/xX8a0 -i9NRC8D7tH+o3/P3ZwycMXDGwOfDwP9tsBmv/vf/8//z//2/Pl8f55bPGDhj4IyBfzcGilZdlOjx -k+lg+GRSFEIUO/7ffFgMVtcfG2X9cjoYP7kcDCdV6+br6+Vg83HRAPKJokf7+Y5/ij44eTYbDC6m -g8FXtEGT469G0V0Hi/lg9W4x2Cx957jG6N3RC8Z6SVtev9MW7dje5uOc3ngC46QxChodeIXWvvlt -NVjdzDFUuvvopExQh2L/ZDYYXzEODQK/AY71m4/eGYy/ezIYjhnDHBzar/o6zw7HY2Dl83w5GL/g -XeEA5rHGAbBs3i/zvLQYP+VeYFsDB7hC308f73huuezEVTOohgRjcN/gjC9oP/gugA7B1/jqcjDG -SFutwNP1fLCZF4OuExnnB84YOGPgjIE/BwaK4r5C5lXx9ucY2HkUZwycMXDGQG8MbLWz8sZ6hRKI -kjhcD4YjlLNx8wAfB9PL8WCIgreZN97j4ZPxYD4dD5Y/v+c+shCde4a3Xm149QblboMCOtyRj0VR -HKkkagigoK5VVDd66jdNH1cXfM+ndx8HI9ofPKPNyWSweu0OQGlvn/6OATGajQaTJxeDkYqxurkK -5qRxQa9+5ufm42CsIvriEoOgUUKn9LF+irLP9xv6WB/qo+VwHozoC5VfXImMTSyAW1hHF5PB5BVw -zKaD0Q3GC7gZPrsazCe88XY+mDD+KfdXC/D5vxgH+JuhuI9Q9uNqn46Cy43wo0SvfR7jaf01DaUv -lPWvgePZLhxPBqsJSvdrzJEYIR2cUO5LJrsazGaDNX+vMMqGc4jMzskImkw1qlDaVerhjk/p2pvh -zg+eMXDGwBkDfywGIrO4zh73Pxbv597OGDhj4HNgoHq9OxwQeqvXKJlDtOH1ejlYXn9AQZ4NZi9Q -qtUjf3k/GHxA236JssozqznPo/w1YR+tgfs3ntvhDC+y3uUhCqYKIw7ieJpR6GfPLgYb+vjw+h2K -OJ9/fDaYfTsZfPyIkr/kmWOXOnraKwq7SjuKqILboMYVHu7BYoKSihL/YTWYv7GP6eDif70cjEsf -g0N96O3XkGnWgLuX/QKDsDZKOx5q8OOOxPzNh+xQzP42HUyvpoP5+5vBCnyOV+xQFG+8Ixwx1qHj -HTJQ8LJCOV+BZ40gr9kPzwbTHxp8z//Pm4x/+lwvOJ+FYzobXPz9GUbJePARz/xBOPYMX9xvaGjy -DPiwp9rROeJzzb3lG4waFHftufN1xsAZA2cMfGkY+FRxPzvevzQansd7xsCfGwM1vLkqvm0Z0451 -vi8UKK8jQlwGi/VgjVKcOO8DStnaWJOVYS8ojjyzRplcLjaD8T8uB1O816vrGzzxNFC8zhvu7b3S -J5ognucV4R+bxMWXJw25IcbbkBgVxQ2u35FaaV9FUSMBLdNQEhXR6Td69fm5WQ4WHz6UnQL6Q6lf -M06c3CirfHbc28D6A8g0umaKx9sY9JVWRqOk37mMNx98lV2J8QuMjw+bwfL1h2LA0M3P7zBYmq42 -/tQ2aHs8NqSGP274Elo4/oTQML6NeBG2BNFXXPH9QkOm4IrnEli0ExJfQ2E6WcS2aW+wdoCtmPoK -ZqwD6G9Uz31i6IW1HFnIWGqcvn83mxa73XYO+fzAGQNnDJwxsBcDB3Ya93jcG6F9vs4YOGPgjIFH -wYDKzUYJFJdxc3WFPvTp2LaI1TD+ekx8BL5UFHdfNLC8alEdDanwLovCqye6DHP7ls3sKnh+Z5QF -nvbZN4R4AN9cXV1Pu1fRFwMi+uP45VM80rp78ZcT0jLQg38MB7y4Vht/bXw3fxt3/wx1lu82v3xE -US8KMP2rKY44XDp58XQwNt5dfRxFea1y2sZxcMVYCN8Zo7gv2VUIrnxmi6u7iq6x+e46rFHw14Tj -1GvteQDHBU1HFwU52E2TF1dNGDx9f/yVZ4RVI0AcXzFGwn8GYwbB7oNe9tU7PPiEFiVeX7RBwwqH -hpBe8bVG1kOMu9ujCp8ywqk8GF4o6+NdVJW2aVBctuySPmx8fuaMgTMGzhjYi4ED62WjuCOTRxcI -HQ9uTSPJz1g8Y+CMgTMGHgcDUeb0dCKFVHhQNBPG4KWek/hwFDS9pX2VKQWaepIHJwkRMZZ5hcfZ -2GrDV/SEGzri9wO95uX5TwCq2WTUS6sn2DHUcewbj995yBLlc6NC6xiQm54USoaVKj7zm1j3KXHc -YzRbxrDifnTJLjj1pKv0DnWi8HB53rjtwFIUx8h1/p4SI54x4G1evubgKLgY6oDJWD1XinqccWLg -/EasOcr1iNh84/vHhLSIqzUHcNfpoLk8RBqdXjgMHK/04Tvtiq2LmXCg4fhiMHxpDA9hO3+/Hqxf -/9Y0goc9faO0j6U7xsaIX+4U5IyBYwwsjBdaTJ+5BqHYczhVL3/gyA7EY10gRCNqZDxQz8thYiBq -WIivO+sjoVSrd0vuNbiU786Ke0+8nh87Y+CMgW4MsD6uPpQd0vJ08bjjGUFYTr5p4joTbBjJ3d3m -+YkzBs4YOGPgKAaMw1b32qAs8fc4ntfbN5aLopV2KbP1lapIqYhyyDFK+9u42hObrjIfZVBls3q3 -Dw1QGYdOnfEc8876ftVp6zgxRtYaC/tCc3jGx/VUb/BQry44ADp7kvFuxniSzZbidQzmGufeHrsG -Tx2n+vxqlAwsi/cA8lSFVOVRH3ZRdj0sa8z5E/q+xGuPp33FodLoyi9VpgFeN7m4+nhX4Dce97IO -HMKNXn76TKiOyrdhR4YPmUazpNQkaAg6rAlVZ50hA43x5+MrDp8+nRB+4yHR5trg2V+8X3A2QHow -Hg7ZDj+Apxz4fUzl/RAzHPi+0gi4xuwWtK3A5aJur4BLdjJyWrd1uPfEns6PnzFwxsAZAw0G4iNo -5OBm4U7rLWJaoTIj5PdosFB41y3fMwLPGDhj4IyBh2IAZd0Dg5E8C5S6RJSgrkV5R9HRG9/XTamW -hwc3nnUzk+A93iQkQyFHW4ZgzFHi9ZB+00ffu/Uwb8Hc8WpXRXmEJz/666G49zaebEOwDCO//m0w -Nv78W5RnvNxLMq0Mip3Ridpd50mNsS4ozIFLktSs/nVNKsiLwQUHP2ccKJ0jx9dmgZng8dbAUWnH -yx5cGUrk4VGUaQ/fuvsRXOVSS21r6X6WUNLPeCD+xPAasaA05wn4Irna2UnA058Qb1MuGqn+9t0W -V44l5wB4dnJB1hkP9Wp0hQdEFiE5ZuAh5/78t+Vg+r+ek4cfOKCnsfK9r2pENU3uv0pkVOeux+7b -8OnKDEMq5mWnZs25ilwewHXd9Puz4t6bXOcHzxg4Y+AwBkxv26T9vftMo7h7oGiI9wPhszH28Z3b -nHUL84zWMwbOGDhj4LEwQFhM0pbsXH297ca0V087SvuStIiJ7igKoMpkQkxmX6Gs8qXtGv5Xw2XU -s8x2UgWhIQ7mEffSgKiKcR0Pbad5BOiELDEquivizKPE6v2uOwetHYRtWI7f2Y7hLjXCwvb77mRW -/dl3HW8dc7Tj2nbzOwdEHb/pGvW6pz9w4AFTYuSjtJN7PV4bvjdUxcOpxsuP8IKvv25Om5Yw+aDD -kJoxbY7AX9MG6wJhK2PSO04xPua/0FjGFGuq8eZjoFzS5+zbCyKj6JNDquZ5t/8hBobvu/tSQWpw -AQAaD/zKuMy+qT3ALsCIAQUNTRc9LuhiXnl3jredtF9rGWq92xQZHp71DMV+3nUHhYih83XGwBkD -Zww8DgayxlDnAvnrktV2p9w5nJq1QMfKo8YUPg4M51bOGDhj4AvHQEsZvgNJH4VMJcsQaYoSGS++ -JARmrfd4V/mKw9gwDf9QorXEHV+ZqrBJ9M3fVgxVCSW1oXHKq5/Nr44MNFZbLRIFcHjJM3qJX13F -W776UeW+Cd0w5tnYcGOzk+ccz8j6hgFpXKDYKmzta4zCPzEkhVc3hn7ope5zJff4JDndjRPfGLfP -Z88DjNKHCrrjJKTELDd68rnM9OLYx88Y+9eM2eJINcf8IdkuusRl3m3CUpICkj7MtjNNekzGkM9k -5CHu0hCZFDViYfG1jY4fQoM8WDvEqz7m/gp7YIrnfDzH6HlNWAxB8+OLJrRmjWEwYtwTQkz8vXFb -WFvL0KVD3vIuvD0B7+aRJzd8MudUVBcDLOE3FpMS1GT6aSnyXW3vu195t+LvPm2c3zlj4IyBMwb2 -YiCelk/ufJpVpnqJzmg8Y+CMgTMGPgcG+ijqu/2qdJuRhJ3BW6UdpWtfW/tiw6t+ZtpIlUwzlqDU -pRgQRoAHOrPTaPw9iqTx1ob1GK+dg6782hB+kkqbXiqYHEZcDwhHQd9XCVSJ1SObYkso/vbh7Umq -juJ1xjgwb3y8tl04qAaJbap9kgpSb7n50eNYSR/NmAaGxMSTj+JMH+6cNjsNHkTFINkaOI1Cfufa -h6v6ALHlyWtvUxwaHZOWUsNnjdKeXOgMaDK7JI6fg6aOw24Z1govuykd7XtDxp4Fn6fgaoQCX47m -DhYYSZu3IE5lHSNkXUN7GHhjAIAjYapGTi98eX5iRvErii/x/Ioqss0OSoGbcWbjQprze0nqz3jJ -u9puI+zYs6e08znm1bnNMwbOGPhrYeCAA+NcgOmvReYzNGcM/HUxQDyIMdrxlJ6SgaaNEWKSzdW+ -ulZhQ71UwSaO2owq24uQj4XRglV5RniuqH7q57Wn+4tHevMO5XRBW2ruenQNH1d5Y3wrwlJoVTWx -HHylnxxm7RsnU0ZDDvUVeRvv9OEtdVJSLvqTfr3wdi/IsZ7qelSDMiNLg6sTldOKCEN8UN43KOkL -PezZxBhyyBQ43Dngi6W55k09aSx6zVVPoaaFlUnt3/e4tfjQGBoNEq38yqDJ3T6ykCm4DX496Ksn -nhcW/yA+Po+fgq8mU83C3QXip5KPv312AhovfmlgSIajnhsff90JdYbsjIEzBr5EDJwV9y+Raucx -nzHwH4gBPbvJTKMCeap3szyvIrn+SKhLlDYU05qXWyU1SnfJtV6Vum1ceRMe087pnnh6D5kai5jn -mjbWKIVr48Gjt1cvd3m/79jreK30euO75SoVXAOHCnv6Lv0ahZNsNXw3FFflnVNx1eYt8JLiSB5i -1YBJ2xUmvteQKgWbKm5W7igYz152b5uxVsOId4s9E1xZfClJ8AuuHCv9xRjw0kjqM/4tfc1PX3Dt -+y18yz9msdnSvN7/D5xLZ5DPGDhj4MvFwFlx/3Jpdx75GQP/eRjoo8Tdwcq+vUaUwRo2eMgb3b5f -FchdbDsW31e5bLeTMbYUzoco0FE894S4tPuu46q42ZTnT8bVAXZqGxsVljt97ijXu8bJdlzlpd2Q -zWo07bb5EO4+BLt9P4QeDxnT+d0zBs4YOGPgETBwVtwfAYnnJs4YOGPgT4iBeM1bBxR3FVw/H1KK -9z27D8SqIB5Tkqsi/9goOtTnPRT2Wrqjc4hd+DrYQE/PeV+8HxvovjG2n++634mE8wNnDJwxcMbA -HgzUgn73PVxfd3o7Ds2fFfcTuK8WVsz29PZqts9NZey1/xkPbd31mm2fU3doLbRxBiWss90HH9vZ -IOg/1QRrn+X5fd9th8nz7X5OAPv86BkDXxYGMi82HD5MLMnDM4d8WdD3H63CRruG0JQBcele25SG -+5T/Q57qXS98HUFto4apt9NZ+syhz20I6s7CPqjannwXvLYnv8CW13Y9/IcwdAyO2t7umNs7M+3w -qhoOZF+7Ow5dsNT77fbuYYylGcd9iJa1/UP4acN8qP/z7kX/+XZ+8q+NAeZCpoMVlE1ycN/rI4Xs -SDJw7AzOWXHvidxtNXQyICQfvmkmPERFBZEVMZyeoTKjwtiqheXenabVH1pW1CeKfnlY+WjKNwuo -ty9TvLXXAu8l57HrEsVMTFvsM7WPi0taikDmH7I/LFM3ZHNW3nvS+/zYF44Bz2c2lZ7O1yEMpMBs -XWAUYMnw2MTO71P4DijRpqaMrNlRfNcm2FeMJanOp5pf7vN9RlBvtzN4JlENB1irF8vnahYcQuA9 -S5A+LbalPPRDzRJTvvOBdsXBvaiosJK0yJz1W0XfM661D2Foez7KOCOD7dP+/K4FR85keM8rBWpb -OGjBkbPEI54V/20tu/ZRx3Cq8l4Vcttpnb2utB1dNA1uYajtF3xYJMusP55D2OJ1F4HgLDTexy/n -mXfGwH8aBpxrKu1fnzpZdxBVzuYfQt9Zce/BWFHaocMT0ow9IbXbRIGWRUepNxxck+/4/RvKiCMo -n72YDS4tuc71e7MuIdRGg5t3HwZvyDSxIQe03vcXLy5I7TYe3OAV/Eiqt+phn5Cq7AV5k2eWuWUp -atqg2Anp0m5+m5MuGsOBlGc3ZE64fv0hbT2hzyvyRL8jU8MNPzNyKD8ndVsUedcUBjFH+N/8+oHf -GBlkihiet4t7UP78yBkDf0EMKFN0MOAZGpP3PJeCoih6FlHavCFzjJ54LyvVPiP/+deIsl/NwHOr -oavcTcitP3h6q8CpoKoMLn9BW/Qs8RW533k/SjT/Rjk10wxZecyAM7ECLmniVf5WZP0xB77jW7+n -DXLWO84pi+Haw7E0UPXR1WvyzxDjMzZVpdlpbvj8mg5pO99d0SawLCss+9bSCsol71yR995Ft3pI -+L28JjMOGXNG5J6ffOPWaoFTvPAxqUnfYFyQenPyjC8U/VWJpe/VG4bz3hSh4Psb8F09cW1vutk8 -yVYUXFBTYLf8wB169NEHCkzpE9wlm5HrlctM2ZkYvwLWQvvg7ZcGb9WOSw2AK+DBaNL+Xb3hfq32 -mzWNH2D1OY2C1TX3Ky77jPEvOK3OIJ0x8CkGirQ65D2Ik7fMSydg9aM0fuGDV2/FvfE41/CNPYel -vliaNTB1KbIXeMFffvcE5X0yuCZ12YIsC+YLfkFu4mmqCr4ZLNlnfkLVwBfPWAWQ8I38B3EbhB+p -2iL5+JhnvrsaTKjsOLVICbnplmyPuCjMKE2u8n91MYmTJPKRf97NEIwIWBX6qyeXFD203WZ7+yn9 -v3z+JNTR4//kG8ZEcZlffyJXMlk4LJjyinHOWGR//qn01UEvlfvm+ivRuotJj/DCra7S1cj5/hkD -fw4MHFGgVKytTmpRqQH1lFavSWGJc2D6VGV+QvFScpz/3CwoKu2z71HcUV5XE3K4/4yySprKXHqi -n6MgWqU2grTx4g/RsJfml0fpU1lVkU7uexqMl79405fX/MEQpi/JvY4MXOGcUHmfPp+xi0gbKMZe -4xcWwuKPn8lLz6+pCuPY9KC2z+dXFHx6sm6K36JE1u9Wb1Ssy7bzAXzE+Hipoj9JpdcFjWTMfB7M -VoO5eADcMTJ5hLK7ek1aSZT5KQaJTpK5mq3pMC169ZI2MCYsxDU2f//Y3Vg6FgzEy/i5bn3GiKK8 -XCwGM2S9Bb9W/7AN7ltwyz4wWBbv6QOcq2SvhnPGUZTjY4pxlVMWQ5eWX43oh7HtKO1T+nUrwp3a -7DIIIpVw1yz0KuPTFxALkrlrMGO9WgGbhljbaKtMPv6aVY41bv3ONakn6/d9rmdz58fOGPhTYqAq -7NnK3KOJb78zjKNA4Nw4orT7VG/Fnbon5NhFiWsbCH9KTJ06KKoTuogcsHBqiIyFWK4QqsKvt/vd -69+yUFwiuGcKV36WeM5XeLbfvcfrjeI8YptxjkBfEUezoJCItHty+fXgm1dPotTPSU12yYL2anA1 -+PmXdykX7tL2kcVKb3k8++y/XrOY/FYWsFC3upyqAC+e9ayjU3YFKOE+550bCpzYv9dTdgG+tlT8 -iKqTrqZHLsc5Kgp7dQyditUv7flmzsDfLrJUd/xkjrklfL7OGPhSMHBM8MPihvaZtnH1ETWasDqV -9tVPzUuXf8Oh8BFnxMTKpsihF42n2SJLoyco6d8RPvEjmmARI1HmPN2KomcRqpUKu4qqwsN6V97m -uyFe6c0EZXupu53v1adRVpdjvOwWXTL//dsmtmRVqqim2myKTxmHqAcc5ZF3p4xjjEGhvF3xrmWq -NECmP2gdNJ4riz0l1CbmwhFNUf1aJZ1XF7/SHmPYRElHgXdXAgMhlXVvaJQ1QKV9809kuvL27+zA -IsPnrAl6rnk0/hmNmwH+m9FLjIIV938EWRgpm+9RlulIo2b1huJUEGLq0Az9MWe/ee8xnFTaN9Bj -8R19oNiP8H6PXgOHYTPHFHea0hAZonjz1mD5K/jyHS9RiBEww0gS14t/NPSeQu/xK0tmcWm0fNes -MYufGQPryOBlYzh5xWirY7BwMbsZK3Y2JjqvzMBfPe8dY9RYO19nDPylMNCILi6Y37hpU+j6g9E7 -uGRLsq28+7cG9dwidOocbGdaYLBn3YpeinsUTkI79OymnLZXtQ7+AphXxlwjeG8Idzl0zcmn/Ib7 -esuvri4GC7xDcxa+n368jpK+Moic6w3hK6PrEZ548IXn/QOhK6+plqhTxjCblyjtuph+4b0Vbep5 -f/LqYoB8Rnm/JmwGzwrC8+oJ9yizrsH0hhCXd5QLn7GIzAiJUalsk0GPzhrCSPN3Hz7CH6PBBd6g -J3jhl4t3g2u8TsOhhVlcX9vBjp9Ca3XKZwj9i1ph8i9A304QWtaJ5xM+Uor9+rVVFcuCxzZ+to5L -Sfkua7izv/MDZwx8TgygLOsEWKFUoRke7qkq1/D/doONpy1W6s8IeWN4iIrgHCVujQKt0jdDPqyW -hO/9i5zpKJt6jofF671Bc13Q78Y87sop2onyiDd2htKo915v9NzQwgXCrSx2Uea59Gr794IQwo3F -mNxUpG3XnaHKvJffu2MgaG3w1GlVtH9oquNGSPbx7LoAlKY3kaO4xuO6b3nBGOeKKrAjhW/dhKz9 -Vw9Z6a/JF2/gywIPPPL+Ge0jvxNL7jKhbYEjaIxir2Ls2t4UsOJ3pQlD0Psd/bfC0HfN1RBhx2D1 -G4YLuM7luzrR3RnR2f4z4zNE0zFjFMz+Bm0uMZBwFOn1N7zG+xtrCMyAI6E1rHOzUlSrcFWUeGgu -r4i2gbG5HTgfXZUwLeXp8eWo9HL+dcbAnxQD1YnKLuGSML3ox5EPTOYPTIa3bAlOckCGcEBkwVrZ -VJh+hYX/hlg6n33xinmmNWuDWwvgINDdirvCkE712L5ACDUe6NtDjn1lyZ8U7aDJY6BU2eO3nnTr -u3jVc0T19xIB9TPKnAr2Jcr7q//DwoVX5N2v7wb/g1C2qIfhNh8pgOI7zzF0PJBlcx/1QpAJ5sV3 -38Qbfo3n5aMeLuIaLyH4M4T4Jc9PUPA/skWqNEX9h5b6ZiCzJcZrZUfom0NQd44c41Vyz9PdABY8 -F+1vcT998/2TwdfETL7DmHjL2PVODceH49tdsMZsDV8+uxp898ygU1mqz8r3Z6Vuv3EZ/tlAyYIC -7UbMtXfBY8MMkylbx8SnqhTkcnLWCduvi/NTZwz8oRgYIV82rBupXLrH+5mvyqFPjdXJN8x1lTY8 -2XpN9WwbGlNjmC1cZIVWZYuHRfUkjz7wLPHdmyiYQ8L+MoUa77FZEdKHY+C39142nvvMH+bY2hQ2 -fqUiWzzs0x/4nl2AOWEZhsFkPrroOUH5NXll/IaKKcoloSMb5KVhLnqQDTVJl+6Mugb6Th/FsCre -jqPgqoaebue63/OjRJ6iiK8netHowuq5eM7Tl2uy4y0I15GyqVt3pWENmSGi1VCUIeeQ5r8gZ0pc -fuL8lSs0rbyxvakx6vSx+AWFWSOsx4KbVeOA0bJOYS53gaHjMwbLGCPKNLL8SPxU8b03RhTrgbTZ -JNRTMJvf2zh++3F3w8O1IQy/Dukdviq94avpK+Bn3TtfZwx8sRhoqUbZmTLsTx73YKIF5D6imK+c -DHxeICxmKO6E/zVCUW87Rfr06KpUa7377KjfVlS34l6w+lV1PkSwFelGhw7jS748AporgAjXYUXV -7d6fWWyer56i3I4Hz767yO7Gkhj1Sh8V9NHkq61TRtHkT8RdkXkztqZfcgB1jlC+vLxsziKl/5ZU -/kSm1dXlMLbV3Q2k/Eiozk88/gJeuCKWccLWtjLy7S+WTu/caU18qhlotmj5kgncY+ztbA9H18W2 -AnSYTXr0eH7kjIHPi4FGnvS8fBaFLg7tciBUT61e9IhEFMjZN4RCXBEK4uHKqnzva955sW8SteeO -7/m5KHKfNLOv/SKaEwZSlPyFB0NV1gnl8MphVwwWD8uO/wvB7DufQzdUOUeGJ+YfpdswmoO7cBHu -nyIqWcBQoCNGdu/zWSXaGHqV2/Sh80d8nQrPgf5jBBne8judNbkUmqWvvczw/eSr8kxPVur7WIyL -swzti67zc38yDGjAhoerXPO3c21TJugcweRP5jdz7ANbkFMU9wutfb6bv+c7zzyWSfCBZ59guXvm -qCsWjie6FfcqREt4Rp1rc7bRfiOsIxW2d4XynwzJx4ZTjf53errdfj0AS74Hp6vl74PXP7/Haz4c -fPfds4QQ/TceqJ//waHPHNhyn+S2EWXtVi63BNUkhhWCuezqhoDZn94jyCsAnd4W06J9xVlYMwEs -Ccd5S5YZwnM4VPvqh2dJYfn6X3jeCQHZCye53vUS3dx8bLxkXPi0viBq3m+oT1ggkwkoZNthgLqQ -tRdXHWxY19uDWl8w/98PY+e3/uwYMPtKvDpHeFNxUtM0rsiess0s0p7yynfXIuUV4THxmPKFSqeK -ZG3+E9HU/sK/iyd5i7etN+MWkxvCRebuXhKiEgduW0m1DUPnCcMZa2R4WJUMXBudDNwb47bXCTN3 -t4BwQFMd5sBsp8w8jZLCvb5m2Wa3dPqKnU4UeHclamx+V2vZLVUHf920kTjXLPgtPIDYFYaTuxt6 -93MAGO+4/T7KVYiWTDaeKxB/Ow3HYW+sPviNAfcYl50IAjrL6iuQcJabj4HVcxt/JAbkX0PCzD9y -hfK+b9doiaBSKa/pv9XtCIseXPKj4i7fq9R/LIq941/y/A0TA0fu1uFQHL37wOtW3Mtcc6xekbcM -5N1b0huStSSe2S+4sk/kenYuDgtFQZywEDx7RqgQ8ubNm/ccLloNXhOTfnn13eAbvOcf/WxMk/r3 -IUaCYNLiI0r165+Jeyc/rnL5CZ73bZrj+vIBonnOQXQnJtUdD6VuvYj5MDXkBKvAtI/vOUB7Q5iM -2WSeEV9/RajTDd6hmxKPvztM2xUPb9i+vS4nzxDbf+S0+GP7KtbuanXBLhYHd/vCCg8sUNyzxf0X -Rs8fS4xzb4+JgWP2/95+FH8q6VX+KOjlbR2jhuoZ0jEfDjaEeIyeHTcIPmnfNu94MFpP7AhLY+E3 -nB0aP0UhJgOLHvShseYay3qpcVzNiam/RGEeEUo4xNnB2dat4isYG5TeBUrurEnu1e865Mmu4rUu -D8pw+oyi/jUhdH9jnMSIr97STQ3LKW3ZdYyc7Qhu/1oSf6788AxThTOhMPUinj4pObWV/hvJJA7A -RZ/DqWmijnt3MXK5Ng7IcBnWAsMjJ6bqZLA1xCc7GhgUpsEcJLySuiIcLBY+x0E07yc4HbFFkCX0 -01t3n3Xt0kD70PVgP7Kdnzpj4A/FADwum0+QTalzEAfsrfwZWPNgQAhMDq60LkP5box3Vzjogd9z -GESvu575YRVcBzXJHh73HaxEcae99e8IKxSfHOTJKaEv88rIXYcUdHuEfN3JmE3Nr+7BUrw6EOWG -2CQFlQeoFM5NmMrtpRJc1r3bL6sDCAG4ZDE0G4KpuvLqngIlxn7ererRar849vXgDLcFSsjxjgL6 -jIOx5ob/aOYCFkGdOsI5NC6zx1arMG+21WG/XNp2cmQ5kZeiVQk+7bnKl7nXK+6ocxDnB84YeHwM -qPD1Ulory9ffbTlWZMwapWyN0j50pw5ZYuaUO36FyLpi9u6bQrXNuPjLT7uBtqBUFpPS1lh2Y+nn -HFRN/LqCy3nnD+vbmsxP4wTA3+LOpGe5mMrcfthVx9lqZYzXJNvjX5XtZ5c+hPR6xGJdFnC7jbKt -J74c8F8b5oICnIV+C2tj/LhzMCWUcfGa98ylHrI12xE6UVwdxijSYwBKIkzX8mNiintJPZxkFqRp -5MzCtogUX5sX38xApv00dl4dPnH0HEZNqJGHctmxz/jZidyQcs187zlq605DiXXPGATWjUoyCXmQ -f2NWtB4i1EO3X3yM7cO46/z2l4oBWDes747j9iBMAUaD15j1JQr6vti5mmXGg/jl/NwdNBi+8g6F -nnnXtP2IinvtiKiKVItz9vbVd75EWlXYTMaiF/sJacNekBnmAsE3JbWiyrV53T9yeGsgUvhs9hiL -JKmLz8j1PiNuSSV6e05Jmog7D4NWRbr+hjHGF6O0MXWhYABX/D0nLGmFR+Ydh8ZGCMrLp9PBf/3t -ScJfpmwZf4Ap3hPiEs8Uzz+l3zXjXJCK7JLsNguMhBsKQC04NNFFr9z/DyjQtNk0K/2WBqcwaN3+ -77FQndLs+dkzBj47BlS4dLKisKl0JcOsyhlyau0hq3bcRlVglU/qlbveCNoyLGVcig8pj8ZPUOJu -Wgqj+jWZRBK+osC8QGFEMTSOXmXWPOBp11Tv36PI4mAYksVEz+ydcbrBVXT46OtVOS9GCufum+Vy -12jZo4RvcWy/RuegXEehLYdCVdIdk4WHPHjmvWyP25a7nTue+EZRZ9x+b2w42XdYHpI9xxAkVf6h -RaxSWK8ptDQxA5x53XOWgD7EkRWvax8OMjur/BzasdjHLBgJFspKmkbOJmxTQqovc5h4QUpO4Zz9 -jTWCmKSUfqKYVTz8Fs4ac+B3PB3MMCg2JQ1nMgWZpahm2pLunjfQl8Wp5BSiqnncu2Ri1pfPzuXn -Ds4YeHwMVJlzzAE68SCqwmLnUhk3c0zSQhprsedCb0w2q45yz71CZR4f+i+rxRz6JavLayv1zRXI -CL2vZ4lmbyqYztnhKN4SPRj8fMTTraJvmkYPdA0/kHEG4fbr/+BF+s2Mt43wMvXjr4SzLJPapPHC -NGnP1qRxnEe+pTogjS5Rut8So66j+OLrZgw+sMAoMI3kjcVGDJ8ZvUtozAiBal5340Cvf7khxIfQ -pmPp4b4sspxHe8bAGQP3xYAiBgXRUJScZ4mnm+qkasZ1cTLpgYWZWnnAk6rxDS+qSPqjgCofB4a5 -+GWUay0DZGI1EvicMyFW8TQLDVvGa+/7vseL6MfQv7FjUHHF+5tUhukDo+Itf/BcsrToeE7GHO7j -fY9D3rjw1MForvxtm4aRHnZc5Vnzwi9/wqHhoVYzuehBZuDGlFs51TZUuqPg65HGP+KVLDseHCVW -vB62jPKb8NFmjAmn83kzxrBg5GxM2ca1OmzwL5w8o9yXFskEVPqw8mtoQGhN70sFXVzjLV9ZHdUF -qXr4RDPtGdVTDSbzz6f4Ug0XZdzLIU+g9Ic+3heuVsXcjCXKN22TPjdKezGqeo/z/OAZA38lDCiI -NPhfcVq+VkiObGpdyl3PNe5T7GMMqBQ6l46Hkp0V9x6M03ioN4QloYwTmzcxlUy5lsYKFmU4z0Go -d9cfk/IxlelMpVUWwg98r+fcKxVVx1+VNkvSY8NuckB0hdJ+M3jLTxYdFzJ3IbnnwdI3HI79kJyf -zaW8NV6+XnrWP7LwTVINpDEN57S5OXQotQcOzo+cMXDGwF8EA5FnxCTjSV6V/OFxAkVONUpn5Ir3 -qwe+KOnmJ58vWvGbvqeMersYzN/aAF5jldaqBBaZuO2reWRrHMTDG4eDMg4PPOExUVGz4Kl8Nm17 -jtNrZA535RnVS7eNkChAJ1U+KxbV7fGUrwwFsdkWTPspSJtuVhr+yOBr1GKcXhGr3sfzTrhQ4wgr -ijleeuunNBdKuEaJaZkZYFNrhed8379tw2JFeq35u2ay2rYX4Bh36FGUYEGxqF97F+SYp+92KBli -qtuyVbzNMFTo6rjWptJM4RcNBYfketQ0EMOC3X7XqtAjRlpZxEobec7vGdu6YZw7YUv78Xz+9oyB -vzgG4hDhn7qN3wrlayYXk6apcPnpFbm4q+nvx9dZcT+Bj6KAk1Vm3rKGsg7Vxai0ZVjNpiaE59kq -6xI7XrYa2+EqK3O/+67/lEVP+tZ1NLfKvdCWG3rz29f2jHBZFG2zWRSa577wM8QnUOn86MkY2OHf -k9//Ul4o+sV/xDZ9F01bymGUvH3rRQ7xFOK2FLbtAcT6XQoilefc8Ws/W3mj7vTtjiv+jdJJxnH7 -d15VHjqI8vW27RqyUQViDeOp/UVWl3TF+8azy7M+U5X0rcAuMtlnzU1fx9G+v+23jYOCzzasVfkt -320rubbxW42C3e+KB785qNRzspXn7hx4LfhsFgTGKA4bO+Juu8Fpg4/Qo97f7btN93bbPYe497F9 -/PaQ9v7s755C0z87LMfG958Cp5XXzeNe5ljb8Vq8EQeE7WnEPSvuJ+CrUbYNkLm98tUeYbovjvxo -qsk947h327y4O6SuuPYT0HB+9K+Igf8UwfpXpN0uTFX56QvrMWVw371Tn/9EYB4YWLvdTwTYzjun -3u+Di642d2X9ITzU7/vg7tRnHmueHsN1Xzj74PT8zGEMPBYtvxQc/0fA2xK+7Tm29bB3x6/3IedZ -ce+Dpf/wZ47Nt8dwkHS10XX/P5w8DwPfXTu3w/Xq9aky+bDe/q1vezjSK7HRf+HL2GnjKOMF/4uf -ackhV0M1drKv/aXI6xydQlNCcOIB77eb/kWi4JZ3/zPkUSrOttJ5f5FEOzbocpbG0LC/Ou8GDTWU -LTF0fHCp+Z0JawVTlx/i0p6QoXBIqLMh8U7nGfHw6vWLRZNodUKWqmWHfv94ivuudrfvc9uSv+/9 -2sY+be7Qd4c8I7sM117P2+90wXasnXpvdwy7usNuf21c+XfXGE693yEhMrxWm/tCbWrs/vbRvnhu -9Z02fG93/PWZFp7yyD36+MOE4Qmw9N7y/pyDd7wo7Tl4x6HDbKsfosO+ceybb/vmwr+bZo6T2OfA -qez0oKPK+7FxHZufbd6sbZyCt89NUxIaTEgLa62JdevsS2e3XXPwTwaryuzQ6qK/l0OgfRXaY3zb -vvdnoCljSEYaMgAlbMjUkrthQYcIm3S37BD34fN/9xyti4j1Z8iSNjALWzWw+4ytL636PtfG6X3e -6Zps8O7k2QzFzTNyELQP77Zl0j6ctMf5OcbcBdMe2T8ydITMQ0OSaQw84Gx41iF67puXfb87dWyf -63npaGElD3fXQHYSlCTdVAHdRCNXpIp1dpo4RLXd9O4L4uKXHkjhuTcjUnn73jZW8e6AH6a4J//1 -74OR6bs8uV4D8gn63nxcN6fj8f6MyGyS5L/R0ZrFc2OBB+8rmEhDNvQ0bh5w4OSIJwNLk3qK9mda -KB7nrOWAbiP7N1gpQQjv12qziLkwhxkQBhz2qaf8j9EqiwD93PZh2i4apn0PJm0VGsHQA4LFtDbW -3Tz2Ya5GQI6mpveq46snS7lNdoAsonpO6Kd5opy48pP9iAtTQGqoWbEumRmaUSe/rv34VTHkikgP -cTem45IetG+6yBw4Er/mY9eikz72aB7eI8Wm0leZLJOLCYefGRvD/Ag9rcaa9kx7ybg8o3txSSEC -mHIBfMbd13e7FOycwaBpC1vJxFqfH7Q4xXXtg0esMDulj3H64ACcqc6kdx9hfozgj3VP+oMP+UEe -9VoxziiGrWuUfMdNDfkcYpMX9gmlfeOqQljeChmlIb+qou1XwYe8VQ6+iNBjinjp2zoAY7IP2dza -cxmy5D7cljGY4m54YSlH4HBulTl85x1xwsFrU1/5/Fre7eP53eKjDYdwtuZfxsH9yBsHLZ87N8rL -B/giC4iwKifInFJn3l42qLBK08wbM5Ywhrmi1v6hN7QIvSsjWtPCOV7PnhzjzzbdlQfJ/yss/FMX -ti3Ny/1C9m12kGPtC6r8Zr7zY3xeecA0jcgkENPw5s75mRzWJEuVqWm9IovMmHWs7Xqvwpoc5nt4 -sz7nLoF4cApV3j3UfmlzzTozsTaFYlj8VZzte6+OgykUGe3geWkbw+0yOil0zToGPcl5blawk1Lj -+qrth17yvU3Ruair40tKuDJIefuYIp5xmqxCYWkTZYfhKH8Bi+l8SSuskmD2m08MOMdi29AlawN/ -N+tZB1Hbc9TsGOKq4LIpbFLed3w5/FppyudjRmQZj2vemDmxnLvQteb1QZqW9U255zmEyru2p7iM -7iHvhXObOSqcx3De5l3fyw5Ws36mKEo77j/9tOB0Div7j/Gi7diu+LMacR/edU1EprrOeK3lrXrO -Q95VJrFeJ71rQG3pBB0k3dLszhwssO7ybl2D2nL3CC9ab8HU2KY8XaoDHlpfCk626yiyKDgCxhUF -17a6oHxd11rk0YaKpI3DqfB8H1iD+7KWlixVe3m30ry9lh5rX17fWDWVCSdt68n0hiCR7xsOpqqq -S8VLs0gxljnF1hQIl2jvlyxqFlntuu6tuMP+RZH8ajCkIufUYhNWZpIJWQTWVwuq7bFdwHgnCP3x -S+8XoS/j8bP6leqh5B7XmzB7ccW6ARRRHkDAe074/+tdDIAxRJ/SR3LgKmyZfFFwUehWpGNckUd9 -TGrE8XNybklDJ28RlAuFL5VOj08kFi6UEccgg6XgR5AJHOQKW77+yAl9sOlkZJ6Nnl2QH/eS3LUf -KJph3i6YphgwE6qojimAlMsJXoojraiyOief75h+pt8/a8pIR5Ap2GBAUj/OxYV5359nEChGTX9D -JqQp2VbX5Oni8Sl52VUokuc4AgIGuJgPllSzHWLFzxiDhtGHf4A/MgNMpl+Ts/dJMgwtf3qH1Vvw -c4g7AF2F/NvvyVeP8LeL3/De/fzzCpiV8Crtw8HLb6nG+vySrZ3x4AYPiekm3+sR6riiY7kOsWh8 -//3V4OnFOKj6ADw/k+1gWVK4ja34Sj5kK9Na7c8sB2/A0/vrQosjAqNrDI9yP5qLwpTCV/InuZnF -TXLuk+JzUKsDcl/vyvgZ/BWFl2wUr29IA9djhtaBwkebpHbLihhFeKh1tkU331PifWPxBmWeOfXI -+T8whVvXIlIX6w58GlIzoXrwGHqosCo052QwWkuPeoW24EQ+/g4+N5c1PLeam++uB9YVAcJguXqf -N9PSO/6ocNgE3QcXzi36G1qETlzb96Gr0cW6KzsWXI1fXAymV/CdC+Uael1/GGx+BlaNkqcT5j81 -FC5UdqNxu785WL/H8GQO9PZyq8zCM8nuoX6oKFH2VCPE5p/xT01zEprz7HbBPgKvcBzDh/fo01CT -yasrcpA3RqXydPn6uvF2yt+S4dXTyBzHlqJw6ibC2XcemvZQL6q86/9hl6Lg+KdyX96tNId3h/Ju -V0iTMIj+Yk91cpdr9YunzNVLCtPh0WJ9iiyM4qMDCZ6FrsrbDcJyDe3nrh0kJOhU3uscI9/7RmeH -isfvzTo2dNe80gKab6i0uuVdUm4OlJmH5qgKfpZO1sG9qSh2oE4/XyFrWGeo4xGfEBXOTXm57WM7 -Fhwz37Je6fn9VbqbvrgHrJmDwPaUtUHeNL1n4FAxo/GMudBcZRBdK/JKJHftdIWgLWX2EFErDKxP -rtuB4S3y6F9FoYWnxlQRnz5RWNiIE0y6MAT0jvXbmm/zONdkbirXK+9+oA01q2TiyZRp+Faa+4HC -lOHd3dSZh7rpy7uzrwazq4vB+BVru06yn5BFFvQZFd6lvswEPIzKBNUAmjOX128RjsdwXvHI0AcW -4tIz7sUByyGsf0euStPnKkCNvEoKUzLtda4vBf1dlv4YvWoKfAqdNfqE9QECD3rW6i2TyOxK0RWR -y9A7a5CZ+t5AT+Zzb+XdOWjdia8EmvZJzz00nXddS6V5xUXklbTtIY+avLR6X/mHv6tDxu+KEast -ilYZFC5U5J0uqRlRKiyznvyeRe04X95bcU+zAiWymfyOc4Ew3+BtnLx4RjlqPDTy9s8gXCvVpPTq -G29MbsvgWSxGKG2bvzN4K7a94GE9WKShWpOWZSphBJ/no6C+ANgnF8mDu4RYQ5UlFpsRBsMSJWg1 -UsHX4qHABIS0j9mrZ8nJq8a6ukayWHBnFyEyLsJGL5LVsIaXKN0O+Vf++XozmGIMTPB2LP+PVUjx -giP0J4x7rDcRhTMGuEpaKMD4+W7rcU8JveJESooghIlFRhA2ayknwWJsySh8R87goRJ2alWL4WCB -sh9cYYmNUGZW2kHgakDZca3ONUZJjBaVCKq6JpGaQpIxjNnFGJsDGKUjnkkszPCTYzx0AYLouKAQ -yLdMoAvG9DGpyJBLMPIrlNNfQI5G5UsU6mcYGHP6+A2G/RoF4NV3jIP771iIikz7pKfoJAzzCX28 -4PkLhN772gcwvlo9LX1sYhikDwzCNcLwEgH6in5t4MZy3fJ3B4MfZ//73TVXdfJVi04MnAlwDODD -DYJSGk81VLmH+p75PgZvIww954YK0eipSu0TlHcGDy8nm9oxOPCIZnHQincBpMb7BqG2QVgPxZ38 -q7yzAIyNsXPh/QFKH1zUrQD1QYPVLOGxEUIzHhAWJuGYkLLWja0YtlVusWCqtI+fuSvlvlVZDLr6 -EQe8M6AgT4VDT1eUV7CpwrdR2aX4WNCl5xeFWUXIapsD8m4/6Aod8EBa/Ix57rWCPs7zKUaXYK8x -rmfQcvQdfGg6QFO2cs1Q9Md+B7Tzf7kIHFGAZFpt88DlwkDHGmZZ/JFBGiJR2sGFMj3VKoHT7wwN -keZ9djC6kCHvAqcK68aF0l7CM88Ga2SqjoXxK+S7hhq3lavKWA23Kd/He+kO2bEri6TOCY1J4GQr -OLypQWBqRPkDezaKj8oCbW6kucoS28VDUxo+wpViQc+foKiy7jifaHa5BkYMsRFoHjz7GgNGuUvf -FrJDJo8xVrIER3mXUEcGIk2tNGoxKXVE1xOVIHg1WrOKnP1ahKkqsC5XPJNmVXqPWlr9kaADa+oa -hYFp+uDBqBVEXeSvnvYZ8E5/uMTrxwjc4e5zOVj4ZoPS3vCuCgq86ZzViGXJyhwtBqe8Gwoqr8Am -vvTHO0vjjrAwOFeZPyt4uKlkDk01XF5a5AZ94Ed0CITUEENcB4vDm6d+QeHdfXR10PKFTgR1xMxR -eFP6utumsbXQCOMZ1vPYflb5Fc6E5NFfDNQH8q/kQ7bpHB1/q7MgE4kvi8NQTztyQqV9zLgij5i3 -02/QfZBJLtYrHA5HlWsVYOGgINjQjCjOQeCUxuFV6wzYlLJH/aLSnDmrs3Wo3I3s7MNAe54RRgux -sYauUVJWOAQ2GFfuHs/QE6fAHUema4DOVWi7Yb2JX8Fil1ZYfo3+5BrUdTkHlS3CgcIuX7rebDC0 -m+JuyiPX2vZaGgWq0c2OOU3ay1wcto1cby7+Lusj+7fNmq/H3VCp6PikruVR/TIOzcrPxyTr/RV3 -GNXLsAyLaejZDcLxVA8v54wXoadSvb4m7IXPS4WiXmOYiC3n6curJj6Rhdf33E6XeBYT0utz+T10 -w3O9AlnzH/V4U4RIt6xbuYaFMJHmuPMSYaNwUEGNBgHi8comlgrlc8yitPBQmlVod69MimZxtH/7 -GD4nWIb2V69/YwGHNVS6EBC6/cdPrYjHQufW+c/kuFUB43Pql+CVl5MM8VkhFCwp7Y7Ahglu0Y6U -iqafpQIAYyahOXqx+D653ZWbRcjpLY9zoMaoqvNT+GnDBFLYrK1+qhde79j/yOAo6QjrMYtACoRI -cSba5Q9UTnWF+l0Wb3in6zL85QUwPwf3b1m8f/zb+3hN//6354MXLNjVSnz5PTsOjPmXn9+Q2349 -eMXn7/52FZV2wRg+7lj5VcnWUz9hYX6OAv6c9t7+Mh/8+Pdr+hgOfuD9F9BshSW64oWX36kk0sff -6YMiID/8r6vBy7/xHfiwcFVw+e+6ijcy1r/K7C8f4FOYDFxd/u0lyg30cPDKBhUfhvrhp2toiIEJ -nBcsrKp5wrG3/HGFS2Gg0q6HWUaDt6NNaMDA24mJcsF0x8sFGA94Qjqe81kB5E+fLfBjeFT+ZNes -wPEjxhsejvHgm8FFjLURuzsKNYzrl+zuuPvAmBOiFhJ1KHe1b6tGCqtX4OD38wI/RooFZaIIKeFU -Xl08nZtWu7QY2ZIXHqjoGZY3g++GLIIfMZxXzNUheL78OzRlEZG+KvJDJug8cqJRivTOjl+yAHBv -xPjWxioeWsjU18FnDDG91hb5MVTlJXBk5xJcGvToFrNeNXKSh+YYRHEKuL3bU886SFZFmruDKOAr -d/t+fEu/KHP/eMFiiUxzZWEbf+pCCSBzvfDIuJXv8Y2yJoue+sOxRVtHhkq5aGKu58KpEzieKKuk -eeFdCyapTElz+dYZsqKDh8Jqn4ZgOGaVsRCsrKIOHjzr5FEGzSlwt/r5XRxCF39Xvj8ZfDSss8tD -G8NZa4TfWzjoQzr7IzPXUubyKN7haJDS1PcS1nmQWv1uSAcNWOdC2Y1qQrBar7szDO6zy0LfCT5t -H1bq6klQyq7edg5u4ai8K+loV+NS3q00N07fsZxy7uLQeGheOJyvwuAZz1zuGDj11EvcxcGx5Rw1 -PTN6N3PsAr0DJrCIWJeeh/MroYfqHcrdCoffsb4m/FcZLA+9oXGN2GcMzN0lcWSM0mPwLutleFdl -x52cussnzPDsTKcdMK3wwq9+aRyjK56DexvD8xhN5dfwrtYJDSqP9EXoNHDNERYVVnlUuHUcvgVO -6esapBKsIqBy/5AL9C1VxlW+ix45XtH+K0fPWOguhrc6Id7xucYYdLn4+/PIMYoBU1/C9fbIOESG -RpW7J1s4+E4DRzpSxyHxv8omQyspSpa165V4EFG8Kz8fBdWb7QnXQopfuzYUmmSlo1lniD2pyvrT -57q/4t5q3XmfoTqSukbvjL+Jz2eC4TUfzFRiDQWxKJBhLw0A3A1PbpgAKn+XLoTGg49hSKuH8uxa -KwyviV6/1XsmpKEzKOkjdQqtbQ/bSQRjvPSeoFRuouB9dbuQBvFNLHgOiCQGN3ZQLJ0RbvHRi68T -tuK1ZmtM5TULHQws0yxR1CY8M0Ohnb4CAENZUMDjqbLs94TJhKBaf3QrxzJ/tqRRwCKJ4u3W3oxx -ClcWfxV7w3M4cRzGAFdDJ6RKLO1ublg4FQItC07DaPW0qaraxJ025N+oNMROWGMgXaTrjdad4QTb -ahyfsscGoT4Ddr3rIyaxNGgKozQK5hUL0NcIEEQ/ILLbEC8rD/D/qhTheAJ+XidG9FZZa+LZh3jZ -aRfh4+0pz40wL42Nd3tWb5zVDscIvunXlAOXFjx4Qx8q8V4LjTO0nSfQZTqm2mxfhbDPTDjlGeEJ -n8C0Kmr8ko51N2GN4TZU0VUZ8zKuze1VceXM1DPj9xh2jeV54Cp8ujGOV34IfbkQKilKiYcknqzI -NgbhQimPSPBIA+aAIWUO8NihoGOwlwNuY/nSUDUFuy4C6DVUUHLySANVfhho2MKzRrqtCUPQc53D -r+qaiWdgDu67hJMhblRW1X2EQxgSFkJfKn4lxjfbmyLa+eJ9x8L2dLbsGcOw1k9Qzt7jUnmLRxHQ -4mWLlLUvFn74bvUevsdomaLYWxF5VXYGhX+NrJH2maeeAzl0uS1aV9SFyKITFcns0NG/eFAp5v+c -cRI5yjZ3UlxARfAYAkvj+8AJTUccPhjjXJA4OhU2OasDvPKYqFRRIFBeebpCbujUyOV0p1J0sh7I -ePLdvsVSmnpPOPTbVd61cc8lZQfJ+eHCwHfyU1Gm462W5olNLvS+D5xlvHa5pu0lypfF8tztck4C -WSOW9a5pNDpfck6HwTufUTCdx8b/rxPHtOfazlEVG+7/VuEoc7SuyMroutupnA9/8zIezo1OHGle -Q+vuwbd5RRwJA8rqgkwWWT/CRyqRjUI7QhEzzHPs7oBhbvTtWtTrqrCGFg2OGjgaWPS0J8Za3pZ3 -E26qz9b5Ku/yl7iuy8NDaCpvGTKCojpZsfgXGIa6hFnHrEibnXrXYpwJK8IddBSqI8jLa5VNTzXn -2jNXHVvdGUr1r8KH8q67SFZDl7WdQpG1EhpYmc8blbyi0zzIEx2aypfyrp508OhOl98jK/ztGtkY -+9q4ZddT2QMPzJnXOb10CM824L04CeRd4WgYKTslkbs8oNx3DfJenKMNvfOTM3sP5F3npzJEY9ZY -fkO90I2ykw39FqwlFlObfc8gdfRGXvG8LMi6NnaXVD3RdebQTqewGqb6VbPeujuSyzVE3pWfdECH -5vKWL/CMv0Jz1yd5t95rXt9emXsN7hrGqGt1qFX0NhmF3Vt+ZA91Ykkq+mv0kY+KgfL2Tie3Hx9F -cT/YevuG4wcpM+N8HTUArmVGt2hlCgFpwC7Fhgzib9az9k77CIto+BJF4Qbv8gdiZ/W88ZJKaoMg -vURunTmjeN9YTD3aO8ybnQLis9NvOWjVPOKOAV7hDZ5E379BQaQNPWxjYx8zToRzDiLCCAooGVfr -/B2cj8AYXPBU0cccvobDsBwuaXiBsbqLB9zsBjeLhMqekzS4ae5NtWgVxCwghsU0M/VW0XM7UOU/ -6fzwCC0J7xkSg5wQQWCeg1utcT2Evlsw1KbKzt9UZi04T3g+HHWF4i9jOS7ERxpx/AsFlYYohth0 -xmIIXZLeaIfj4mnnuUss3SvG6gFWlXUVfYuQSKYXz9FAXbz4YL9uEGTaaKzlXYw9/vecUY5RaKwd -geIPuxXiNvJrhdRw1yNzNpO4jDAIVTkBXcQoEtHFYsq2m8Inc7onJM5w21XBqEySOK3SRFWeCEHK -d7DjgxeNNiKVJoWHDK0YO8VkDJUsO8TYm1zixTO0yfA4qy46x/QaT/TmyOhFiB0iUIhebrogeDio -wlH63+r/9RCuXq896+79eYD2ctgJhYbzKDl3U6ecAlze1CvMmZLE8SskvIDT71fEYwbOHXnzyXgq -KpQjOSFcnmh7P0uITDxijSXeMFsHGrthLwgruB67mLH7l2YZd/TWcug/wyqKWFVGEhfuGtQFY1oU -Zzzos3o6i9zYup1svxXTH0WgJjl4OKC3YzRZAo6eeBWR7c0NAStzqHhGPdMwRjbnbENuS/AikA7C -W2gibUSt9kg9PB1iVMYGz8IqTztPK817ioBuujZdGRY6ZNfGkIChQtPLPug+Z7BQiDyfsoBXx4mx -ExXcFPcdiQu24IgL57+7JvUQnzxRYam/s+NXebdB94Mv+1YBxwGmk2+Io0eaNXCUPtipct3XUJmy -Buq9VR6F7IYFZWfuBMGxhaMosIW1A4+ySTR+QvMHQxqCJtGEkQgQ0J3cO5d4rjpSZBUwVT4FN3uL -oe0Oq8Ii8fSkO/+Uq7Zj+96v6JLmV9zLHG3kYd8lrC82xjhOpkQL6IA1kmFFpfjIJqchf4xVnjmr -skE5bCJSVKpOZKzKu1XWtF+v+Mzub+HdrPUqHxnJp9eBr/c9alP+FLtv+0jEe08k/XGKe/DriW68 -zcUTGY+ueFGQdV1hJicNikIyH+CpbyNLjclYLfsAwSMalaYLLSu9SXWS8o4nlyfPaQ8eX3pQxSwg -XGEC2tGLv/qNiaL3JXGchKYQs2d3Zq+ZoUiuVUj08Bnfp2ehCie3srYzR2uQW3UCFBij3Hltx6/3 -p5Csvg4M8ZBn4WghJ++6AJjBonBY4kMhOgbChGfj0SAkY/76PU4JLNVvkWoq73Wh6MB15eHIZmjT -lgV3XkUqjJgAEyZ5+tzZl63r8SVGzRNDlsDRO8qbb9dlSaYsNbPBV4cGhxElzOW0fDG0u7jl33Jf -A84dmztX63O8agqeNulPHSnIqwfu9/ZTvbm39t2pPRx8vkldpeHReAwGxXuhB9hsUTlIBMtGsGLQ -6Yl3Tk7x3m6MX6yHcevCsrensooUOPNInb6t9zSYmx3Urcb7SHC2JifywYPXhmb5z0biMgaVOo0v -5ZCH+nK9YFHNFjo/ztu+iq2WXHEy7AXAqa6n54SF4SREJOUYQ4CmmffbHb2OVnztKB3b7zeDZ1+0 -UQgOXYd4+ySADj2sQsPOXjW09jxmnKs8HaW9ulNP6bsqcc00P46eSnP7PFHn6BqSZNmd/hmP3ugq -fJiz40ucOjnkzdy9xLmEjF5/YGehc/AhZnOprLaXvDq4AlNkVV27uwZ+j/v68/W4bi91ONnY0CjX -Zj4sdO65xmOvjQ2VQB4Zqto73aRzMHOjOY+wdypyo5mnVdO9BzDHXqk4roZJn+arFth7nrogFzia -CXvXtimM5e59/7nfZ6C3zxiiNv6OMGp0BkP4loSXqr8ZUq1/Y6h+Jyn0vCtjPRt0yvxxLfG9yKJD -kxTA1buytBS9sw8Y1ZhJeImIpJ12jDtriYedG7LgIE6oCTsK7v7wp9n5WEVQSz2I/rli3PsAUp+p -pgValzHuZpgYv2xik7K90zpo5RZyFD6o4WuZJG1N0ph3tmpNuRMERBlSuQVRRVqtfqWP0Zx4KA5o -6EnSOvdwqpp6lHaUbv6M0p70gw0dc9GhCvHmmu0ZD6P6YDrajoatcgb0G+/pnTEOq33tmyRtTS2a -zw7y6qRsS1txxWEwPfFu8ybuKgcjbnML6N3bvEdZVyJ9g0dhTqxC2i4NLX4fLP+Jl+kr4nb/m3ud -l1xd9AjaWWL0XIPrMRbSi5dP4cVGarimTWFSsDx4Z/Yfth6vOJj3TeKJyoSQZrTxBC/bE3YO3N56 -B+2XbGWNp1+x+WJgFEeV+L7pwwOveEZ4B4ynD4Wv8e43bJOtFvPBFTGZL+hj7ULTCcsf8ECZ+InS -wKvuzozHcoffPs2iEb6t9IB0hpl4RiFek1H1nPSERC+esYfZ/uV3WXATMuFVQ2XkTeeTSrPek8fw -Wtq+RNGCMvQMOKTbxPjcMQq6ePCsxvwdBw7t0R0p5kYG5srJmBI/0nE53LowxWjlC70ehgTVy3F4 -qS+LC/Hi3Gg6e/ilwFUZcczImKWZGUxHSnaKkYLW1aNsD6+TiQNFx+4N/QIf44/wuoPT+3pswaz3 -NL71/qksKkqihJQV14XAuMsapmKM8D75cTLUzTyv1+p3PJeGQNktYYghQ/g30vfWHm8rAtkddIE7 -1rkt0U6UZV5211B+0Dvafq+EyoSm4l08eAiwrZCdDOOeF/RA7OMTv5OPnDc6bMCFW+/N2RJ3ixqF -5vDFTe/LF4TK3IFDmm29+6UFp6zKJDuVWy9tTzHQCw2mYm08KbmiBAC71FwSlplDnKElYac1dbF4 -73tmqIqVGpefHQYAkG4J5yz4dPNJeWRol+94/7HmaSATzhZGbpfohm6G6jGHN4SqGoYp05m5ZGA2 -KLNEdAa584ht+mpJoNDI3fKd9+rabiSVMs7PofkjXoERWBOT2QayjK92t41ZLn0nk4lgl3l8aEhl -muZ26MWPsqfKXe9v5RV/K4/Cu+DXMel8eITLs4QeEDc9uJ725U/wasKt7L4IfvS9lVEIZMtJ1O+U -w+bqgH09GzYjLCrL8ue0wNEmWf07Z06ANQJRGSDtpcExfNqBulpV/FuIKUp82Cb4NGRLJ1hlpGbZ -6HM9isc9ctfAh3i2JXzTdWKOMj6/Y0RaR+YrRxGbPmNxR6kbvyZO21hZJInW1NCUY/KbxPA1GkgM -dJH0aw5M5YArz3pYTm+tlpkCP1kL6LPJp0ybr1CSzBF/7WExPe3Y5yrt0s0FuWZEqPGobaS5iLi4 -SMTcN1aXgSHczR6yIsvJ+CkwEy/oGO5cErbgIB5iae/n+pj9tBeuajL6TB0D3uwc+GUijTkEa/qj -qvh58E21N0ZGnmHL3lh9M88Y7x8SyAwuvhoZ4hdDKLAcYQuFO895xm9EnJ664NL87SwEiZV0X0B9 -SmuRsU7Ax5IwnpVxZXjMVbQ1apIrnitKOx4OP79T2ROneH1WxrbrANEDwqCWhs64vpeQ8N/pY5kj -3q5rjXIfI4psOlqp7qIcCdXvw/eP8kzCksu8dvzuAilgZ4ktaoz2UCK6i7n1mzMdenBVjAz56d4c -k4FsqCx+hmnw5+ZZsxAl/k7+cQDGf5t1BnpkB7ytWO2waG8E6KVjCCsQHqjgA9Md5hC6XZjVJXBB -EzL9NCzMfL0sW/WEUJl/f80OUHK777u280I4hFPhyBa4hqpnV9BpA6eKQhQ65xN/ez+WXm3AuNXe -kO19cEOba3cWigc6sbDWRvCMVoQR50bKfE+BjBpGUWUerTZrvbLwALx1o9Sxq9TE4wI8maBt4QBe -PaOQlIU2XLT27PA9BE58OjkADk0NAQS4eUJ8uJj0GUHisMGDchNcOLRV5DBDNbQNQyPy6FBqWR/M -AtcIPuO7c/5AlCU0qN4TkMK7KD7S3FjoRvjI+/w8kKYNYNCDrjZxEjVcqqxLmJfx187jEvIhL0ev -mz4rTiTlJ+8npca+iznXtNjwojtQ8O+m5oqOw6XAWY0R3YXbNcEJ9iCC3hlUc16B9TCYZec1Cynf -SVLPK5j6zr6VWV/jkEHBVXaZ7GAjjQ/CWdhTOKVPVQrrWQRBaFJkNNgwnt2DjPSf3ZZwQpkoj0RT -zyN4BiqyKXHXrfbzpfNLpoMei2bXvDlHUngiozpE16Ytszklc4r841ltHRN1l125Kyw86pkYM33d -UZYfA86wT8O/t+tFw8NBp9+bs19dyGSCkgADY/icrH3KXvUl29gdS8O0zfrh+ZrwLrCxTm8Mh9FR -k7DBhpeSGlPHXeQun9OeY3gg73rmJgemcdyR+WfFOUETPTS1bBgDKNXJp11kl+7oznF2uM64VmRU -W7f7sTXGecpcr15wDQDneYVDcLJou0DzS7ksT0nzKOzCyeeDOpT3lfuudWGdu5fnlPgvaphbxtnN -dc3z8QaHic7o4Wx7uOKe+CLGAJE9LOniPCbmTGREgVPiJ9ZVSpdJVRXIasFwz/dllLGnmCUUbX3w -dDH5kxWYhtcYy71CATL2VOfu9AdcN85JTwgrdO2jWGhZA4MEFwl+yRjEuq3YCl2+w9NubH0VUI7f -cA09uS5cFjCq4y0CruGYSodbykUON+7hLZEMxcmJd8br9vmqxg5GanAJJ1vtOdzooujhpw/sCKA4 -awSIq5GGDDG0Y3YOJuRTF+a5ypEEjoexDCj9N83qGQ8jB/bCPGZtEBmOMcM+prnDOAifdxhCF/Tx -NcbAf/3NtsaDr/Fg3ECPa4T7Auaecv8pnoXvyPE8ZxF6xkE3D+29+RfLBEN8yqn0KzztC3jgLYdO -bLcZpJ78zeAaYWK0kbHvL1dfM3ZOwUN7FXz7UFGczdg1wRh5SQYEixpd0Z8He9/8i4M3j7jQbQl3 -yh+NtMCA4xCmjmeznZjFiBV/mNSQ7obAZ8bhuTWbbCXAgTDQe5swCw8tV2/qob6dz6YH1KOgB+QF -k0OjRuVHoRoPSaG39z2kVbaFsyX4m6v1KYDtfzbGqoeWheP7p6n2Z7o84TDFaw4vFtbSO+J8NTNL -cxJZuXDgcF+7O3VHBZn8LZx6ow1HFo7sJPDb+FTlTDJ0+Ky8DR40DLqyRPRAw9pD72QWMb3qmJ/I -cBhVci84zBfP9LSJC3Zub4p3bQSNo9f3SdMoTT3wJr3M6a27V5idIhoooiqHRPlO2WGGBwfgIqNH -t4tnesAZJYSdSbf3kzHoB1cnjHtxm9oYpkqEd1k4PUtjbHQulLEx8shryW5b56VsbsORNgBGPFUv -5hSYVabMUDGGvs4llQNxRMq9PgtZ5zjcaheNX8uTzZpghc4chAbOBTT3bJSZVuxv7HOuYe56mua1 -65I/pY9yWZoKo6n75F3hkLeVyV/zvehb037ON/D3I/FuhkjzGtMpkpb514RwraSrClb1FtqvyncO -k5bDfe76dKX3VJGpvKvnWkVHONSX5EvhdN0voavJsiKy5fXQPGz2OJcHRA3bMzzNHVzXTeeja40J -AKL4sR4S5jom//qE9VQHVBI5FD3h6EByfk5e4Uc4DAcARR6KDe9u5S73k0LQhU+a079y95HgVCdJ -MSlpilNoaGiqGfkwGnQGKX+nQzzPnB8cazwwPrPfeeh4TrabTvEfuctT8q9n9QKHc5DP75mPyl3X -W/naA+1e4kEekhd6iPajeI6ubMpr4QNWD7nxW0Mkuenpy3o8pgFPMUnDaX4ggoAxTZnDGwzRlem7 -u2Sv/cQ/AX2ET8DqWSlp6hwObI18SAYa4dYRZ9vKMnFy9Cp6zr5nii4pOv1R0igmqo+4rAS9lrH7 -K+4lvlXv+eqSQy4TTqt7MFLrl4lkKsMVSneMWxCtTrmuqdocYSa3UsZYO6FUsedfD1smGwvvU7jF -/MJjBHoOlniCWIR+wyE/hGIKMhWlXWXJZrcLp+ugGCnGgodUEr+up12Pw65Xwa1Rt8FyKtOcomab -4bkt1/N9eytReeQlrasHLIRB+VZAZJtbg6Yo5oR6VKeLWWvCMPEQqKiTQ2VM+kn7NaON8euMQ1y4 -5RdjJjxlLKKHUZvT8dsdAQcp42Unmu/roUXfqeMMLo4wlY8qm3jnnanPINir7y4Gr5Keka5QaH5m -++rGRYxm/vUTL5AC8tmLuKbiDP2F09+vSZNpysdLhIae8WuVdui+PbTpMPh599rBEh5DHy+TP9k+ -1hRguu3jF777FtfxFVl7hizgziENg9f/xKAr4y1U+EN/SVs9/2tz5L4xBAtycuDL2Dxtaou3eEAz -xiG4W1IAZfIKehLONKbyltEP5sROft0IrQPDL7QbZjGlXXMjR1FseGf4lvY9+CxOFTryvNlATAdH -tgqFau8CGR0YdHdqSRrImXBwqJuj01nIFq/ZhjbNX/WMShiFrouMF+NUAG9QfpNZx6vy5E6f8eQg -N/QyZ35kl4fHo/i4GDc2S441e67DhUSmZfFKAY3s6DyAFXzX7FD/vGm8IuZrv7A+QsktTJpAvfEL -xmZ9iHiJCMfLKJF/2SGzKJtz81hWGeA0D/TGrQTk2MZ0ll5VmS1yxhz8G3falEvRIm9pfgyPnRgI -w4BF5qZdTuFdc2HnaxWBHC4uvMtK57qlcp9D8Cry4GOBbK87awf7kxfIXz7Ebb95Cg8kXaIH9bnx -Vpml/BMyDTQziDGCZJpBJirXU2COZx5C0yLylLlDDKAY2EWmTy0mhgZiiJtpP70sujX6Qd7mMYy0 -uRm/ktpWzXTPtZWvwGVees46bOH4nZvCUIrSJfc3TQUP35QX9cIlBvuBcNahecifrcxpTb2oWMBR -MMbDl9zXLSPEQ+Yj+LbuzyeF675KyLVth+wcdI6KV9d5D9kW3h0qg+TtKDfQnHuebdlmDepTVKuT -eWVS5xuKOm1POEuTyq/iUbmMojc0PMbMMRRHNG5fOq+J4VeHyPceUs6u8AGa1jEoc7TGKdaz0Rkp -X8o7hnxteZd5rOdGeRXjm2eked/CRF3wCivwDdl1j1Gd3Rvqy1hYiitx4K4jXBai8iCuY9YoJY8p -zqNmvT46h6SZ42WON+tHI1fDl+JAVPljHnt5Vxvf9QWdI3K3WcoffkXx5oc+Zlq3JnwwtElngVXT -SdHtnq7ZZrbyCp7LWlr0kuNwCgc7ucz5wKGTyy5dQ6OUN2uphQs92N3U1XCtlebAeqyoli9GR+OP -NFIEzx7veWk17SoKa1YZ36rqZpfNd2/FvVlSGR4Cfv6jCDZziwIPn3Bi28j1LDIRJAmF+blR/nJC -WgNGTzqLQqxfQyysCvqOG/XAm+9W61+dFGSm4FC2RFhIFRIuLk5Af2yDBTd9FKgtRmB4i3245bJ0 -sdkp5x0gCtKzGNlHMAhD6j0pym5TJbLJkeriPWRhNh3aHMXFvhWKWay1GK20SKzv+CsWcqxHlY2t -/l/ltZP7N5Qe+zKvrcqKdoPe0p/BIem8Go2OL41LBMakt4KJZdSwRS164wLj4wU2c983RoaMSPhL -KnU6dnGVlfLgpbdekPW6K7Ou9DxxXdOGHvdcPPMR2vyE8v4bSscMur9n3L5jSknxMedUv7vv5lpv -K+0V3xoe6YMvrvT00Kd9fKhFFBijfWggPKH8dfrg3jsnFX2I/3/7lcX89wiNsLXGnlh3gWxvR6v0 -YoWsDG9BGJnrP/lyxVVfOJxeyfddOMkOa7pC5WyEHr07gLLAZl5U+fEQZNmeaxhwzIFjwvBXgr4o -AjOKaunAZ+VjdhZW/ypjrWM4wnfb4RnqI5wX5V2VgFoh2IcAKULWK+NSqS9wPwTG+m6sf2pEsF07 -NmQCg95D1St2UKosMAY6f6towpcZjfJMz09N6dcFK69ngfdlaVrnb10EBV+lNztsdqCiK81beH4I -vEXmpfaGYFh5mvYSQlgPEYML5eWWd5XNLG46ZCLbVSKOwVn4RvpE4Wl0n0ZOzcuLceLYDil39UJ7 -6QipSvtDYKw8kjZok25NK5cK1OFTO3ftcEzAZSpf+S15nI11596+9eLQmMQH+LTGxh04hN2unAfw -R6IApWloXOB/KJz1/UwblBlCSgesf4mWUwCb37TyVqUZPJx12B09noszSFyU6XVwSFFwCu8KqnDJ -l9Xj6Rhcc21AOI23kp5xHvHTNTe6cFHf57f6wOrXMuC6JFRZJTiupxon6iX6WFTyXGO6eNcxyJbR -QQAyvAsc2fkrAk2acnsYI7cY31UeVZnXBUvXfWEVn8iiBfpEPNzxCDogLxVLqwC7C81HDV+WFWJJ -4olOgotjV52jPgYcwzYcylnhKLy7lVeV5tLU9x5KT3Upd73MQZ8kFGUxQQFZ6UTUA68RoTwyhzuG -yphQHsP8lqbgrkp7H1xmDsKb7TmozS4/1HmqziIdsRka3hWfHZPiDr3rZG9I1ZBJJImsktiBv3wl -JCso9G9/JF9k8RF47q24bwcTDy8KKorqnb3qWIZcMPkabT0Htupkcc5bYGXsEJltWsHvyvM1/qg8 -a7zd2vLTJL5PdETmR+G2LVLAuQLZW62DAx5IbZZ/z+mWdw4IDrfI9dY3fRROtK3yp7rwmtztWyvd -731+UfaJaruGTziRUTCTjaJ9lc9m1lmTXcVDFls8eoCWvhtced0OdAuXX7HgtatUBmQnZ3uCKojr -5bxOxc4elnd5px6Ifo9H7uOkcWMaO9+EBd02vUKovWZhoxRN8BznGKFSepzfeUjRz3smdb4qBsJ7 -DqamD3migNw6V0WFOyaqfYzpw5i00scOZv89H52fNQacBTsKuVfl85aXTqVXPg4Cc/BBHPQ0PoIw -XnARKYbvVkFv49cFJUagTFGJ+UioqfMg9CjKjmPazslWP84LLfQ2f/cZRoVFZakqGWWKbPku05gv -9V7u8tYeXuvT7Z1nKo+roCKjVvBdg8siC0Jv7rlYZNu1aNKVpn7VNY56P7tmBdb2utCeZ1Fyywhv -RcLJYO19ocoj5vkaayxD2Co0t7x5h3d9psLaBWfFhfRqw5HvK2HLyGKU7CxVfdrviYkUrmsE6S1f -uk8dPYixZKWU5lRJbR9A6zuG+lz2wVtwZJ63BukYPBfgc7s07wnL0cdcf+RNQiw1QO+sZztKVgoZ -KrMq/JFNHYPY5d3dolFb3t2heeXdvvjswoXrJetsSt3rtqxyqCbEth/PX0Ue1glU+LvPHG0/s8u7 -u+/rQNktKvVYcNJX9B+VaGlajYbprdxxzY0ojk71AHlU1w/h25W7dd7vnml5DDhDq5YuVfWv2qe/ -nUN1PbW2izqn/Fr1zL7j8Dn5XTgSIlTmYVvm2p/03N1J8JkdsXWHTXtmlalTPxlYpS+OCu2lmlVG -baprGj5McW+POrJqB6r2JN8F2MM+dXTtEI5KiHbbPlff39dP7rc6qP3e6ZMPu8S5M/7Ww4es8TzC -uNvbpvsIWRe2Kr93maq9yNtku7878O9pXDg9DLp7or59eOqTSccXxxjuDvc1H6ryHl3z0MvA5XCS -RYS/q5LuAtkRlbOnj9Lv7lhqH8VweOxEE3tAP/2rOqG3cZN7FHKfqbg8xoddvUvHY7Pa+4/hBTk0 -jsBaePBQ+EDG0GM+HYO1za+786fi+xM+70LeCfdrn6Ep9NwdQ8XzIZl3Qldb18puH7WNE+fuKV1v -nz3Gu5FRLTlwKv9WuLro1XX/XoCVl0KvHcOghj7W8W1pXgZyiB5d42jDcaiNQ2tDV9t97u+uofvW -1NC0PUcLfU+FuYtmn5N3o4C1ELLLo5Vld+E/FcYW6x80yrvw0Iduh57Ztwbv491dufsQOB1Llzy6 -T/t9ZP4hHbD9bnstvS9uxVfXWnoMD7v91nN32eEqDd9xXjWhOLXGU15nDIarTTivxamxxpHArzHe -yybVw/7r8RT3LiLu3m8v+l3v9kHevjaOnY7fxUevMRxQyPbhtqu9Q/e73ktf+8bR+m4vLk7n7j5K -8qFn+rwbsvaAt88zp0P3yG8Ejg4Peg9Yj46qz/t9nnko6F2wPnQMfd7v88xD4QyDnjDn79tfFyxd -9+/bb33vc9PzTj9HBvs54Tyl7VOefUzZ/1A69sXzqc8dG1cXrrruPxTmrva77vft/1g7j9XHobH0 -bb/vc39Wep4y/lOevc8cjezvyxx7ntu3E12iPoxWXnE+zEw5Gg4L/lujvCdyT4XfA4MdyekfrrjH -KNADvHvteKlSOr19te5vSht3PNn1+T3ergfg8/xqfww0XvNbOuxToE0f1lwcyn0Io/cf1p/zyTau -jqZT27Nb0hei9FGuQ7iuz3xOWrRofke4fTLH2+PtGRZUX+mCtet+X5wee+4YTe/MjdrIPWVVF80+ -N6x36LZHLu/i6BSHSPvdvnB+Tt51PIH3CK0O8XdfnuqiV9f9vv10PbdvXd3Cf+DlU2jbB44+z3TB -0XV/Oxf37Ywd0Tu62v3T8i4Da2XE26t/nULHfXD63b55+LnpuW8N2QfLMZr3oWsfOLrk1W4/CYnj -JePjDcPz723YT9PYhnyPN5w9GxHu89R71DS6tsoxyRuejDlnROaKhfVDPGAY/O8Xhg9T3MtuwMg4 -9ALkKNob8WcVaH/z1WgrKJuBrD+JpdhZ2GkzmWhKe31ocX7m8TFQc/qGXIWWDQc2dE1hhM+90D4+ -WI/XYksAOA8a3i7N78XLiQrs7ki3k7ktefY9dOz+PcHfzmVPw5Y5XnkisYJNrGUjA9oXz7bjhru6 -3/IZ7RyLufpcsqH2X+TW9nxMHXeVdS3e39K8C7Z992tc2qF3K5yfgaRNpA+ytpAscLTwPzIWXTnM -1wkffugYumTF56JpC7euRSkF35Znd2hb5mjXWA/Syxu1gwMP3bftU/hL/t14vmv3auDbnafkDOl3 -OLU2V2E4KooKHh7KN51w71+HGr3jdqD351/aSDN7AKlf/QG8+8muLn2S8+buHK3DvA+P9aVpn1jY -Tprte6DKomYg4cmDV/Ps4YCSjgH0wU+fZ+p0jz58hE+8zTpp7YF3798Nbjx0Gxg5F4gCPx825yVT -84RUn0nqceC6t+LedEnMjgWOSCNU58Y4WWGoIkll0bXpnyziYV5ZcwWnqInJxBgXh0GTmpEYIPME -exLf1EVNqkbg83myjaws7vLuY7Ng9EXivRjm/FLFQOYkBH36fJqsMvKjaSA/QJvmXsOgF5wAvyLF -4QQOS8YXK/KV+LT/GO97NBr4FR5OVhkdPGYn8iBpxVWRPaMLUmxdkebKLCVm7tiNUzzEgqWdDe+b -KisZOjwg6vvteWGBs6Tc4/9UNdy5/xAWr/KTOTkkZZ7ncJbJjsPcBOgR1XCHVxzDT/GpW4mT87cI -qOV75EEqcHXP442ZmWr1QeBIxpwat+84rLVgNrRyijlpy1qH0h8CZn03NRaQQROyyhi6aD2JZKQC -92Z/s2rg5CnpFYqOl5o3wGie+TgljsmqikuzM+CZ2aT6M68ky0y5WemaVJpx5TSZOmpKsseQhZUv -zdMO73p5sHH1jvQbaxZFUqIp32NzcU/ZrtzO4Udj4vt49dIHDcAaG9P2yZuVd9uEEg/Jx13ue3Cs -C4+nELrinHUm6xVEzfrTPl+kty+1RMyBbkrj2/WoV1fhTYZtLmyhlmc95HaHd8UD90tWmaRQ7CsH -eg1CvDXjGDMfLYrXFBtkruoMM1WxfJurmafNHIXGJDHYZkzq4l8FPGkBN0l7DF/WrDIVz75feddu -zOSxK6/6wtPxnGkt5dMNnsxkRCprkJU4UwuFoGLn5/grM8uwhoGLdZ/0m1uegTflXdk3GUZagfWK -WLNLpZ5E/BlNoohK80eCMbtEZDsaW7WQqbe5Id0w6RhHfBxeQmcrihZamr65KdZYTlf2naeRqxUO -1w8avCNXua9clne5PTRrmfLosWSRaYStPl0qRQrPEP0x87SmKS1nDK2uOnGeMr/m0rw4kXuhW3Fb -ql8nu0yVuwd4N/KqyqNDHdR3t/OqfNGOcS9i3PzaZvKKrrRNhEJ2unqfQ4JDHzgSentvxX1r56i0 -kDN0TMUw83UPQKL5Uifky11iDq1vyKX6nLyb33B+1lzjThgINKVYwMJJz/OWTh+Rr9P12eqLegJU -gsYvkfZW0WpnSelFmfND98VA5b+n5Ax/ZeGnWFnMVRbVIbmO35vvleuCzy/J8X5p4Qn+n35AeEDv -NxapucPE9x3JF/BeSeco75pXVuHtLtHCIiuk58oiUg4NW5QrleHgdYWqdQ7ICH50cm4xUBbBgXnc -a6apZAMrglN8u3goVEvu8+YEDO2brcn7DxWuKqyXLITkCZ5aKIf2JuRpTsVYsy0NJ4Mp/GBNgpUZ -X35jbCoE9muVuL6XAtUcxE0dnCansAaCQq7CIZx+Xy//NOvCYyjvNJN6ZS+bKn5W6RuZNs8+/gXN -lGFPvk4xt4yAir56hcbOg8tLcoIjrCwU0nWJF6oTD6wfUZT/eDRKPuG8bgVR845XPIa2NdVrVwcd -98WlNIUfJ8CaXNhcFqJzpzc5960Sa5Eic7i7ePm8hEHvSw2CPnwV3uWn8ExgacNhG9VQq7xrARQ7 -60pldyIKNJybOUjhMHLVzl2wNbAF3XFOKBwGXWfgwwP3C5SCk7x56sMq7ZU3hXvO55K/PX14vxZe -CsKdQIW/T4Rn7+MFnxYQmwKrOfPNrLI25R14niCrRq+oqQBuV3j2xm3jqM80rTQPv2tl0a7z25Sm -5rGvBwtTOKzFu6bQkHeP5cI+Bf4yDov2yL/qH/MqcyOrGlqPGOOaFIpjlIsUaGJMi2vqplBwq5e8 -MCWgvOvwwyP8vOeP6hQJzblfszMGBidIS7k/Ba59zwKrhe9SVAmYTAttpjWEbJMH3PjoF9DVxQcj -ygyGScn8usirPv0HDpVyAI08krY0pCO1GiHS3KJidQ2KAv8IRkqh5Rg6WgRNB4V1fMbuJlDwyWKY -S2rINGlqdShIc/QNfpsGM2nBT8m+oVy1eFiFw/lfaykIeniXL2tqcuWRc7SHWN+iOvOiA/GpOFyE -u3lbfSeob4ynY+8/QHFvGjZHuIh2AVhZeIaFS4TOqGoqXkjBPZhRyETLYv7uOgUDUlDJwggwYmxC -PWeptMamj+VvSx5BC5xY2e98/XEYyNryfIbSjiBg8r/517ukGn9G0Z0X37Hgcd/DFS9/mA2umMjX -pLV0gbvCu+N9F0GV95Ms4D8OvMfrSa+G8hFv5Uy4xYu58uHlCJ/vSJJJPn7rAgz0/FiJE37Xk7s5 -tge2b4QImk0qvUGdUm1Sz8iQwiBZDJkvm6rsqljqTVQw6Ykyl2BNtfoA6OPhEAYWvrm5n1k8phrc -VLWNB8jdtzEVg00OilIUgapg2goh5EQVTPvGEYWDHxd7BWmBg20dFAR+UrWKhzAeNipG7mxY1c+i -KlZQVNroCT7F87JvHFZapMDHDFg3pr40nzuwz6gSq9dubp5uPZnKux8/DJb/uo5yN5s8Q545TqoV -HioxXvsrCl68WxobesYoEGSJeOuOJHe0Hk1ovsEYzo6C4pbnNywow3j2H0DMyFxIE9610Ak1MDQ4 -QPwM3p39fTT48He8r6YwZQEdUjXS/4TLwj4WeplzuGr1C6kTqyJ3aDgu7ozZfArNbkEt4oJBsOXd -YnAiWNx1sPjJ0OJa8s8j8K5D0yCxCmMMbOfgvK4rMhICjiGo/Hg/1TfdsXIfvi6gx3jXDuRZlMd4 -Zq1FgLGZgmkUtNl6YVUYLHCjtvUerrH+i8V1VIh0iDyUdx0HvOVaPFPJw7mSHQVrmXjP3W1tbpRo -DdDVa9bjVODiJfkhB+JaCsQ+mooH4bQomIzvtj7tuStE8c4GjuxWwbvSXHraDgq0+BiO4PVaiPGB -LJwdMRU4fqRmnP/Aqw6RHVANNHSTJXPYFMrO2dmz5zgNLdzobktRrg/RFkMvNPyKB0p9kUauuuvJ -u+o/qTTKfbzPgdXoAQ1yXbk3PPAYNNXpo+xlnclOoNWEK/viCF1iZM/gXavErlDWw46s4cMxleUt -BKiSf4x/TXMfgxK4dKzqEVZBr7yr5u4a5DLndFFRjrwqNH9oESYNLR1PTEN3hszLv7p+n92h2dUz -6GvRQlOGU6SSdU7Deujag4xcxWMtIQozdc1Ti4K5pqhj4nSJY5v1JOtHzbtr0Ts/VrkrLpS7VuqW -poeudjrIKjjaMe7ZEqFDjVib8bNrQQylIodCPJ4hxC11Xg5c91bcXaBzkZrQ8vRWzM1AEM6baWVY -gI1Szvcu7jK2pYhZX4cqG9XTIRDBRxNN2vzbyIVaTOkwts53HgsDyu0JitELhMALJsiP//gweP2P -j9G9plj8z/DWqD9JmRcIxRsm8C8IhpVFIagC+cP/abbbP8DwHxGKf9VwmY2K8Qb42GPWg6WCbPGv -JYqc+85jimBM8WpNEDZ69ZwrrucbwwvKetfQLDP28OWccPtSpRwvfgoPUc8gW7YatQjT4RIBmrLj -jQBLBbz57/zFdyhcVi4cqhj22RreN5JykG/iQohH3YVwRYXcDUJPxpj9/TKedktSN0WKEYYWC/O9 -eN/AU4pyHYHVx5RVLh7C5FgDB9+La70fKLFDKwHGy8czOAAG73nuCffFhYsl3s1h12Lcge8RC3Oq -D1rojEqxy/+hkMlTqjf/vfFqIdEid0XvSm9mTaMYRa9xoKbCqIbHoS1qDJwo7co/wgmHVOUbXAKb -hWL83kvZLs3blTddb1QqxZcOk4K3k+e/70WRZbHXa6UB8nNTo2LM4i2dxyi5q4/XhDwCu3nrzYlN -gZTNfDq4+BHlB8Xwtgr1nhHUsankqQDIuxY0UmlVTBhasAJeD2Hp5RNdPiPtUe5UgAYP5V2HVdZZ -q2qGbJmD8Ir9sRAn1l10ayCl+hzfsWfdkdThFuDS/ga5GY+lSnutrKmSqjH3Oz1zKC1KH1p86K0X -3kLjOKakaUJNdnNkn0xYAWngGBY4PmkCeMWDCq602GCNDpFlKgnrLOnM04KTT94tfLPlXTygwzfS -01AS5FAUWmCyHeWRig/zeAjsMVI0PDfMY6uIqzMf6qcL7iIXVdzsSp2iBgSH7WxXWpaphGeJZ5CJ -boCqo3g/k7jjslKpu6dW/i4GZHSWyF5kjfJOmMWlyqsbj/DU5iUPVd5th2J19bfvvtPBsSofC++m -UFa0o6LcWeBSz/eIKsAW/8NonGF0aLxZCHH98wFZUeco8ii8a7sq5RYgkl81WkqYXhR55a5e7zf0 -zW7u5jurOpfQzd089qfAqi0LL65/ZV1RRAinciL0awpobpKPn3DMsjPY1OHkmVr8pcu9XXnXOeg0 -tMgfa2kNc9roMKEAXMO7/KSaKn0yPzYWFsW41lgN77bKAtwBs50OUp50/J+EyjgQ1kMXipwfUjn3 -O2ipgSjcwsoO9mcKlSlD3k4+JhGW4ezl08YLZoEEy+06QesMTb6bzJro+NnSqVcMRVScbMV4n+1n -79fJdwojnJ+9PwYgTzwXaYHtKpQQjOsUL6vy0DsbLCoVl8S/RilTWdukSF0PkXj/8f1p3mwWjRE8 -HQe6uqmIkl8tqBDe5RmFEsJubrVdhLgezoTwnbJo+azt+RMFXXQr3Mrf+cWEz3ySgCFH83NKP8dw -W7YN3TEcAcDoQmFDB26/BKAST8kiMyFkBK7J9xbjSpGXvguYMFgqPi7AhgfzhyyWmHZXMPtu8Btg -xYO3HkFWKKOjNAuXUGTHT4+x8ETlIU6WeYECO1XJ1rPpKywIvrFwAZIWfSZBJllLwQhQFbZGmQ1a -DSVpur71LIXPjhHs+L2cNJJxw1dA58T17AU/yl3nvd6oO5tDITk3QxLH1AFk6FH7EA7f5z1hamz8 -5iog5293PIJvrsIG94eytGG3LIabX4wdpWt3GTIYB9gINovvrfHMbvBOzr5HGXGe9eYnEdWAmqso -rls45F2Fqr/j4hPBOxPT4TzGXLWQDUXtLFw3/UE4diZGwbUhCLP106Yit9g25EuFoU2LfYivNIlC -Iu9qsDoHW7AXvAWcbQxvA/ajXDacAkzAiVI34W9D20RgznnbD/AbjqgRM3l5FaPfnbvoQ4Y8NAvc -8avSX56/Q6/CGxHy9eK78DQNGz/4WFd4k+r0v+AcZW7O3OFM82XRSeXtRtaGeFXuq4FmjirQOmI8 -KpwVnrbHrapsdhneKPLKZqM9PxKsGTc0tUaNw3bnj3nqXtH853fNeUnB47yjjqGJ66m7Z8necsIl -uurlHHf4twf3bg26GAY7beej/xyYJMEj9w5llalt6tD53eeENQtb804yyfBZ4+SQcVDGfm+P+6eo -YiBYQKOXWNQc3PJgT+I9SXPTeTFgDyEYI73KwaAiMxsXXlGGOls5P/CIGIjagtB7hlUd2aA6ghJm -joKy1sf7+ATPmDtoU5htrTKvkPtPuzSuxRFe9lyxpAseIhjwZuH1Hi6c2S4w97iq5Y6HowlLpeH2 -4lNliV4tv3eBeszDfcgYPfsc82IrGO+7ioiKdBmXXq+1ISIqCghTAoYaoTohxAQDfkOp8WzZBj8H -4BcG2+MQmZ5nvSC5RGUVuDoo+DsHNkFBcz61LFj3QOveV/R6KM4Ma5iyy1RODSmjRK4H+Nh4H0y5 -n4OMjllh6zj7KARlyOlElskWa7naa0JxZsQblO+LEvxYcGYcDBp8Gj87WKpRl4W4BUcdUs08s6VJ -r3HcyoN4agNGXex3ZIUHQhlGozccWBx79bnnIUP23cvVO3loDmYXifsni7CGX5qqlgxexxW/AkY1 -rgyd0PP+FWpypXl0AP7pyzN9YHcI7kyFV6Ip5K065Rbsagx170N3Y76z2+FvxumOykrPcQHjYHeV -NOobhvnUxoW14q7AlJC+wsdp7zFhZXd/PabTZROqmEvDj/m4YddjMX7HeRzuOU/VY4UVvMRQ6Xs1 -dl2zQ8bYsynTfld4lEnuKGRF/AxXdkf0hB9RksW7pK4/DsPvHFvvS14ADjaRI28qoPXv0rahbNlu -eGxg7Uex/vxrjGfCfBAEc8O5iG8PLPZvvKJGWJvmveEr+JBP9Ugoa8q7d3bYyo7GlneVVymaySAO -naOyocrbd+TXDq+lHWBolKqdq35XF/DDgD2i4g5u3UYnJnL6TA6XyYvn5BTEIrz77GKd0uT52ftj -YInQUK9QD2xmTvGsFj7Vy+4Okbve/+kX6ViZkO5S7AhYJ7JftRW0+yKr75rT97n7jCO7LYDTPmSH -QJr/co1OBqeUrAOGEM0u8EhzOP3jb7ywW9L+YN8ii+cVsDLePhlX331MRWB3PMjXhIZVvdph0d/G -+GBCxBpFlC/VfzRSiCm+3a3qidiq7Ld09ztvlj6zKBx6pmdXxx5LyI+ed/v4nLxzCA77rEpfHcNj -KgaZkjDSMRymvwcg2Vd36RmtbwfzXTR/CD3tr8qb0k70nYLTzbubwccSr93IJI22K+YoceJ4MleG -Zp2SXaBNz116nWLI3gfmYfFYttI91mbM7LTWOys8MRQx2p5yro7QsJOvXUNu3/z4nPMzeJV37zEh -jsnOfYjogiM05Z84hk7G5OEXSltjlPapSjtMvDAM9zXxR/ZZFd3sAJwK1E63FY1dsN5rbdmhUW3j -E7Giggxg21AZ4eI75556RAduH664lw7cmdHbuuIwozFl0+88CPRksDAbwXY2SQFfMO63fWkOa+2x -gesBmrh2dKfZaB4/X38UBiSP5IAESxS0d79wIAR38uzvxPSxZc/RYcjC7khJN/MO7+Pyw++Dl4bU -jA3atAFTGPyHXLKqh4KIJVq95bCeGZG+Z5cC/FS2reugsfFb7QQ0bYgrHe61vPfgTsXQswTExma7 -l9jheLRrJ4ZT6Jkpadc23ve7x8i04nCQlUNSjm2I90zKOIX3S5V301vyN6Elm4/G1hP3elO0FRdN -DnWaSWPIerm7/n0CpcJNmMhG6GGwxP16mMiDiirxXkVwJEWXhx2F0TCeLGqdPXQyZcQZ286KngXb -7as38D9x9cNXWKYTXFHQNaLZbV22b+O9g+YLYl4Nv/cwqfLreP5h3i98MzAe2gNPwlHiSLdwaAxD -88RaehGKuE24/lgysRiTq9fvm7WC9K+GySQCyc/FsebfbkQE/X11W8coIiPPhaNRCjcTGbjI+NqB -nkHTXXrftKdJB9qxenVSc88D2/hg+kG+ZVPKbuiyiL5bRnP8tVjT0b54OeDc8v0WDs9nSD6Do9U3 -jBPWSJKm8W6CH3lekVnGcR+w2u9UrIVPwS/q+K0urjcaj6UhCHnOoXNYb8x5h2TF8tgB8vyoctTi -3WHhXc+ZNDFjhT888OhZtpzF4AX1ZfEj6R/xEoaE1aZN2q/zwjHyt7t8m7L76TPDb5FX/tGXh53T -rnkefJdEzkHnRxU1ztGSCjOwGn4hzR+TdwXSc0L2aRxblhEkjLwbl3pVkujXP3O2iOfuKlnHse6z -8qeHbKVp5mCAv2sI4pq+pblxsc3cftBlQhJxTPrp6atnBjzhBEJpr4ff3c5umLnBqv8IdgGzwQdf -9FlLhVHyOAdNby0/eli18q7tFwMpu3PiUnmlnAz+5bE6w1pQ+9X2cKo44zkJ1D6cmrZpR1gSKuNz -EQqNNz9rCb8S9nQcrQ9X3AtCM5dRvpPz2JgzJ66cY5B+pX5lJA/PONYt3FpwLHgTEGheW0FJTt87 -D7WwdP7zs2GAxUxja+0hva85kDcmnadKOUJ9xSGmJcwcoxtCT2HCqQft2F6eGc8MQefmsf4POVGs -cuYuUzyV5gp2EijLWIytT5CMDVrPmYxOkDoxy3d9iejCn9hrjVkbU5jwt4So1nlVbO1jhBCrC2Q0 -rhP72zMu83ePncvCBy+sDSvIAUMXejpnbGMz3zismhc3Y3Y+89weWfdJNz4qPIQTBGmmN4sLm7/l -KfuPl5/PNXQzq7EMWX764vTQcxggaw4gDT1sqNCVbiVHs4ekXNhyZW3msJTx9WjsUzN4YMBk7qjh -Hru8ncNXvBtBbpYG4S608n49wyCckeM+W+7fbnrdH1rXf8aqoyTKBuCGfUNTebdR9pImMsK9obth -Ul6Jh74T43tgKC6QKqZJTwrupKV/F+UjfOGPsNu04bglZ3Yfz9PJCKj84lj2XXWu+juAlt/1896X -AMD553z3gJk4NDOQtAuc3iu8q5UQJaHQM8pSee5kYPa8IC7l2TYcLSXVNMuGCGxUSjxA7bNZlwvP -rjuUzvAuPz7muQit69qGOHCOWJfA++48+ruIgLznMxGUHXOkDy6qkVCVrvq53bQiM3OHRAKFd42R -zvzqutpz0GcDUxl6lbsJjyr0rCFzfhbORwBxO8SKY1HnGlBpnL6dnOIcSJ1DHLK0dk7kFYfLO6/I -XQZruyUvf3hY+eRh8br+pO8ir6Lo84XN17Wns6MDD0gKDPUo7fyekxSgcSSQHcjEDK6nNcGCTTgO -503l8Q6W3fZa10LhreujMApHXT98JqFsviVOxG9RXJVlD3aE2YGD56rjKB+3Me9R9v1S62T/dW/F -vYl0FkgyERBDJmgeywo/RblgIcskJzWcB55IOeVWlQajRRFMFZWVwZP0esz4OyGzxUNvQYU0UKpL -HYTgfOPRMCD+DY15+8vcbKmDCybRf//4DD2KWHayBrwjX/ebtyzoKFEeUHzGAZKXf7tkUl0OvubZ -D3glfiG/81LDTRb4i16GgPmzIjZmTuz2zEOo1h0gBWq8lRo5Zl55S1y3fM0cGcH/E0oaK3FzYMot -W9g7Rs7RuDne57wIx36bVHMvGo/vNk2gB7DiPWMOuSA/Y95clsXTDBce6nmIsCnbkmYQWU1vSCHI -oSHy+y8WZJPxpL2pH83pzbxObnO8NGaYWV3zbAqz8QwKQhbLQ1fhlXisFAumezR2VhFjRhLHr7cW -D/uQWE+zHTQZOlQKI3ia7Cs5jf8wptNDJ+1G5EtO6kAzBJk1g1iD9TXxlsbxW8hGz5sKKIwuLZVp -psDcmO1GUI+Ng3EOySW9+YbnWJQ2UXCAVzn9npfFg4swX230/ptmr/ydTB0qhV73hZX31maheEP7 -rvmeWfjhedaqoQWn3rBwvsV44bmJXlg5jPGZLztp/vAW+0zOLJQ17ROs17Hh1Qq/JnWcxFJZ5Bvp -tS2cxWeycCRdoPnATe+pIiHvRqF4GE19O+nm8CaNmYM5mItRKN3WBrfKm+oj1h8JzzYdjsHFhqxF -27MZ+4ZReVfeY6dp49pGmlbrGTTZcuRdlWRXPH6bag7ndqP4cp+D2En3+Qi8m+HhAHM3NCkmYSA9 -mR6a31woZ1iHWVdHLzhI/e5isEy9AXL1W0uA2zoh9M8fpWlkCvLIrD8WzVLeCGcyjsi73M9ch6/N -yGJWoWzh8hWe3GTcqQrRQ8gaZR3YMJhjbIJdHSby8oD0iE2BJb5T8RMVeFXNCrXBKF+wvsW50DWH -8gwDF84XwFn6jFdaB2M502DmrsHzJjNQ0mL6valqpf1j8S40lR+b8dAsupRFxCIjs9thR+DDdQXa -DwlZnJOrPoX+DsFZxxbedd3gi7JDlNS0epsr7+Kg8DxDdlZe2F8TG7vl3YfQMhPU6Un/ygZ0Vudr -k7ueNYW5tODg+Gr+nl1fYATf1mRwZ9PxuM4oTyLTDi0zwqpeQkanjYXDhON5keFqo+5mI3eDEirs -WaApNBfHguo6K3+DkoPzo29WmcS4u4PLeLOjV5T5ZLuig8+eVUZZiGdK6870Z2uLrni53ZlqUzAv -C+5q7oJPHlIZXOWDCZcF8oZUayx0y0uFNUIGQbOSUXyEzwuUhQ0LiI63HvbxQ1nn/H7BwI0HlJyU -QwosedCKbZx3KKivmTxLBSLEeI3wk4mvFFiEMpgCUoX/pgiKv7LifodRwNVy85ET8LC2AgTcLFzY -yOmexcPgoikFe1jo9F5uyo6SRTuCo7coQEd02vSl7DVvsAocAjVz3fnldnvR4ZqHFL4K9pbC8EiV -Ns0H7UIwpm/rMnBmM16QFTn7VzeEkyBtVwj+0RPuMc/dfcuChzBboIA1xTM6LvGQw3Hg0RCCOB3A -oSnKKhz+9gCh3v2az70I3UcJqaN5lVYvwwem5mWGpuauT256q8SqWJo2Ue+lCwcCy/oUc/P496mC -KVuofCuoU7ylWQQHpgmsaR5dNGvKwFqYSIWg0vyhCoHT2Dz1P8M131EQT6UAlCt/l8aVMg4XRFPR -mcvdFTFKEHy3IG3b6pp4JnMNd13hXd5HRmRbWieNip10tllxkZz0wG6ub2mqsBcXj1GV0fa5higa -5vaOUS3utR/g1Rm7HUvnoPQWXmBMuj36TiFBjFGNNXn8aPiIsCRlIH9UOJynKnC1Ymh4mPvWX1Bx -8KPvPCS15y7+VVJTxRk4aFp508BBcTB4eGl6Rj5bWCtFjsPojAqnjE6IxhN9hK7hXV9qaHo7B13v -nbvcSnpE4EY5SR0WlXb5IBV3JUYX03TcD00xUDQ45UnTd5Y1S8MrReEoIR+4lckx2uRjZPWvFPIp -87tzFNLLQy4aA7UwkXZHnAQit9K88i6flVcanI/Buw7QPuDdBo7b9SO6FEbnRmPIUA7GI9/mwLHy -yjmK19p03ccPCTWkrHUENk/L+hHetaECZ5VrWYPo2/vqe9K8a/3qRDQP0JVGxmjNPBUG0tEmCkOH -b9it6WRM4pPMY+RSDlLDvMHN2GQoKO9dCRlcN+VHw4CqrKnrR11L1UFrkb8USCs0rzg4Ck8ROJ0w -t5FW5pu84+Vy8LmyyjR53JscmwsrJyJ9/TvhAyrsP15HCKz0yjjRZfik31FaoNhAiGyxe0qY55ec -aDdTRnJS89hCxT6VVnnmoRO9E4nnByoGamjWB2iz/tEMWuYRgUTERaq0NzlyIRs7JW+oJDn/TSVt -SEgyf28V/v8MfNb49DW5chek6xpcJnUFioG5XpmE4VsmJSEFK3jcn23qKT2oxkkrFHpcycyg0max -FCmicuF3ZW5s7y+479RU0NVt3MeYP7bBgryykrGKnOPXy1EOnArH2qq58ojx2j4gzB5e9jBc0nz1 -UPRo2uI12/rPKn07OeiHFnBJXspmkep/6LUD0RVPolbji63RIR5XFc0VFaAbb4t5lQoulK6SQhvW -BaGP0u679iN7CIcnml2olI+1rHcdB98N1XSsvumlwvsYik8dA7+l35JDYMOLJuQhNBX/wglR9XRt -w7OKpzwyXaW9L1/p6VKRcwtB3tSjVNctdSp52bVA3s2i1eLdHnPj6CNljK4rmX+FJzP4bGkg50po -k6kgFzlpXxbQ4n1o1qQevCtNnaMpkFN5syg+FVf0Mbyu6yAjz2HQ23n8UHDjNPNsBmFl0cGjyDSd -ryMTgJfCcINCb+dpUuwZ+pa53EHX8C70zLN8SMGpT+VR490E3yXv9pZ3HwxgwZWx+ibDUN6WQl4N -P6KTJK+7zktTt+r5lueaOary3ptvZRHBTG7zyrtt/uC+tLtDc+5XJ8OjwQrvCgc/ytOGdyUD64cI -oCDbQpks/JH9ylye7ytzbdFmnYOE2aSNKndbsiI01/p241h5dczDfQrshDHpLV/+hByaVY0VfJPQ -YEXRTufHijXWa8NcVcFfWS+u8nYMw1Qu6UFbvevKH5BV49Z3s52xzqUInnK3LY+K3N4L2lYW+gcj -aRnFzfMCsfNmPT+TdrWEiozJSfLj8ubeoTLbIXhYje3yXB4OcBAyDoe6tp/5Lh4LD+DkAEEZpM+W -wixrJkeUmHLAYH3DM61DCafwwfnZh2Ggess/wrwfpUOhbduL7t8r6HxNzuDEVMNofrfl34cN4ct5 -uwAcL15KL7fmQYFC5ciKcHe2jRQ2XYtkxUJFqotIV4Gh3TCKxyTIdm635rtjVKkp/ehZX9eDQrXy -W+ZxD8WnwqvHrsKZ9vewQxZHEXLg/kM4aAuLpeKlaYGvjkODawmcNQaxyq1TcF2f1bhqLxy7bVSF -fpcXHgJf+136C3+60FfercWyVABzzrzKAP4U1lPkchtOC/0copeLmjTXyVPfOQWfHfgIjMbJZnVv -XfE/Nby5xlgLTdvPtO53oryOd5c3d+Hout/Z0ZEHBHH+WwPCLhyVj3WKxTjzmbIe17W7T98VHg3V -Y2FbGkBd87hPfweeWeMowrRs7lZY23LVnPbzIqtyH1irQtW33yLzDsrdKF38VJr6+RH5NqAd5N1G -Lq31F92pssn3p/CtnWx5t8zR9ndtXCmrqm79mHAy/61kG3lbaRjgi+ypeqJhUCRBuPdaWmGJk+WA -ESdcspXyqi2P+vJMALD9jku5o8Jedy6NtU/8rMZ8kHDwepji7iRwfPsW5d1KiXc+71nEW4t/RnvK -Qt+FoPP9e2Gg8a4fV7iaPNonKGX3GskX8FIXrnb5+z4g9RGUfZ65T9/td45VQW3j4b5zuA8MfZ55 -KJzp4wBvt+89dCxd73fdfww499Fqq4S0cPCQsXS923X/IXBWeh3ro88zfcbQBUfX/T59HHum0vJQ -P3fm6APldxcsXfcfAmufOdiFi779d/JN34bu8VwXX3atPad02UWvrvun9LX77D44duVSFy769t8H -jj7P1P42BzquXvaSqevw8HbXmZYHfs9LD1Pc+yLp/NwZA2cMnDFwxsAZA2cMnDFwxsAZA39FDGzT -QbK9sy8dpEq8Cnxn5VRCdPT07+4OtnD2eIr7PoujbbEcu3/IWnGgtY2uZ7r678soh/pxHMfGsM96 -bFtjXXDsWne7fZ1i/fWFteO53Toc+w6c1mdOPYzaVeOj3d6hPtrft0I5Hwn6E5s5Rq/H5s1jvFD7 -+pz80tVHG977jKMP7z+0j77k7QPrfWBs99+nj/r8Q/vaB/chfHfJu1PH0hfOU9vtS8u2PN6Hz2Oy -/5Q++sLZXt9Oaf+UZx3LPnw+1vz5M8DaB5ZDeOiLy2Nw9um/bz9dz+2O4981Rz8X73atlX/UHBW+ -Lt7epVWvrDK2izfdrDIeoNhmlXGeGjrDb0PMOhSqhynudmAMUk2PVitGYimsPXRFrOrIg2paIlsk -NLE7640HPpov80xNOq+QyUleGrH5pB70qVZwmn9qjdT7uX23j3b7fWPORsnb/GkQnCmyMoQmLqR1 -mKjEIXFApKbRMsPE2sM69XQzr6QgC+MNHO2ayRYn8QJXZu3YXqZCMhF+YhDtj/a7ysXvMtEDP2f4 -0GVWCgktOTyyDVEFTVVpnlxQsMX8/abpM/Z39wDGgXGMaVvwx6YsK2ioBmZqAXCIKvOAe+mDP5d8 -sSp4In18g1fiGH096d7KIbO+Y3ggim55IbzRpK/y8rDqHSNPtpqVin2FpnV+9OLNuug4N8KjfJEY -vAKB39crc8lBcPOx8iXXtss4kgrRLA3O4ZpdwTHUcbb517zoHmI65drCWfi/zo3ah21t4eTvh6S7 -3DeuilezGpDRYW1xDA9UVvja+KgnTU+Br/2sjJ00YDtwtGkb3i73d8dw3359b9tHi3eL3BYs5fIa -ebiNtqzySnl0LI3poTFVmrV5pj5bxW5Niebcf0xYW2OSfyOv6+Ht4IF1jAwo0nuv3O2D5xbfbOdg -Zf19c9Q26xj6tN/3mTb/5pAsscNtuZz7pk/M4tSsP7v816evY7xb4ZV3JWQJRe/T7EnPCIvzFDjv -0HS3kVr/4lSeSvsNvpqD1RW5pYMtrus85ot9ND8JqD0Pb2Wvxd24It8b0ZGEBVv5W2dr0Z+UW32u -luzO46X9T+bgrtw9FZ+HxrLFI1kK1SOrTqA8qvIiZDCrV0mLGTUROFUU2mtEH3ilaZBHx+0MLpVv -I48O0PxQ+1WGuV7UttsFmKrH3fDL3+uErCEyhsYcD49pd/swxd2WONQyurpoihs4cFMSMdj1fEYK -NY/+Aj65n831nuwajJekRuBrRmoq8lx7wtfUVJfkl8v7ZXgFCabiMtVuUv44QZW3vG++7MwjToqb -DWCocmcfYTrboX0PG5mGTqumT7wtaY4mLxlHVZirXs3J/w3KWCrMlVPyyU3Pf6aGMydsMomwIJjK -KP0mR64pxi6SsmrpoQvemVySGzpZNxp+C8hr8gmbSk7mEw7TeSWPqsjjWbTk5Tv66ZNSrw/Tdjwj -vscI3OdUmbtEuHt6/cOH8eBNsobEjgiOnj2fDa5eqJAOB+/IYXwjzD0Ud/njGe9dZgJa++3uS+85 -dJR0v1xPwMWLlzNSl9EHOa7fmpvWzCV8viLv8wdTb4G2S/6e36wH876C6hHwtN3KskCVeb9J0WU9 -nhVZI0yTmIxJxIOPXzyFD8iPjRIr7wv/ghPrK9OjVYOsz3ishmpaMi8zLpkusH3BWgNxqlDyvpkG -HusgURGspgicPbsYrE3H9ZF8t7+S9hK855CbQ3MOkHou8wQDNqkFe/DEXThAomneRLB8YP7ctmHr -/DFdl4+Y+82DdjVtWRW8ffC57xnhxBkxgpZD4VC5AZdLssp4eHGr7DoHuDUmXaDX6l1JvdZHzviC -/ajU2L40lZQVjjouYQnN/YJnpKkZLB5rsQwMDe8qo2IPfoAvrVgo714i4a7AgYaYpFAuFF1vkQq6 -0LZrvtWxCkfy1dNQUgMWOAQtykehqZ+lebI63JeIe96rig/pi80NrQNgZfrDkrVsdPl1k0axVRmx -wQd8XqpmHh1NVTysOGmqPuEM7wJHe5rKs5XmvuO6YHahx76g2fj5VdJtr65ZOyoMRXmQb6fMoSyV -LD3NIewTrsABTWsxLQ/+CkcFxYXNImaRV9KcjpNF6YQ+uh4NTYHzCv4lx/jyN9bd15+uQaknwdq9 -gV/vHDbvar/NmylIVOagOkubptLcvPnhXZ5xne6pL/cZQsXpKLx72SRz+bXh3TUpeKMvJJe9xGx+ -pQIuaDdX/5b2hzqrNFMeVd61HeVuW646R62fEblbaGqV1ce6UsG3NQcBabUi974JD+Rf1hRhNW9/ -NURNwJJsQdSVuLNGHIPV9dE6QZG7wKH8qYesBcf74d1gEjzwTE112glrwcen/t/bN7NGOVeqXsoX -KlVVVh57t7Ryb8XdCe+lsJt9R8UrpNz8Vxe2BblwERgwsny+kcE4LTtGycvAFJZc4ycoyCzAyzWV -ObX+Lb4BsjYQYAHDuJCo9EqMJUTTozpG4HqSfGA/ADeEiacoJ4ufVKL56rmaCwkpzW2q0YYQnsO9 -yehxjJBFEbUq4NiiK7GWCnIdG1sapjpMH973+vldUjON8aSaF7cpGkBOc+BUmZ/rBdRjDW6SW3X5 -PukUhWMIHBsZwRz15oOGYc1nsEExMN+uzLnBEFg48xBMUxVXhMP8R5WxIhHqEDsZ6bQHUqUXvD2n -z29eQhP5iRPOFy42ku9nj7BvyN8+G7z6/gLYR4OP5E+NVWyRhuKKP7TTsyFFlYvJE3D9ClivyUj0 -jqJOGpvjstvRTMrh4CmFQl79cNFY4ODiG0oij7BUX79eDWb0e/k1OXuR06bEujSnNivlB40s05V9 -JvzcwaYTb4JirtIOLEpNaxGMXpGDFryt3n5Mru8pvF3Tplm0aUQu2pnKg0bez9epgdBZYtxCJuZo -r64V/h7qUS+L/sbiGU/lQwGHFj7L/0Pzvz90ESmyaARfqvQM8fCgyiJAZ2Dc3SCVVrp9Ar+/ukwx -KnedVqk8eBr/Jfe7OdqFQwfE13Huw3hF0UOeWgikKW7D986naJPcLwkkTuxx5/EmT7v0sVjOmm2m -sXmL4UFBjPIeg4xnVHiBN3qJ1H+DBtTHSVAWh02Mj2KIuSimQBcOgpr+8gpc+ExNAYc4bNP8QXA6 -BmUqSs9UuZqFhGrIM+DRTjIHNJ6Fmfj9L3ChEYjRLFMpv6YXylkdLwrFAyOpC5FyHSOgXkN5NQZX -MYSEnYIySa5gLmMK94TmqZbL58eYy1HwWFO+Y+ysKyMMsc2vjewYgeMJxuiI+2bXWZHfc0wRFmX5 -DLkX2kr3Q+OI94Uf4bAAk4qNvCuczkGNlCjMzpFSSE1kCKOFdOzhMZT3Ok8xniffsY7Iw14o5RGp -hW+VVzMU942ywYJfvtdXoS58E76sieCFl6rnw6QQLMJGPAi/9PyKZyOvdF6VQT6IeXk5vKXRCY2A -J7sHP6ODiMtWHOYIfDuXhxSKsmjd3DTUyts+Gb2cesKgslquYfgYOGs6Xu5bOOxW7sq7CKlK80eB -E9axwN/fNFDgU9c4dKK1sgZQcu5RB5rOFB0l5ldnHo+hkdW7I7O89vFvJQeyJcXV1H3kXee978gj -vh5lF7eTPC7y3alRB6v3HwJn4anZN+hOylz0NVOBj9dUpHZO4oxa0i3UZi1t9K/V+6TSST0CnTxm -YF29RWY51mPyoijtkUfyifLXqBVfqul8Wd821hGpSHvKH2zxZx4fYl/7LHpL0399cOcF+SahMsWg -DR/Xieuaxt9RVxWGh697K+61oukYq9sCPCmk9JaFK+XOwcXfX6R4yQcWszXFADYogl5L8wIzeUY/ -NtaVOWRXKup8N6Tc8gJhvvyZnPCLr7P9ZWb4NeWZF7/Rj8qQuVvxTidbTnQT+mZRseLn+Fsn5Ibc -w4yDxdwFSdmy/BF8HMrfaogD76yj6bkYMXiFrcUOVDySo1aBg6pCvtTRt+HmxqsOrHMqu06Lp99t -myGMP2QRmGXrjjb1asggPOeioEdr9tzxMOZ/XYM7BM+Tl4MpRW2kmbsXwrYAnylyAgNNr16hzDMR -zV+q4VLSLz5krux7t8aJv/xuNnj5/eXghsIEr/9BblUEwvc/PBm8RDmNIGCcL1Xa+f415YmvUeYb -zJc5c2zi6IFGuW0q2VPYCaX9l5/QvoW9vLfeUKkVJf0HlHZ3Hv7x9w/JE/89Avrlt+Bh9AGjRpTK -G9kgLZcjODSzHg9b1iCwemy86a8o0oPyJm3Nhx2FDmNt+gPKu4YrE3WhJxVvz8ZiRVjWm+8gK0am -ysHyNYjoqLC3QYHT+zl0EbRyrRBj1GwQam6cZiwKMJTdoRVGLVdPhVWLTFhxNd89cMG0IuEEpUfj -av7TdbwcQ+BOdVHkkEZnPNTwr7sNc+oyJKd7tTV6oH+jx0eYVNoLHHqyUuWOhddqhRsXaOBKXnA3 -9MBLlAiFK3iI0nvfSx6kr8nLZ+D3kjkozcgjzBwdv4Se4yeDpaE/LCzj5+DC4jy4vwyPCx7oP5VV -j10OL8aHHh3GjQxJFUbljYuJSoC7SOA5hppG6BslOfeeQ0/xMxb2h8GZCpsq4MCZHMo4IrwmwDlF -6ZOn1hieC8Y3iwiE6yhUlN02F28L67S2tPeC7HyOwamyIxy+DA2Z29KLmrPBmTBFj6q8G5r7DnTW -09ZXqTyEd1ClI2hKEcAh/OmumIs++2KNjGbdWTmHfkWe40zZMF83T1DoUdx1TGUnpCo/+/pwfCjL -GwsSRVFvz0HnN/fdGRYPOndU0vXiAXaqUWKoWhF4qzjcl3+FCy0uzh+Kh2Xn252FCGeVBXbHWXPl -1Q00nyOvNEi24vqY3K5jgm82UZZ4OJVQW3BYsVP6utumfoW8GlqAygJJj8W7jqMsNCp5Mwv1IBPi -H9jhk8gsjZdSdEvDbELZzKU6SR85odfVQj0w/RDeiG3r/HQ3LruBDe9Gxl0Xmm95Fz5IRdwHzNOC -cz3tUyIB5N3Gb0ObceoxafAYq4uMoLkqzFJ96vVvwIkRbsW+uigfGobtWRn6G7XwMmYNgyJ3M0fH -RT7Juyn8p4LX4GLzDXJZ3PTB5xG+jprseo7MbSIlmIPgeeaun9V5P+LksraMgQnuNFhng4VnPP6G -qs+swa4NoUoxyvb1VXaJnIeBA/pEzrhOfgMdf6VPB5LdE1rjc2iuvNJoET/ucu/D5Z3vbKR8UXky -1tXOi3fSQbYVdV+SMIeV9/sr7rbJOLR8Nu8ADKvISmZWLFMZH6AsZyLpZZbfUE4axFZLhtfheHlw -5N4PDGiFThWDCAa+n/9ETW4tqGLE+zCbfk3FQrfh3R7Bc2OpZkut54JuKSJCTIeLkgqvwile93bR -EPs1ztoQH5hcJXzzjuIyCbtBIHzLoNkGnlP+fO12Ct+nnHKCAS0yYyFwxg8h5wp1FMzJTA9HM1MM -3Ykc9GOkSplwCeBu4MjX9R+NEl4YaX3puUZBUOkb6pnaXjBU++M+5nzId4QIjFFcnmD5XlKN7Rpv -8A1KhGH5cybPS4TgU5QYQboEFx8wJAxVWeHJGeJ5riD6wO7hUj/P3NabsIBCXqdZFbRbdnaS6BUC -B1cIqyv6uIa/bli8VxQI+cDkeYZi8QyF8ZqiX4b06N0yfIq3EnoUZ4s4DfI/41X4Z0rok9uU63/h -fUx8D8UkKMQ0/W+8mIx/TiEyt9qHwiVe9O7pjXJ7T77RMDxEU2ExLESPj3bvrwBmWWaflz9SqZXJ -Eb2O5zQAso1LXy6eKsK+l1CTe+KkFIaY4Bl0futlTfgac2KiF83qohidrk9TDFYvc0Q3SruSrvC9 -Nw7RpJkyjSLrmG2sVuSbumgAsFXufE4jOQV7+DuLBfdTLZbvFcwP3JEa4vVWuYl31PAYPJJzKuNe -KkuUO1fwO4J/9opFC9zP//EuzoOZntzvUfgdkcp7hWmXBYXTAxrZeudvi8VYuU+ezS6JNBMW/kYZ -HLq7qKLnjsKS91xUflfh9/M9aWo1X/VuFRD6WvwT3o1xwEXBKR0qM2Cdo7hH4WSMlmHLOY3I3cY4 -aXaKdgEsnyvvSjtei4KnN1Y48dYHjplwi4fKu1oI8A00jzEmL3zg56Fed5rYWEyKcI6xPh13e2UW -d/hwHmi4rDGI9c+k6BYG+YQQIb1wKcSld+8YnNy02G887PKkc5q83kMUnigGdbdBY0e8JIytLGq/ -y7uF5qeEzR1Au18vcXQN3tBmwhjlKdZU8e5QDBthPPMflVfVEyuCGJhj8zoGq7Knxq0bHpM5yAvC -IR3Du3zl2qrcSSgFMovQwCiDVMYcpiDbfXm3vBfdSkMZpZVus+udeGL6cx1DoZ4yJ51DGijrG6o+ -a6jqzGMxWr52p7MAfAje8C43r+Xdgh89RoGjrHHelz/jsGzRvBjlB+XAEfrdueXYrD5NqO74DS4C -DUmveGyR84YoCkbRtayiOnZegff5HBhrsME+GAvpGrnrIi8cEEZ2Z1GNw0S5qk61DdFsKenylPJY -I+0hvOv0Z+2aR5+jUXmDZieRAYTuWZ0cnlnj8Z4XQ37DujTG4FUnU9/TOeZ5t4M71yE189R1RN6R -XinkR2foe9s5KF4Rs6k5FDHHM85XnWfiQB47ZKScmlVGvVKZoKMqFQxlKujpmYWP/L6Tm/8uw9xf -cS/tbFBWFuObWIQTQgQsI70gdj0xvibKRwkbWqbdyc3vhAjICUye9VtLazdhL7nUYVggZwhNy8br -kW6YqHCdRAXJlue2mIaev23RmxI3vlWCZKrEXJQFsg03tIjSrieNtoyZlltWjpcQlbELq94kmd6K -crVYhQTVA+YWM4v0iPbjtXiNcqux4TDtF0bz3F7goplhCTPJ/cAqHFiT37LQG0MOwy2MF62nkn2k -OcmaXYdG+blLuM/2yb74aSq0sY7rDQI0z1K4cwA7NYqjoICjKzweVy8wpsDNDYI6SnY7HrkM1DjZ -K7bl1zRkyJC0ZieMOHks6qHuUhe19eCa963aGnlFf8rJCxaaFZPCfl0TvdTdpuxGfPekbAc7Jra+ -I7vDC/0Pyj4Il5Xmqm9XxHU4Nn6yueqYUoREpuAjRuTE7U4P/OpZ+Pl9PU/bbwiSROUgPETjTbN3 -FX/vT3nQZ6q136/1409JePvHkDQUZuTiINHbCwP3NaQsHZ94ROGEhivkQWJ9+16uii6I9pcFurxY -xEA+i+C4QPgdq61v4x3P2Z8/Cehm/tVy58KJkrdiXiaeVCcFu00ptR5mZXfoBea4hq0xmTvVXvf2 -Km8o7C8LvYSjfVX66t0U5vr5kUBNM+KafhvedYWmL/sRB5EFdozMw5Ce/YCBitxd4sxwMT0pHM02 -o/CVq4jmO6Cok9i/nT8WPbf9Id9/kQehU8LqWmPxmcgkHRCsUd8T6sl6Jh2Xv6D8qHzuPH6QBNJQ -3EkzrzYcziEv5YJx0eHhgy3d60acGa69KCZjDUGVkj2XYRSDV5y9MbGACk0Uo2JwHuu54qEoV6Fp -hcPfZW1IE9JcOCvM94LoyEsqcu4IIYaGcZpxMa4sXXqHE3KqguY8xXF4Ac+y2zlkt3J57WB7HKKo -9KnyqA5HsNqwJkqXL9vfPRa8blr8Am0USe4CtC/7q/oTetLMXXHP0aFPqAifFDYq7yZkr8C2jzcj -rxwIzzwm7zpPlEU5I4lD6L/hTXbXDSl2NzBnIXUiZT6x082u0ey7q+gEc4yw1S8l7K7PPM0CDQA5 -zLcDR3BZhG2mTp8G9xC6EzfiGXgSWlkevnM+SmJUgfFp+/dW3PUyFe2qqZKKUj2+YgA5PNCECazw -vrfCzSLodbA4XzxMML/GrJk3MaVBEQTTy+zlptvSwCaJud1i8DmV/gpIQX4+7+Wy5v2Kfx+pC4bx -jlHaWYxzcLS0qZVTF618pSS8bdvWhnCLpsi2Xf9OJplmGHrgjUFrrEZj4BEqNXVK+gGOeCXEhda0 -hg6eA7eMa4aJPbzw+b+SUVC2GKPDHBJn9OSbogyL6sT2uRMgGQQW5WxiLLPedDz1jF956CFWvVfi -wqcmCL1nePG9bthSTMl0+YP3otv6DO997a4NyvjwNfHEdGL5ce2nSxT3NQaOhugGPNu1ZJow+V48 -1Xu2GbzzcDDPPmFnYKYXAK/kB767MS7tc10RNg0qVqBuSDxlDAf5vMz9eNmluPHhKO0jgFgRLjZP -DDFA9K1YqDdBvtTQM4QgrFkIJVNWHoVmm6p8JvbpkYBXxiR7EjPzKXC6pyjxsm3b4EGgjUPUIKtG -6gzv5gr6Q4nGm3PsyvwsY4a20eFsqy3Dyt8bt4H05PpM5Nu++X8f2MFpyWLQxLYzH4JDQ+fog5C3 -xrLVuGD35CVGp/guMux23T4ueLfDlcGjeZSxtgVmJgiShraHyVTQ4PjhoDbzvF4qb8ZaG8aV6BVJ -WuVhHmozkYNw8joBDy8sTdtlEkQEA0fiRg0DKt3P+SPZwwrtCs2b3h6Lns1I1u5CedWQsUqotqLF -+GbfNkr7SoXB3VZDWjoLzBWa1LCIbBi7grVoGqGZCdPI/RofHl7akuLBf6CCZ9dyTX/xCrfQ6Hji -1ItDCIV92HRsSKshWgseTix/11XbjBLHwzbsj9O7Gpc2XfHg8zXj3GPBSjtr4HQfvyZ5aJRm6OxY -SpY5xcSQtWdiyEXmqDzHgG7Z/zi0Rd3IzomiyflY5H5g9W9Zy7UJgDNPH5t3I2PpJBNzz3D5LlKp -6E9JJBSFtN7omKdlmqZl14+sL6UjYfO+P2XeBheheWl/35i6eOiT+838HDEHlakjlXbW+jlr5e3B -aeCg6/Fzw1MNXWRdUWn/udkB7HUVMRCNv64fgtfmS/+OTC9MkgPYzvHGsDh4tdNBbjOPlOcTKuOb -tuO6Lw75W7mkAl9ljI/nwD8M1ZZNO53eW3HftuPKKVwegPEA5weQiiU0feW2MYteFJSG6G5DLvE0 -GjvZLIZeECMLvROC7Uw8+FF62Z5VCN3Z+pAhEagrtuvdih950CSnUNUqdiALIfhnWDwOYU6MBK05 -txBZlFd4GaK0l63YDInm8nvbngguiybfjYBXOIx/FcENU5k2jkOJVYD9Di6IT0/4iB67LayFIfzs -9g/hHom93y2zfZg1/pA7ytjIYfB7o+cGHLOREqUs8W4lzGeOdv6GWOY528Lr9cXgh7/PBs+Ij70h -Bm6ZEupYxihtL4gVNa79mrCij2WLeEkc3rvXzTZn5CjPfQ/fvGTR9MBYSME7xp5+YEtrw4GxETGk -E+nWNJ1442vCGRrvfVGonI/sEkzxHKz/NY7i7rbasG+2j1Mw7ETc0hyDxt0GdT08HsJllNPGA1R4 -34wP91DqWqX9pxOVdsekoWd4CB6ksJOhYVVB97PKQHaImvsRrvudbadAePushonbeio00NAMORrp -Y+e/AshOo5cxxwnvEM4VXr3LS85nGM9v5qXqdW/Ph/Zo/D5ZPWyH8CI1dw0V150qcMsaFIXE7c6t -0uzLj7CCRJ40AxRGPZHuFK4xSkfsMDQeSrvlOXcVDOWh36FnWuh+YUpUhccRoZvGQyRh5f02HLuy -wljsrEs81965ux8V774V/pVJcV4Y8ujdccO7W+Ov4gJje5XwNHey2GHc0morKI+MyAY9f9A8stHW -rsp7mbYhHfI0NK8K4WPAuG2jME4s6xarhGVYFwx9JAxvpKddHv8RL5/x2YGzx0B8zjmKD8t5OFQT -qAv/7uuiLNlseKmGTPXootcjTkVj2Wvq4tZLdtuciXOHl/mYBAtfxWk+/gEPJwpu1kN3H47BXHlU -685wA3fehN13Kl2LQzs0N4/wkGe2u4W9IOnx0FcxQlom0vadqohtzJrklvGUIN0o3yZQ4LFTDAiV -d2Oi+X9T5a58U2ENPaU5XwZvmdw9xt/zkeBbPYk/JGDbuPeWIsvfnr9B9q4Iq1FHadamDqW9DjcN -8I6hwhto5TqfMzYFnAqSZxFDc/Co19r3umRdHzDhQ8/0qrSP2cVfIf+XhCE24ae3fegAm3L+zqxy -hjFHaS/Z23o5qUSHNEo6cmHjQ+Xd7TiVyw0+k8JH3q1Kke8e4p2tYs579RBvlTciMgsHbacAE+2W -5BYhlPSKPOaeeM28OYy4eyvudYkc6rl+inWEd3ON5z2nmpkg07+pvPM9sWVNXHi5ypakB/s+QXTC -U7CgaSM5vgk/idHopCkMZoquOdsiMqYxTm5PryRu1k9uVibaFTzRDhHOnEIfkytp+RsxUTW9WxVE -hXdrX8f4zS3yqpw7TsNhsgYZp89v1fGDiI/gaCbJlukcd3scfZj90Z9RO9LYaQwUx39D7OsYWj01 -PKI1wMgPQFi6Q8XEYXOlOaQN8KE2f09QWJ95iI9n37HtfFMUtyz6TNRkQNKTwIvLD7+Hl72+onF8 -RiUkhwnqgWVCli6wHjSQHGMUfvjiF+Pi6Ouph+yMk4MPCfMbrL6eYCSYYeaRhegenFf5/TGLnnyL -gh5ewu/mgSi8ziMMjgVZOTb/JJ4nE3gP/x+lp/SQZ2QeEGU6q2jrBWl1sdDrF0EGnlqp7R6TVZJ5 -A9xmKz55oFutZ3gYWgjGZECp4Wp9BlAXAUmWsy00plewLiDtNtzhEhc1/KKPctVnDFEcmwc9wKdC -O2SxxnQNrCFdkTGeXVj+9A7Zv8FpziHyC8OgPJ7X8xLOwAFdawxp6FjlpYqGyhE0ts8ovO37Pfv5 -5LEyz8tAZZekq6VbY7vjlPSnvKcnj3jIZPeS7kOyF41ffJ1zOOt4mQ6tMI61ahXSFDhstDkK0YLT -58SDv2iLOPt+2vJ94W+G1ewU4QDCGztBWWg87SoMhseo+DBHe/FVFdxF1mTRdb0BDuehO8e1IYWf -sj/ZeMQbP9ssZg+A586rhb5bHO/hSHeOnKeinPU5KZr7xj5V5UU4/fH0sgj9ZM3l6xrLX2leUfUo -oArnft6L3WJf9D9Hn7AuSHN2A5nZIkfnMFzn+Mmh93SVVf7T10SB/J0Qqdp5Z+uP80CdqIpiQtlW -/wNzefic8Ldk9ura6dyOoshy4dDI2r3KNE3s9wRkPKtKS0u/uw9E4hXem3DY3wP/pt6O4ay+oNcm -Hml+qbR7bgH85uyYuqZdd+787RmU9NIhOKYPQzvbV+Vjw6zqlXM4fihz/BBuvB/UVb6sv8t7h7LK -2Kdr6R+VVWbEFuuMjCObt4QmIPQ2HgJlMcowqyDgoMOWDbRuFIhtvhAhFVl1q0ki4QFZ2N5PHCxR -vqXNSNsE9U9MPafl5yGZahx4Gw+ZwlhPgFlimkwxHuwgvpFchB6eu5OTeZcI+1be1uTI4576AIYR -cYITLXkPmWXsVY2TYNWKKB20aSi84mK3r63wc+EofFLH96hC71Nm1lOjR+2Gg1qXxEnrub5gEXVb -9fKZuceXhAuuk4pxCG0zPEP7gUGFPWuS8KCsjS++Sq52w1uuMZA+tHKOu0v0zPz2vGRM/AcMr2SC -LPC5ppjL/WvwGsexE2u04LOHn1cYAU3BEPUJswyFI/hnar5plFnXzGtCjz6UMJm+69GnGDnwTRmr -GRsWjMX4X/N+a8BFT/E3kz6HUs3LrwGr0mO2Iy144vKT8lPiM871oe23CErCTzBaTNOVUIM5eMfd -vNH7Lc9Bj8y1xJfylwJX5b3IoqFKYUfWmqNwl2puwjJ+hm8LgiTu27SFpahU5lK8F06IOpfFxfbD -cdQWuserZbiEmZmycAhHMUCcwwpS26yHbuU157nPq+SrBD9wjjQ5gYHVQ3yGuSHTRhhepnjzYK4e -+NEYLYR+xmaayqF6xgFZvartcXQpgweGhIlsXOSFLwc2Cv2iADSge+raQ4/DZEDzAKQKNH+6cPrc -fWGFph7k0rtqzOzYzDwJY4G2OiAMH/RQLNu4SbXpeST680clPnnfkaPJEOYi71h3x1J4tzFKmrF7 -NiiXcAijB8AUGG5Fu80PzYeMa5s6Mk4efu4LZ5vrIpobBSAXbTrsoBkYVdpdgzxYvMLJMAS+8XPC -oMxdQDax9Q2u9H3ey4zNOQoc0DLz1KwVKIrZ9ZJOv9GL2YPcVWaHP/Cbv06amwlKONtKQnvc9/k7 -cXrVYBK3CqzyneOV1CzBzaFgUV8VsDpfCzPv9p0pCayMNxmgnHvKs8K7Q3nT3SMXA21O6OqGd863 -Fd5Vlj2Idz/BBzTdhtIWXmlPPv+Og8x+G1nZ+9J4U6YmZWCAb+BwHgiH8go5nEwkwDy0SGHdQfFc -xL550bvz1oO2ky1H/ojR5FjoP4Kn6BiOU5yXTkfPvm6iHoh1P7jTWZEhHL8VfilwJnuX2HItqbu4 -yuTUCWHySn/7zIFWdZ/m8XtdRkLQ38jMXB6WN/xFhwm41cNu+mFr9ai/KZNV2D1DuYljjPs4jing -0pwtOnSQPSiDE39DXiczEvAZkiK8wiHvmimNNXVjWt4cGC/QbGku7m9RfgfW0Khe0VSbD1W/E51b -3a4892/JKlP6tqLnBuFstozxt08TlzTFa+N3C7MSmPpPxKpocJmt4INhFBXBfC0xjP1VGTS5/gBD -wGwwpj8yTeTSogB+n4wL5uJFoLpNbQ7lCFnuYUDkGfCl5ea2i2EoiVPE4hwRFzX+ulHaE8d3KP5X -755EVRlgTBO8bRbCVGibDSThAsqHb67I/cswyKph5ZK1ucz3XQrHIg/NKWve96btRjFIlc16wXwq -QSMOFA1JXRaDIMoRXjzCT1xAbmMG7jVFOl/ykPWb5GtGuSaP+w//wMItgvBnwoPeoNQ8IR7yyXuU -agTaK3C9eLZMASSLUl1zUNcDtc84eT+F9m+A52NR2uPEDz8DP/Sx/Rneuwt+piwkU975gCD4CE3f -0Y/9vmSH5Hus7DX76yMWPtvXyz5DOZ4ROjNMippmgO7SmHFGhV7F3SJHy64CMZ0YOfCANHUtgL/Q -pZNZZfZ3V2UvUyayDQ3ss++hHzxgJofk2rVWgEUm4G8PTs2T8QWkHxN6NU5f3jQe1TgRF17DOJL/ -ubz8lPsaOcmdzNe27buyzX2FakOueCLnPzE/PTxufKF560G9B6BW/yKzCjRf3BCiZAo20+7J99DG -uWm6Vz1enVdgASbzuDufn+6BoyYVZi5HsOZEPs+Z+aNHeG7XGJRnSw+CcRn7O529jOLTxDyTZcY4 -rW/0vvOACo87JwVH1VPd1Uc8sCmmxiQwy4FzvMJh9hWVuFSb5TllnzUwqqcnND0gazo7bj0AylZv -3gdl5kaekb63rixuQVu4ZYistahYnKks1B4Gy9/WoXBr92deObSQ1a6qt0/DIHBU3uXFuuALs967 -Le8Ct/f01j4CqA5F2escNAtUY2CSFSm1F/iYePNmQo9N6/hfz5C97uY2dJ5v9P4ZA3PkEpGuK6YL -xMDNloU0VSkSDskpzV2YVGxLeuTMVUNyssacQsADz9L8CBibgog4FFxToeGmpKxNek885FMzVUlP -dy89UG2a5YR9ahx3wclzOidUaIXDKSAcZl8hbDIwC4tnjSrvfsX38m5v7283LnSQDFkzPCzvmmo9 -FIu/mWVkewmLSuYNRmhCPUPm7ktR5Fh9XgNly7uFN5PTm79Dc2WVeoPj4PkUm+K+xtkjXCMz1Mm7 -1TPMAqeMNRGtYaUWp7SeSpy96EzqFlPWGHWywftjxCyDC++WOajcdZEOHNLMNYaGld9+J++SLjqd -VbnbiMuHXdVxQStjFOfNf6Fks2tuDZQhfDwvOon9Wt14hN4laZRdJhnZUPTQ3fajl3PQuRg4Cu9W -ueoclHclWQ0T2kvzIz1UxVze2So7lQf80ksiMWGcL3eyyvC5nVUmoLQcDTvd3jtUZvRVo8Bu3v6G -sXIdy2esAPTH1Fsu6OT9lfM9uDcyJWPhBz0ca5UVYdH6oalsiyjd8LBIOLOfraiCljAYmlzpebEq -mtt6LJgJ1bAIAQj3MAUiiv6AVplsDLYOo1QNQ+CyuBqDmvCYVFItBGojI8gmzkrvi+vma6cFf6iA -sBUzKtuZG/pw3ie2V0KZU9RMC2ZCUV5QkWQFc2R7mUeWEdZ6hk2rSIsaLFiLhvwYf5cMKNk+4VUm -iikuJ1i5ZuSIIBAa4LCdjL1PzNp9p1DhMbO+vC4T5YlFfRjcG0Jm3qC4GC9+wzh+/ImCSCirHiz+ -msqv7ui/Jv/+G+LWGyfdGM84Me0WzhEVpe3GK49XnzR7s+mC2hzjwdcqYVJfb7oFmTznwP79O+mp -roo3TJp/IAvRL9YLQMEbU5lwPjEVJT8Q5MZdF9qdWrFQryAL5JQsAkuE50kn63vgromq9BCmTIp3 -kuwwVhzIAsKlF97iS048DcWRfCvPaMlrE+prT1U6dw7KInesX9k1yjurMlka9GANLbJhLu/IA75x -oTCNqMLd3RC9z7VqY5UdPWA7+Aj9NGkOwbHGKqeJTSe3segQ3qc1Xq+m+q9FfOgwvMs7hMoZYhGv -fNc4hAXamtM6cMQTghekVX2yiZPGWSAulDVufOkIqItHVx/HcFDeXbMALF+zIFpvwsMdS+Z3PSSF -LFkgR8bcV57klfA0MbR4gpK2LMI5hDlwcS/oaGi6uQNHeS+2nITHQ1RipYfv4e1awe8hcJYx61FP -lVQuq2jKnAvTfaK4G241MjW9fJQqwMDHNE32c+WQu14aMS6Cx8YiHDFCLGrig/JuMTgLqMMspg3P -uEsb3vY79ciHXrUPFmqTEaR6d+YmXWpQm9qP4Xhg3ExjnoHyIKM7gIZ/CluMzk65W2iqAmAxqcDJ -d+0KsDZjxjE7l+aSV2X5MatPChOKXnK4IzPWIxxBsE12x9xJ8eyJsoridU21zSZT0MozKLX6ZBdv -meq1yUHb8K71E2qVTfsSsBIWKe9mKrjz8qAUpi1GsD1o5e6fReAM3avhtDrGcIfEgRjPrGurY2Le -rvyupjI1fvkYnN6TPsXQqB7oYdIIFt0lOy0ykoaMh+mBVXmurI6cOiYDejC2rzuOLe+iP3i2jjk3 -ym4GMtA01Tq8zUrHjbHwJqWuSSBwmOjcOQZnhigcztHd9cM1ymZ5KLxaeFfl/THlLjv90m/BOqnj -tpmDJbbKZT0x+8DjEBH0ipwYpupbbLVHD3SnNDvLKrvFmbKLYvFQ5e4a3MXRK6/SSS2AZhNZa93h -bgyUuzQ/QjfLuAZR/qq0r78rEcQlY4zTh997s8r4DM+b6vPAdW/FPe05FpVc8zpHyFWTQyW0MDfa -rMy2Kh5pi5XcVVZEHAulE7+6V2wn843v1JLVduYcgmxYsyDG7yVWA9nyrRkvGkvbgzkJrdU4MGuH -eESBCGH9fh8jl+9cqLJIs4/b2KoC2AzDg7Xp0y3ldhvmgecBD6om7boyrbZnHKxKN/czdjLIzMFF -8NB2J22fN8UVk9EDP/Wy/QcWOLhtrPsv0a+B8QvK+5tfMWQUhdXeSdEHDHnz1xvnXGiuvPAg6ca4 -SYSq4TFJs9lS2m/hYfohBP6pUsYK6eHXUNu8vIVGClovPe8fnFj0Y8xxs12vLqV3P9ngE2pyw+FV -L5/NiMHnxgNxdSJ1g32/J+QvBjGnCNUoBxXVDSJhsthb08CYvfDwdu7KQw0v9SrQUd9z0XA7r5kc -pY/WsF1QxUPQeYTX7wNpGYMhM+6mIcWb+GYJX2MMPTgOXTbZmm8us1ts897um3ftsdT7ihK1qTTQ -oOnOnJUdZARXrNwvwvI+cO17R5kJLHNgGbnzI7pV3gpPbnBISOHIHsdsXDsZsjZkp813xzIPhC9K -p8oJeMWcyXvhEG7TLtYwin00fwjMNQwKRX3zTpkLTVXUDYcQ1sjCpjBTrjLXQ9N9dDmAy3ytY6Oe -VarZV9r84MLpgeTIT2f1I12lD4sqLUveece/XUckAXzsOZom8dfdeeo3yQ/ddW3nqPOuFDXaNwfb -vJvGH5l3ZVdkb9UbXWO2B1XLfJ2bFCBJBpor9JQXdw89HqNnKmXv8G5BX16zedYIc/LnqkZYlwzo -wrP3bYM5tkLncKcgdVjyXl2X+azY4X5IWuWHu/emFgzQPTpKP/ygyB3l3WxUKJd9WD7u0XafR6pO -IO9qaBRaBaaqI5mSWgO62SQvsqXqT+K9rEWH+mvTw/Xjjtzd4c1d3k3e9D6AdDxjG4busXObsKPI -mUYnaOuBYiBnJu/M0YbAwU5Y7bCyu8VP5C4PR6626NWGBafYsEZDtOXVUVFge783wPrn7TK4BwHK -GRprZ5Wpu67K4M+aVUaPcZnsjXBrMYkfgwgRU5V4SbHDSK4BWeja3+8yW6Owed15vyKRfiyiUa88 -07qX9/oI3yDcrAlNW3fmdut7x3pw3t/Kw0LA23ziGVfhlfXwyIQKTvbcf4xJsoeFDn2lEm5lSDcJ -vLax4o5DngOnSzMG7FzSs9JrX3x53UVq8r3Tfuv9fc/rYXcLJuBXYZbsHbdXjRO33zqmR49t34eo -ShPmQYzVOsYWrZqc3jt4SqaFE4hReLMzdCAW1Intnvh4lFiv7RxvNXBnnrQJe0onLWF66LU/AE4Z -P/NwB85PCreUsSS0uMWjvSCWVscU/a77vTo58lDmMnDWQ4Q7c6zKq4ZXj9C9axx94PiMNI182F0j -2mPmdiqq7q5P+3i8C9auteYzwimzbuVQGeena+7tGrcF5T5w9qFpFy66cHnsPm3fhW1nXS725bYJ -ZZMsfKrctYFjcHxWejby4a5+c5dPt6lbtzR8wDztotfnhHWrN+zTIxsqNrDurqX3oGkfOLpwscub -UdQhQg7cFSVpb1YZHktWGX4nSQXPptYLPzpTetTueZjH/dik2p0c95ksXZP6WJufo7+u8fS9f5+x -3eedvuPZ89yu0vuJEnxgPH2V5fpcHyfPNubyD8bBSegr83TvO/vG/WeGpS/gfWHo+1zffv+o5+q4 -Txn/Kc/+UXB09dPm3T68+iXC2AcH+575K8L6nwxnFx98yff/CJ3rj8ZPn/nX55nPPe6+Y7izK4B3 -vh2Ct/3bxo7vHHw+xf1zI+rc/h+Ggb1e89p7X4Y9MNo+in6fZ9rNn/r8oyHygbh4tHGcG/q8GDhE -5y+Z/l/y2D8vtc+t/5UwcObzvxI1/zywbBhKlHIYLPF+Zdu77qamAJMP8VNj3Ovfhszoea+hMtkW -MlT6c8W4t9DmkDJs/9iZHI1X9W4RnOppvc000grFELzS4K5ntq2UbZ8p+PJXXuOfXeWt7dnd5+3N -OLYNlPfFcYXrc0340sdJyuYeHH/CwduBV6S06LLv/d3v2u/Xxvs80x7Ibhv1/XY77e9adPzzzMie -I7mD7x1Leh8eUuGvIx5vt+vaTh889eGRnqDt5S3ngwJI4VLnxiGe6TPe+47lc71XZFZodAiXn8yx -e9L0c8mWvrjJAakC5y6t9vHcPjp39XUKP57ybFe/++4f49M2/PeBc1f+ddH2c8K6Oz+75Pip8/Rz -jv0UulY4+/JuF032yd1j7+zKgVPbPwnW1jrepmf9+5gs7tPPvjX60Hufg/5dOsbetXQPTvrA6jPH -ZHsbl6fSNOvjkUH8zs1afVqF3Yf9zj+TG/v49WgedzL0kGbKSoqeMSLjRw4ike8lmRyxHjxEUYsY -8MwFJ989DbTkYIVVUCemG/PUf0HQhjihD57eppkx8UJTOliQbSIHHvnf9GTJvEJY0NzYRZ6reJrQ -trHZ7TR42/44AOX5PY0i+7TdtTnZPalte54ubZ1PEn1WUJzX+OUujPa8L0wV3lXwdSTmfbdNKgw1 -tG2Oz4qExMDV2Gbu3TncmmeSa6fJaQuOR8ZgSbQaKA6+t7HLnFavLfteU1zRTD1ljPvaTyGeVhu0 -f6d6n4dUzacCrmtNnhwQy5wrh1PbMPTE47/9sTrxxZkp7/hsloPbgwGM0Pzy4ro1H83kcPIhPI1w -T0U7Rw7F6NVc2Vqqj3VIqiJZWM28QXq5GLrw7e0hdIaWFHSOjZ8Kq3/LO/Ugax+CxTtR2Nv398Ua -Jo9wWP/28Guftvs+Y/PKI9OsSVOrObdpnTLYpbEC8xrZcvL5giQuKEJv3wF0265xko9N0y08ZOkq -sndt3Yw6Dnm6VpsS1F2aniKz2nAKh6cn24vh54TzDv8q+xgMfJOsONUj5lgsMtdeM42RXrSe6cs7 -SajkBDnAu8reslafLAP6jsHn4F3lTuCsc0i5LK3bVz0/ZnqursOM7feEsxam2ce7drP1kh3AxSnw -HHq2wHl3/TEVpot6a476pzLrFBh9R7l7bA5KywrrY8/RHd5NlVqBaqWRbupq7MDpx5yVO3GdSeYn -rkNwVN4NLqvG9RhEbNow9WVSNZYrukKqsJe+kElj6L3t+T4w2nbXHBQNdS3tEw9vm0nKwBxKPZ8y -wQ9VTm2QLMQFUpwnkcc4UMw0eMNvi1MeuB6kuNtlKl/S2QXM84K0fa5z5vo2td8Ki+KSypE/pNoV -dZQoT/ue6qpjcqF/+/0Tqg3y3S8orWSe+Y6iHlPScJF5rUnUAA7esVhekxLwijypL0nO/+HjbPAz -+bGXpEWbkYf2e/K9zxE2//r7NVUygZp3njKGb0glZzGopC9kDBPyX39LmdwZSf5/fTMcvP4np83p -5BV5bC9J4/TuLTlAEWzP6GfGGNQxlgjsCWmPLDZ0Q57hH6mmt/xAMrSat/mevFo9/6Y9+sZKbozp -A6ebf/4JfAmDtGsvaLv9kFt+Rn7R6Cw8nuw2KM1rUhEtLebDgboxC9AsShT5R2T82EOkkvJ0uplW -QO7UNI9fabR4IKJJvrOwPpAV5oB5amrDWGE0UBzD6wWGVsnwMjN3rWmhPFBCG0kPyu95MmuQ6tHc -/Ob6Jb1LsghJU59fkpM1eXZJK2gfMiljHpL2bkVRmrnpPw8VUbgnzj/ba85PSYawm8GfI/M3Q4c1 -OcXN4548lSySM3ILj8B3k2wGXLGgrFdUabTYi0Lp2OHEKqFMm8pcag69cMGvQ5XJau/59RUp98z1 -rl4gisXlYwlXx2E1PotqPSP/s1kpSAOZstPXZPJ58jV5vpnnTOoo88LuupkUfBjt1lMwvWcY/ABF -IrhAqXmT/YnGzFfCUeZG3rWgj7hwEvxOui4zWtXUmMfmTl9GUJQwx6bfXAzWpAQbQZ/5mLz8VnT2 -njUKqLSZsZiGrMzD9YfZYE7K0mocH+yuwJmFATg2Rd6nqEurUFmK/ziPK81JL2iqzEeZH45BErFQ -ms98ZDpI/l9ck07vl2uMst8jB2am/pSGdBslAEV+pOC2IuVPbzjUSkPHcB6+acNhERSVCclbmFuB -B+tsTAtrW9Icnno03pUQW/5lnTF/O/Nu/gtOBA6FOR+HFucTD/qCUi7earmmdsUJRMagTgW70vTK -NHLAocz0tCsZXiwqtdU0TOcq7xaFNxmDkhP8yLzoy7cVTqU9+a8nrIVWbJ5ThXJFml234y+eXw1G -30JT5HzkkbLbRZv0uaZsnf8LAX5M/m55F6JSR8OCU3oKw7vK9ZrJIEVuirxy0ljrxQ7t85HmaNCi -A4+5OIV+pk2e/0TKQjzwE/h0alGtrEFmmWF88q71ZZS7ys6uS7KpJ1ul2pz0wJGCdgKxfR04qeeS -egsCZpGfyruPAWelKXMkKbctUqTY/5muqC2gs8g87hbCVBmodWGUuxFPv0D7xccuSBv+oyDcxnbM -ue8UqGkSyzSN3LVOQeQVrVuY6RF5N5VRkTdrnQXiOU4D64DgbCXtsI7V1OiBpmuLDxbeXd/MkvFv -91D2XqCF5Q4cfMZZMdymVm7uD9DLNqE5/+uErulOj2Ey1SdlA8bt+OLQKcirzqh2qIy8tU0HicBw -LrmYxLnqzaJ47enzQYp72qMfc8bOUoEKOUVxEKthrkwphjZotbgZTP2EfK+y/AqFWPCeIixMa30J -cq5Q4L5BaF7z3hvS6o2Z9K++nw5efdsUyLC4yyWT58pyxcjzf5IaUpJeWKqaxv6VlW8xeEq1sO8p -NKF3/QKGXiEwr/+HPO4gY0abz6yEqGT+irRRvBFDA+b4wERbYMGqX17x7vX7DQo+RX4c3w+MXT2F -ibK8NQS7J8KBJ7bONZjhDcz2krKIroMTxkWyqsPtimd3JVAohuBzRb7l5bVKOHxGMYax+aZd60q+ -7HjUXXwcszzgjoT5UZnQIysUTligTVVpCijnopPGokBv9KTSELhO8RzLD8O0Myb0mOIOcZyaNxcD -YEpba3IQLygMtWLSW1xrQqm8JenyzJ9r+XcHsPjVlIgk0XRhZFEbk6d5qaBnsU5ue40D5+kMeiAQ -lihivbMA3ZsS93/Riqepekq4y4gCXRMq/FrExHSg4VcNQH4vETbmGh6g/OlxXv7K4smEDr1S9ZeF -z7LxxwowKX2hZZQBGwWvQxYhqxJuLCqTAk6k4ERpV9gMf5dA0DDVqBDeLiJ9PQZHUGKO6yGFd6bf -qMSRc5eqglME6OQVL0XPcXb7I39YLAwlF9yMVTwtKMY4UPOPI11YhUOlXWVA4U2BIhWd4aZ4juBd -FQINvQFT24qxG3cAZH6F70MvujYf/9TiOy4aFkQD1xpmUlflPZWYWazHTyep7LykyujEolo4IyKr -qQGRNF+HQqE0Vh23haa+QqGRlayqmUqU/JnCL6W6n7qs80Ne+TrmbrOgHjP2+uDAqVmVdnlXA5Lu -U+Rl85TUbO+b/NhUjR2/xCA0re+PTarR0Q/QSEXf4mcaTceUThdJqzDCw1Fg4YIo6IIcOHgdQyiF -qCiZbJ5+c39HQYBntqXmH6gEaWwMnZc4iKzSaC7zWEwqdNBRZ4feogV8a17oVAjGsTNVOCcnNsrP -sTEo2M0Jr+GMQmEV0c3XfCcc1YC2AqRF41ygpR+Pips0m6JaD+Tf0EEFzyKFFjFEcVVeNEtBMzfZ -lg+PmtPdugx267OMfY3jJEyggn0IVtcS4Sj1PUJTXtnCkRoLvFyUdtO2xgFpRWTkwaPwbuHv8C9y -dIpccr4sLBRkHntg26Bn4N5LVWALCM1J8TycsCZhtEyr3K2ZlPbBKi63SjvjVqGCrqlYrdxVWZCF -VNijpmiYWOGaefq88K64feg8pYnIXualBdAsJLZmvV1ar0Q9j/bVqcZTcMBzi2vWApyWk5dNpfjM -UYtcHJujyhofQx4l1TBrknBGzir1zFysWJCX4Z9hUVC3FY5LZEQfsbP3meg21F2htoDjVeZuXCut -nP79FTS8YOVAfwCfGiTmbF9qTEsiCzG9Ak5GusTh0BjdhxXe1EGRZtLcue9vC5QBZ5R3Z6FrLXN3 -S3MdjkYeVKfJIUB9LIo57R7NKsP4DIupIYoJleGdf0eoTFiXBfsdCu9HC4UkzU0DYbbJCe94iWKo -yvOBIhWp2ltyk85YBKy0uECZu7n+LV7tJwiSC6pmWpxnwXOGvkzQ9L/94QKLukG4eG+qmq5SzfNV -PPtrigB9HHzNAv/ye6w3ZJBFeuZlAr1AUM7+RuU/JuKFE1g+5cfc6XPGsVrN6I9qnywq/ljRMx6J -xM88ULC2CL4ixeEN7c9YLNyV6BHWtM1ZX7esreYYJgGO2RTmtVCCrKNXIYUXyGiOYbRBuU5ohgJU -IR4vKKkcWXRXKGDugFj8pJYkN91dccQnNMkiWGsNLZS2sWFIGmY0Z4peC8/4ebRS4eIZlAvNj+T6 -VrC5UJeQivk191kkLQxhvmg99UMKDViwyt2MGVX4xhR1yk6gXoJjwubeUuIRXoxA1iahmi4KniXg -Nxhh8x/fBsGXf3/GwomRgyISIWSRolqZkDSQC+n1HXCqJFk2/pBDpCzE8VxhGMXy/6VZ4IdUr4vC -4yetexUpn7degrh38VCRUHl/+wBFr8SPunBMMaRVMj/+/KYpjPa3qwhWfZN6duY/sziOm6JpGdMH -PNPPGKtFWg4pAkXWxdOOt1I4hk5KDQ4L07xolMQNuxkaKVF2VXy8b65dFXkq8AZHMOWwFrM51t8h -FnABYSt6giIwxHCdsxAsKSq2wSFw8bfng+l38i4cbQEii2fhjkvhmv8BbrrXk+uu4ea1u0xlUdjX -F5N9Y6VFhbtwWLXQMKtv4Ae/FxniTFykAFKjyA9f8F5ozo+e+fvOD+FUGQjvIpO3vKuj4mUUhDkG -4OqfOAcQsOPVZepIpKS4cpSxZfcsBscBZBbeDV8Khzs/FHHL9QoYpXUUZz6r7NrUW3kXmj4vyrye -aeMaj/gzOmdzFdkWZVOJUy+tOzloAjoOdUJYOGtwY8E+i73ARxQYS1VGdxyyE3Bgkqb9YmShmNr0 -EIdK4MC4S2l14UAxUGmPp930ts5JDXEMPp+J8fbQqqLSQhAxQhJG6thkHcVVKa++ekelZwpgWXdl -7Tic3zplKBDstBpRU2CdXNR7lB/b46Eo7YIk3wqrzokXKkQaIQIizMVQ+8U0vjQMzZ3fG3g/Xlpp -ep852pIXVgsdWYCpfGfxIXt3/VCBs+jheIVs9vvXFMnTCENxH+oceMNDH4XTaw+sjk1Pu/NNBUHe -lfetfq1h6fQWBuFUL1Dusvs0fKZXmmdUcqXxQ+CssFolvu5e7Bp3klBHiTVRRKhFJtGhMmWkl6Fe -YYA9M6XKD+eyvOn1K88HDowzd5BDaGaMqpXGtwbmW1rXKy3vKpdt/CFOE+cghlB0FsafukDQbxiH -LOskuqOgpcglz63w9CuLJNHK0JnnGNni5/UeGOtXwlp3rRl7hWMTOJRRzfrRdMl34uuX4mhSXrkb -ASLDu/v8TxlM6Sx8XQVP/e2X/C0P5XDqHp67Uyl13/1b+B7uca9tlTkQ8t+ZkEwgFexocYSjoAA8 -UbDwzMdsOzWX4N1+uvUQoPtsr3kKZ6CQ/zee4cSAqLzj+UNgPEPIXsKAP/54M3j9+jc+Twd/+/HF -4Pu/jVDkhzFmVsw23xox0Rzn0i20O5WUmjFY+dHQnRsY5Rpvmt8lxOQIX5x8K4iCODCKqGvDfrAt -1wMUwZmvEbs4QdhagVPvycefUB5yIIC22GqZoyCmBLTbPcA9h9FTQQ+LVmM9VwVIZq1E2Olcr7me -ksSFuu7qHW5dI6vYIuAmMW64XKBtv3y0iyH0VshuMMya4lZcLCzNI02k+5x210smhkaMSosMUrdd -T0buH/QCriw9ABpdqVIXWwkPuoWpKOGucrOCFisMVY1Rhe+aQx8blEIF01pB1VXBT+To+RFZCgyV -WpGqZwHP12DK52JXBvcpjOL9goNCh3sreaWZMXyjAq43pynSo3HWdDLUA/UTOADOJhe2O20lFt75 -JR1V5LsulQ3XCavLV09VvDsA4daReNA7IQ4qD/tb4aEATozhQ7Q85wf8LH1o17M3iRNFAdgwn4bs -COkZCt289MJB/zGyx4qNiZ+txZKOwSqO4nXld9FlQ1uFlN/L/8Z5yli1aJH48Fm9e4ktfiCoFj4r -ZdITDgfaNkgiaSsax3i/VpOirFo11ai2b68GKxbWjR6/FDxT3giHBNhzOUa3u8O7IrQ8J8+7ONp/ -5X/pWGkqzFGypekjyQErprLzJ83GemjrlbFhjH3gnkPFIzeacT+hWjo9QIxjdOjHFgB5U0PMaqnS -SVjEq8oPzomEFda56NyVd3xOmvue7+vZfIQrRsjvFqLDcrDP2q/rjUXSSlXTwITBOHZnIHzuuDoG -EN6V9M4NX3Iu8vt3vhSOhXQrz4TmtiesheY6iR7pUobOKRA2wbCMd3nvRb90OX6u99kifuIZmurI -6royB+UP2ojCJV35W55MHDZ/SzdFTlXmbLZRMu5vmOyOi/Z0ANXdkWYW7cdjdsyQoTrrlgnX9OUO -QLPGSDtpWueo79GH2zUTFQf+tp2EqAg6z1V59QhyNwW1hLHI8yHG4eyV4YisoYRYp2I9dFvraEd2 -GCHgpYGqnK673Uchbc+Fuh5lveRH+KvcjUJSJnw8uwzK9SW86wQoONrbmff7XD5X5UppL+HGjqf7 -/cdT3Ld9CfUtYCp2yu4Fitk7rPOv8Xg/L4cpPiK5HGMNDapNyPPsIBcA8AirjCINVD7neGaf4dl6 -QqiHirzW9IwJeYUVnLbkQSo5JqwawWmcugqU9ssCpMzZ2l5goYqjCYR44hZUwbWj9meCkjL5jgX5 -ZjT45e/v8Lxj/Wkh9aVJN96bJ8q86PV4+KUplCJPGTLjJJ3O2OTRcw7Tx1OCZ8UYvwiSZgepuZQ9 -hqtstfbyvfflx6q8+3VBRESDiqkMLf7YIViCi3pffLulPGESpYAownBhmW0UNRd6J+PIcwLGr+qV -XVqaGCmnLE3lPhFQxriL2y5h0wtpf8xDyvIVgs2Y0uDSyr2l6ypeRxhRE2KmVboS962gwujKblSR -EUdHWyssYvk3CqqCtAjlMD6NiEMVIonpgvII27R3xhSZonfDLWh60dNmpVQ9jqGls0wzlHvEvGcL -m+FYSl0PSef82c55XhIOlXjhED82W3/bjX0HuSoNMudj0bqsHAx2YojPS2AT3SGoA1BZVVdJQAC8 -jZJvzBfjMTQvYWTybtdZmCoiA4cdFIZvp79KBT2AdDF2iyu6Ai/eitfHARphGMXGy7XDbp2j/Ha7 -OOyp4skzI85wzJGJK2TBsFbMPTgK31QZBFMiyxLihjx5VWFd0epv6Z1D83fXkAcBWeSKh27X7+le -Jc4wKGK6G6FXZqq4ZSGYEMbWxDMr26yIi3e6GmrH4Ayo/CNvJnTC0BsFQwWw0FL62q3zuAqHHgt1 -XxykcvX8NwywETu5Vi6tVl67hWaOqoiMCfPTwbN5+5HwA3YcpHYf3hWv2RUCLvm3TI07BrXwSfNR -5V0+PwbvVpq6s6cXVmcUO3vbA6SWiqdvu4qijqI9YTcrh1X5NiFgkZcSq+NSZ/URvfTVidBem/xb -dIpmca2XMtdjAEozdG5oSELZnBrL/QZKekXRSkgNDqIVVuDHH2F4s/l10XOLAlpx/iVev8xTURRE -8iP6pDn6dHM5t5tfj3LlMOZXjSPQsEPWmRXn9tz5ZAsw97KjRCjLlLDdKJbIJdfQVRxKPWkanpB3 -s1Q31Nqlqd9nHkN34Y7h5nw+QNc7Xzd8lqu2a3ttHct7ZRdssBHJ/GgIeSl4w3WH+fMzKO63JKyw -6FNdwHxv2ZId/gpz/YAjwm2o3lcTq21YzL/Yjl9gLQ3/my16t49dRKGpNJshaF+iPD1hko2ZpCoW -TXabggfauGGr5zUH5XxPr/qVAnTHel1ZAtsQEOMA20zbe7yf6UGHynjiUWdbeWK8OJ6xaQwhFpl3 -TXjJsKvsb9fwqmCEUQ1L0liIfonyUKtGyvfRI/RkGQYSy7Rk/an4LvcNm8jcruEDxya65BLnMjk6 -/hdxOV7nmEZicOck3xm5uz3E9scLJ3juhugtYNH7pLLhPqCrANDrXdj5zmO1v9xvLaaFlg/GI3Ns -o+eVtlXiIoO0fvfIMQXvlDC1obHEnHdYGi99LOa7Ds62nHBa7UzQHAyr7YvfFiwpjc39ROxGvh0Q -qCcDruZqc46j8eigqzbXdlGWgM1g3HWIt8cFxa34PFvo34l7nhMO36kryJ7x6rD1vMlnuRKAjDmm -4eF8Ey7HvV3IGvko7ZUDI7R69Z+EBxzw+n06zoY2m2lRZKvCuvug88GDoo+mCbQ6UJkLaFW4lXuC -L2odIoq32/DxKjKPRuxmGSbpLsw6YRUdil5V4gJHYdfKlnZbm6j09p4PtpWGBxK5gQ7lJ9bmoQs4 -ZNWcWSH0FLou8M5uq+d28m3Bl81r0NXn21OwwGTsdOZozU70iLCq8BhqOd5mc9gjA0RDDGplIrst -jtddNQ/j1t3jLnirmIOuybC2D616uTNPw+kPpOLO6841+TeIbimFrcf81rNPOg9GONBcrzOWU4YS -b2bzSmi2713hr6rbKW33xMhWaTes+hdC9X4h/FSlvThOYyPq+/OcUZx/OsIYkOFLyK7OGHfnYAAE -kHJO4NOh8UAOM5c51M6sdQyOdlaZmuf8UFYZlXTXRJ0f7iKrG2VcLjoSgd+fK6tMT1o0ixr/Gdt/ -40lomNzDFO1sTX3akm3N/jL/6SPe8vHghQdTcM/XHcYNi+0Cgqqsu3NtOE3FuQI7iibfrQjZaKxQ -BHJrItq+Px6WfPMPYpbjTWSys50YC/1PcBmb6qUCPScW31PyM+LCZ8SbzZngZviI8rNlmHsOOsjg -YKnpM5MKDyarHoqWoBNXnvpOTFo7p7fdGmYjzfRY6TWoNeGrsuIzWbyqgHHchQj3HPYf+loZqztE -Y2K65nqWVWrwYum4cKex+m+TxUF+gkfVlfRae4h14AGxPpcNutvBjkYEsh4gJ/i4WDd1q9OT/npJ -dZpkK+mR+Fa9xwNLTLYNcbJLPc54PcZj5jFQ+rOCR4ZmOcAg9syEhmSUdpWePl4fhyvf6uwxhtGD -MHh3ctC2glF0p8T7G6MrXhKm5cuP4fqhnRI+Z1hIDvB5cIqFpBHk/KgDFJot8ISt3rxnY+pp6KkR -7eHGhB0c8+hlDvEPO4gJh5FenA/ZniLM/LE/8OAi5Zwm7rTZgn8onK5eLYcGnzxQniiAqWcWQHcB -tRoWxkMvcXgk5V52HQ5p321mFkieCz7hkZJNatPsct9elXTgITH9ySrUEjJ95kfXM3W4x/RZptLK -ECB3CnXoeCja3Q7xf/RgtTThkRoqA5w5wKfHzhjpJTdFaHiXv+UNUxI6Fs82PFRW78Jep8M+nMBG -IceLp5xZeRIv5ZLzKUkDuiu/D+G0kibnWMocNHREhc5mhEt+dpOtdbaqCWfrItQJ9x3HETaptzd6 -ZDnMuCG8dOTOko6umWtSj74UPU5lw4uQR5sXhYb11aocC6vx5PK25xoe+5Km+6acoqB1b3Vt0grm -cmQpP6siMI+Np0zTGJauH5mD/J2D1gXHFc/O0dBcQ9zdFOXlQ+VRMzjj1D1s7Nq4QtY0fCnyy/zi -mWRC4gzKPNnt0M2GT5M0I+dQRs2B1aOX6JBPPaMFHFknhaNNsjofa8Y3M7y4Mxojn7Ec0gWzI+NC -SaNEFzT4B1deCfvzDz4nxl0lGGCiV9kw74nLJqa7gfkP8bjTr0NUMT4cfN+M2ywuS7YrE4EhPBVp -ZsRIG4w/f6oKRt1ugJdHXDdRBN7DQN9UpiqUUjG6ZsG/4SCJ20UeWG2vL5U/084OdXWw1e0S8f+R -iWo6S9uYIXwT6/5YqfUCpLj4PYpc7wvYJ1dkRUCBmpP9QCU9XnAWOuNsjc3fOjRsdl/TmeQgoG65 -80yMPddi2ldHin5d3/WDhQKqUC8TWINUns9zxjDb8R555eNu9W1QUMYE53swaJPDqR5bVeGrEsH3 -nRS9sfHvf9CxRpHxamL4N6ZG/a74DJNxoUxYJ6OxrZm4fn/C8CstRJV91s+ViW224s0+teRDnNaz -LTSf0PPto7KAF+1qxArrRKVE54AHF8ttU3bloBATb+mhZr0l9n1q/8KTMw6tF9t4EGaFuHhUGJ+C -zy4EZDFskKtBOuYUdpOVw8mifGvh24kjvuva5Tj66LQ2lzH7fuGT+mJtv7aj3KmLs+ho998Fy7H7 -8bbTMMCtNCC8WDB0ZiRcxnXFdZM/m3zQykBg7WOEFdC2fOn4d8+slOmwHWJoCnCXRRg9qixg3JKu -ZLYRiSp0yl9dOskfDS01UJL8IHNbGgH9MUV4F7/yYt7d4d36XJDJj/a6UQ9Vr6q8/RB6lndNgXy7 -96+ZZUhpM4ET8mnoiMauMbsamfVQ7ClztCo3zsE0XOCOctMCQiXPm4ZX9J0XvXHQrJ/u9m67TEgD -37f5T3o4hy4YnGOou0rbw4AHlNvKf+Fd6XaESJHBBdYobL2B6H4w873h362gMYMN33N6r0xWbq6b -tdWEE3FM9qVnBUs0CKOwtg3nXbCr3K30fCistm/GPNO0muHqDWk9fyQlrbJXHtWTLuj8qY2Q9QeZ -G7egYxHOEs7bjczWExUO398HS2he7vXmXedZH4TwTJXlbQV9y7haF4evh4XKtMaX+YAwuMRaWpIR -RO9bE7sj3puQlrYSPTHjSZltpg4Uhpmx5Rdzdt9Y/LVwIEwUeBVK01x5qrl41iI3DJWRmHST7Dse -PvGtTGbSFWZQhi+YLmnrSNtiw52hZE10bDIO709pc0bfF2z3m67vFbni7f8DXhSV+SM72ifxTJRk -2r/AaxovehetAWyEwm1qujEHN9zKigdLNMm0Zd3dmjkFP+bxVbeoYYgx7pRftDUEKcPxInHoZvXZ -Hh5zPOI1OyMYAwqBOnn9DU+JT/tOgRY9KfEwtlDgdmQheJPLm7z4ZrGARz7mEAr9fsVhY7I3rDgQ -Nh4SP+vBTWAyveQ2ZvskrP6BDwOryo05gacugqbC/C8OTYs3tns2wGh8rFuzGlVZTwgV8yUzluTq -s4sjX+hIELfG3uLxSSiMzP0beKLGQOMCLsysV1YPbs7f0elvSroH4KUIl6U04xCb8YWzl09Tp2HM -wTsXikUrh67OBg3DsEJ4Bt7pEnqVbwxTyIEwvhAOPXqm5vKqnmn/1hMURYDnqvWfPLtFGD4A3By+ -ZedkxCIyJi52w/b6RI+MU1SPs7H6ZiXIoWSLwJkVxHlYgJReXfjWM6C3T75Jlhg+pygOnajoVDim -pNYzVIOMHZlsbvOr8HHm7GEXZ4AMH+CwpvMvZxbwdGX9Mj72XfHa2atyGDjlaVPEptBGn6sygHQz -m4MyxnzXXsJhM/XwoigQ/OSSL5mDxGNwwU9brvTpe98zbkmbSUc4pJUeRXkrMZZNDHQMmBk7KPCA -B8kT1+8c1bNx9GL9MkoxB8XlXd6DdzfS1MVfOV1DNi1wU7JBxTsbD3yh+X1ha783RKEBVtPuOn5l -cA7JM2ditCRLVDPOpOvd3crqGkOli4qdnus1jCztXOqFwzk8d3ETHyYbcG7wWQXMg7slBWhXN73u -sy4NEyZBZ3GQ0I+fw1zS23C7Zm2Ux4fZrWYc5TB2Zx/ypwqCfPCsLNCFJ4bKXeexcrd62THwk0VI -mhsW6SuPwbt6XzXy5CfDdQxXNIOP58VAqSkUh3iFk/2O8MTehnUlf3YvRQ3vG8IcOHSp8530zE4Z -l3Mmuwl8TqawIq9K+GcnPg89QEiIDh9rKdjuYtXEUAzJdjQkmsD04AkDlmfBwdL5aE0Q1tLIJ67k -dT92CatGSRwUfDA7mSEgvm+oirJGWE0VZJ5yeTryinvCasIEsyUqDw6tZxmKc6zwjX8fC5XZTQeZ -UGInUgPTsethinuhoRaQYRCGrYxJ4SgK32E1aeUn3SkTuinc1kDsa2Z08fLejYLSdQlh8IptdhVa -laG3KAt60C/N8kAfrhlpBlwmxJLvVPrdXljU+NN6Eprb+W6N0DLdF4d1jOHchg1JSE4LLzzMg2V3 -AcOrVK7iFaI4EsrWjO1Sc8KnqqnMOVLoidiHXVrK5qU35eUlQt7Y/Xb47KHW5bMmzSNb8ii542zb -IZwtwIRAXLy3GEzDWCrdUxZlxy2o5m+3OINpNXNQkM9T8xcrzIowWpg9A7qMOZyiV1/8mEPfLBtb -r4w9amwYm214jMoL8dqrNROrzB0NkUkqvHExHCvZKlBHCBs5IDtfCvVsKWkEEDaCgpR4eRXeY/l1 -H4b6R3nbeGPrAaw5aLeioJgATVF8xqRGlHuy/cxZjBTIQACafz9py4DbzEZTFlXPKaxMvaUn85Bw -j2BFKXCxg4833zBJku0CLJpK09Rcpu4Kmo2zxvBRuffHLTcLhmWL9yFgN/y+5gD0kmJpAzIZmbJz -VGL4FuQ1X/3CISjmukZt4I+yI6GPCLk9Q0rKQHeRVHzM6+2PproLh2m4lKuEGGxYpJILvB6SkseF -U2X4EC77oIB33VWTdjYzNQTNA6qaSvBltm6RD2MPIdfD18iMicW3WNBME7nQg6kM2ZvuqwxCRwXw -bPCQqbyHrl4qNtvKpU0aPdM/Ju+wI0L8JNXgQ+dHwzCcPzAE7po0l8+SwcH+jJFdksUhh089BGY6 -WWR7Kk2DixRPE74+eJYZGGu608gL7/KqvKGhVeCImWdceHiXjiyqZT8+Yxt9+uqg74gMTEOUN9eY -VIHm+RwWV9E2pSdZUabgWoeRaSPrgXyNNUOfDo6jjo35PLzmA/O9DUcUuFKkJgWIxIV4MAVdsiPB -uyV95GPAqVGSQj38ZC/BcymehbJfedMQAUI+ks4XOqYwUd9LWHlHebQx9MfUpxo8ypoqj7xf4Uw6 -2hIXVeGsBbseQtPwBOtO2WkWPg/lSuOkE/7QnMmYuq4F4dDaBArJeoOjAcO0qcbZsZa7EyF6wrvM -QWWen2tBOPUs03yK3cirYPlWXj0G7ypKNMK+ATYUWfc2I5uCVz4BSoyXcpmMY+1669zq27+pS005 -6254nYNKg8q7yt2Snz94IGNa2vY916ZGubv/pZhXJ6Y9z5Uk9eXoKZ2aTthwHB7QuIB2zs8ZivVC -rysvWUdlQxpXa6Q0tV+O0FQ4WDN9qpmDiadu5mDSKDdyTsMv8spxiG1pjm6V+hqHQJUoWWf5I7xd -H6y/IwUbxT+hMgXobQEmbvudxkWm5HHefJDinvaz9WbsOh5UGFvGcYB6o0SKxQF+ImWTXt85f7uF -Y8TAG+KwBOWdQsA87YzWNJEJz+aBd+RAtXLqHEtoZToeXO8fTPsjcujzhkXyl3+q8BLrzdbQmpgi -mdqc7wbYaPRYwdU8wB8hurHxxqPeUFwp24Xpm4WWBm8kCsOeE9P6i3Gtue1CvB58uCHvrcVzJHqf -U+g92Hcrs6DfBxanjwh9FeajFVNDd3OqAycwJkwhF5gzrh+mbh8u8nHT2K2xdsRRtn+lCQLuA9br -WAW9njANbczprjADPwg9FZBFCalJWxWukBXhp7dXY8Yb25CGwqTyJO0vWJDquuBoF37nWPRkwKRz -hSc/OsBymgBFc1v9bIukHgj9dz1SQgYSB634NoUeNF1ZlCaZKPBsMtk/oAiNn4IbD11yf4Flr0fe -nNGdSnWhu9XUoqjXWLt4tgq+lRX+bT5Khbb4r14UH3koLsv7a5RjTGnmp2m6UIC0OKtSU3BhWNTK -an3SRCX0lL59lnkaRUYPU/gInNXqfH4GnsR8C5dMJf+5SMm6p/R1iGdoU+N3TdpSD1XroVVxXV+b -i5/O9GohpFIh1avY8sldD03WjtWrayw8FjgNC9nStAWH8MUo8xnhpG9pquLTp/2uOVHXEeXJkJR6 -GEJKzhU5vjU4E6So7e7i/U92GoST/rO2dMFW+y59RHk3U0LdzhaOtifdBddicpXmxkUnx3gPPHbB -uXPfuYfQbb4FGOW+yQjWrlFLnEau1c5hZRsyMfO0j9dfWJMKlzMJei3Du8Dh7kiFw0lhFhR/q3c9 -Nu8Gpga0nD36jUQO8s9XGvLlJvM0ER8oOx/442QniXAWwzMeZ3lXeKRpYnMLwqW5i74e4CqP+uCx -Dz3tw7nvwkGXCw1Q80abHQk4PVLgNUc+Df/JA7mnKqAsaYzSbVrdjv6GMS4LnMZptHnXfjRUlMs1 -u464eMRqos3wmnXD3b5FdlgFvXFqpHYLtJ7jKI2u17k7tANwpZdgGlFg85V36xwU18DVFChSj2h+ -NbsrLZr3od2+Z9CrUqDQgoXU/kjSA+H1zCLZjuwrNSRw2ox0ZFksreQJX5CCeKPM6l3XonGaBKCk -K1SmNnTchsSpwEc2F9xnx6zI3UMw3rldFiqflR9CsPJz530JlonJ1VbUfUnCHFbeH6S4b8dAPyq2 -r1FW9Ea6lZ+0UvTtIba3NZF9+U6P3Jufy5arXjn+N1WkynjlIxVZ29Ag0uM9/7liAKTykAp9Dj3K -ZyBFBTh8XJDku6/tN0KTf6iepgzN/dLJOwj4LhXrGg/1RwgUw0AcBw7petvvHZw/5IPj1/JPwQDj -Dwu+utp03AxKBb3ZsSjKe/v9Apt6esIbhKHgZCtYeXUD3MucyraNUlil9L8aMUHAV53Duzs3sWxT -ma65mrXilnPjcWbRq327DVZ3WG7HQt9GjogBZTsfs1vg+CsTdOHjz3JfFsbQXFr2sY2KQi8FrpX8 -mgJZLOowWeaH8uMUWC0uVA74bdHdft8pVbctx828evTLGOAPjTayNo1CmdPbfqD9plSZrPxx8hiS -j77Ou8JabThzpqJ1/+QOerzgLqKGf2r2FU7Ogib8jWG2xnOZTI5xnvB80dl701R+V/+vW9HtYVXe -uRNGUQh6Cs8cA7XKExSf5bbAUGmcX8nXb4GweFNsCJlwKtrrWJUZWzgLb97h3TbNH593A0tkEkRK -9T/6qNUNBY2YWY21JnyloWfg7bu7UPEsD7joe9U52IbTWznUWV6o/pceLNnrER0jrmko5jKu9AqP -Ine2axnroZ73tesD4ujel4pdm6a1ocq7WSc+w/ppP+62u0NcD7+Wpczq5tFdnZMUf4pWlnMKKmmm -Kr4HtPvmYLsZeYSMhY2W9vhzVGeI89CatOFdQEkVd+lrt+ElU3kKdnO/twxqw9FePw4tHkVfal57 -xAVGeRt9RVlT6CU+i5q4di6pI1BcKk6xpHP1C8l6j3HECOH90m7Aac/TLprvY6NTs8ro5atZZQII -PzqHrc8iKo4soI+juNtHyZaReBSYqnqPI+jrAcwWYvRi1UtPdrJtlIIu+b60kXaU4z7fardpsrSh -IlqBrH34XbZBai/l72Ko+nXaKNvAOkcaTb15fpncaOVq9buPXvf5LiE5LSnSO3a+4KNh1tYY/b6F -34QrtPl5R0mMkpzXd9rwKyMzCs2Cjj0KZr3v7TzTZvqg9bbzbdqtiqgiy7csQPv3VvLug/zHfKfA -HXjLAbA7MYZ36MVEDTL5HXodtqjvDLGN2xZe9wrnel8c79DkINjVg9KFlwprYvOBQUNkTx/rGo/W -F759/R6DMzhtMXdfOO2nj6JS29PzLixJReZPM9CktsxVlfX6+faZLlTewdse+Zj3W+PYttcX1lOe -E87Ku6Fp4UtDKdIx8EVMFJz3bbuNhN11YF8bbTz07UPebcu5Q4gPLGXu5QVgqgUAQ1t+xENs71v6 -dtKx/cAuvQ7OwZZs7jKEJMApwlFdxnx5LU9ew69FzrfHKG+n/55yaB8yPgfvRvnsAbQJDkpl5wwt -IAprmYfcixKfMbrIOJedVyfAu0vTXb4s8r3hwXvMjz5DCV8WnnR+buFsYGnWUe6r+3m/i6f20fGQ -rNmFd1fu9p2n4r3sBh2cU8IZWVPotSs/yudbfi609vu+46htVnpVXHXJo96CQIIaAowgUTbtnmOL -XgahUtEOQoZ/C6/XvO7qntucm/s7fjTFXcQdDPXYg5RdRTXvHkL+nrZ3+9qn+H7S5k777fu5dWwM -vQnX78Gj8HY10ZdJjz3X1cbnvn+fydaFl3/n/fDOASm8xWW930da7wGmiyan4LQuOO1uFGbKkK5+ -4sE7AkPfrCOH6NXV/ylwVmFfDsDnY1lfO9nlEE0/ofM96VkH0AVv1/09gPRQe27fOghnfeSB8D02 -nBUfbbxkkTxC0T5z8JNnOjlk/wNd9Oq63241uzx+wR99FbK036bZHvodk1engN0FS9f9XVg5y7O9 -ym780eEcm4stYzttnKKw73b6kLW0C599ePconIXep+D60Ji62ui6v6dd95drnfTjtNzl2z1P38HD -A+RSHzj6PNMeovMzTiv+2LJxbaQsOsloxI9ZV6rRmfNiLeU9BUqOw/Z4ijvjsmR2c1nyvItbP9/9 -xihsrLF/5zg+H4S03FY+DuG6zzNdg6xtdPXxEHrbx0Pe74LhfP8gBlL1lbMchmv9pWmgIGVLeUWW -nVPDQL849vHoBKGDtUjpFzf+vgNGbqyI+fXMzV/6knfh29SFcHHr8lx+ichQ/qtDeEbGSDxDcNrr -15cI07ExA97KsJO/MozAPzL3PbHwCWBwmv4VebfSuSrrhswkvawCCsaOI0t91LnLvRysKTtgMw/2 -cM0tkMIzMwLrn/Cc4UB/VAGmbcXOGt/yb5pspo5sZgRMA/L+ssp7l7K7Y+ydTI4upb022DWOYx1X -pb3L63Dy4M8vdGJAuhHLt2qHqD2Elp0d/pseEKYslHvCwv5NQ/ps3QKrhykTF2v85l/58oyh50X6 -pFX9UvEgPY3/NWvSY8jbPzMetrwLrK4Hf0VZVPFvjD4HKpPE469qd0pP9bBkQd4J1foz8+F9x6bz -SyWd7D/J1JdrVwZXpi4WTDmrQGaLk3p9kMf99uwsuc9JnWOKwxnWxjushY8cyDOOO8P8gyagBs6E -1EhX5iUmzGiFUDczjWmG/mrXiHzAQ/K3enlIp51hJF9y8Mqcp2EdstBk4pwqIOjD3OoxEPf1YX7n -5IAnjabZP6wGWNeXvjQnVaLjTEl1T6gm1/AfxzN/Nb64Fzx9DbR7Nf4neuk/Ck6APXW+/4lI1Xco -27M8feVN34b/bM9Jy/8EuVjn6H8A70rPv7TRuTVSWvrrX32eqq+bxSmFbqJ8FSwURb6e7s/BeL7L -6XH+TEVsPvfcPby34p4zM3o6zBPLrkDyklO0JHH3VsZ05/0PJlJChci1lQq1F+TVJmuKed7NBT8k -B/vmIYdw/gBB3tfIMS/4xNSZOcVMijZzmJHkPQdWFXwqwxYXKdWlhmaPSb2CKv27gbGPISW/LZ7l -W2NzhHNqNYe3sJBSNCpFShqGGxeGM7Van/2/nH635ol5Yc37ToaSFXvBTR/9DK32OZluiPY8UQ4r -NZnuT7gSy1Z+TnjtT/9oP7T/6cHoHOAZzk4UfXEPnGn6xZHs6IDP9Pxr0bNC86XTtR4eOrT+qxvU -iPE+FPwkdEidrrW7dqCNeyvu7fY8T6IO6bblh+sPg5ukUfv3UEil75oc8Sp1z1AsxxO0+FFJ09cH -kX/yZ6JQF6U9hZS4JhR/WZnP0sIQXBZOsRLs0jyrKNLeH1BtVXYwF2rbE+dWXWME3tJrxK5F8sSj -kJuT3DsT8vGurJSXVJ/mXG76WJFXekOataHVLLlPoXtyl/fAN/l/m1z0jOs9uyL+ZfsUvconx9lx -qW7/W7jspJN/XVCc758xcMbAGQNnDJwxcMbAF4OBQ4qHcervSStrZaxc5cGavju1LPjOYkatc9hb -uK0AtbK6M9rNkeJoJyvu0fFo+2vCKK5eXBCKYvZ6lD9TEeFp/8CBi5UVNc1P+e+4xImFk1DWLywO -Id507hKOYXncP/PZiBX5fecorHtzJQOHXm6V9pSwppCHuXg3pKobUhRmirJtnSrhjUJtaiUrfZEK -zDzpU5TiCYcelgtopVUDTlTaZ3i817yY6rDR0PnfqmIcorAP4/C8VjDUlAJDi2TnoNCF1drwsqdE -vKmqMBwmVrJU8aaPT9IgVV5IH8JhxddpKsGuLPzAK2OYekQhGDOBrUyVeWS71LFfWJX0VG95iydr -ysonev3DGIVZuvjWZzlDMjZl04nO+q6mz/fPGDhj4IyBMwbOGDhj4E+IAVSEaAlPGj34zpX4bBT2 -d2+aIlx37quc8cVzSo8bnXCdxP+fXhccVr16UYovHPYQ9lLc1U1Uw2scvUrWM8Jinlpa+efx4M2/ -8HC3FbM/Cb4zJgpAaOS8ePUkY25g+HO5TEeFwtcosf/6xw2VVC1kdQCJ7UM7xJbnuQpOZRRjrNR6 -EzfV8ElTT+RWy1R39zzCDAPMc2x3GdBn/YaGrZCp/VN12nrwV4bwPftoj9UBeXK6nQd/DyjbV7Aq -0ySKeIoR1yEeiuksE+cSZfvV988GlxhjD6anZcKFk/8yrmPWnXjAIJ694h/m1/k6Y+CMgTMGzhg4 -Y+CMgf8gDFQ9rOpFVaHRU57UrSgwW6W44EVF1EOrNex9t4hgXi2x8R0qarfibucqMncUSTy1doLn -dIa3N9V36+X3/04vZPpGq7QccnRLAEC5dJxPjMvmKkdm/zRcVtE1N9vpIYW9jlaFVmWcnxHKa1Xw -o5i346884OzhVNvDMVyzEVWlVGXZIlOrtw2W7hKwaMd42UeEwOjdHianbnHp+zB5SDMU+sgY0ofM -2gVA01PTo9tF9sHLhV63lbSOk8fxz2DCC2j6UHrWkHWg3FYmForsNcSo4BeE0cBapyZ0gVeYz9cZ -A2cMnDFwxsAZA2cM/EdgoDqwBbY6XaMqrAiRmXD38hmZdNDOqXB/e6FIXPH9DFe9Ecc4Zwfvd7zu -l9y74ud3lffj4cbdirtKIrrKb+/J0DIyLKa5GGLzm6wtccz+GUkGDtUHrbp6836xNTD+XP72Wwfv -O3LXLghb6ayiil0ivjc1IbXM0r4KMczUEmV+07rfAj5OcQ61RjHdPbjLId8QPs/zz7aP9JzeotxG -6+V+u48evOC7jmprMjhW++hiJO77yIqqh+84S7F8gjK9a9n26P/QIzc0fkO415Lqg4abCfqGXRtT -CWogJL1V2fV6QDfnV88YOGPgjIEzBs4YOGPgC8NA281ZnYbrD+zXm6zFTC0mBhkQN7wkZKamqp0Q -W0vClGSbUa9SQTd3+7Io9x4SfaJSz89vR2KEC666FffoLhz4REn6qJLevtCK5zmIuKNtPaIidW+a -bmFvDl+++fWmMTL+xNeCgfbMBtRUcMaiW+N6Hu8q7gXGNQqnaSJHltc1tGXnMhZ+AsOYNvNuyszi -ZvYgqgdc8bYPJ5qJd4jPBw+RNtlsRjJsKn6dguASuEQsfWLVD8Cxr8Ul20yvX98MRhqt3Xzef1Du -ZGHLxCa63QphDvLlgbC0/o2fnzxj4IyBMwbOGDhj4IyBvwQGSlRynHmqlxcoI1+h16ikv7OSGIqa -4QGcTeQgYolaSDiEIQO3irt/q7RH5+hWoHsp7ipGSyyD5W4lJw5GWt1pPFNxo79jscF/FJUSMvJ7 -Qk4yHBRT47M9sIqa+0eN4n79eNC0Q/Ed414ms2UOnDbk1crjuKjf5QthhGATPzRPDDntGRW5peDW -GPdLss3MP5KH3a2boIfCEDSUnQpc/xxnbXopoVdNsHu9Wn/LwBoHe0/WfoqOlXBkcKSAtBmNA74Y -8XefeiqmIv24VMO+H6qPvTWUrysd+J1DrHWL6c/A448P8rnFMwbOGDhj4IyBMwbOGDgVA3UHvkYS -J9OGijjKu2EN8abzd6qmFgXCWPcZXvmPRLHoJbSCqop9o4J1OiP7Ke7qVVFkdty2fFc9xI55xkHB -Sw6srsw2gmLWU4c7FU3Hn9dxy1bFE0rODvHgrlI6tuJrj9v5cXt/WGvHlHYVSDzby5sFOqV52ont -HkroNR53vMSWFTauKlTnsCX3B1fcIEZdz/qCLC2bDxZi4j637Mqc6x9Q2pt0NFzFelzRB658ilnx -3fMmkBtsDha/ERNvIab6OJllhmSRGaLYJpONOwaWcW6lckx8vMYTfdW+1cw3Hxec0QCO6WSwee54 -gANAFmwTbSx37dVhxAyHfxA92+PoGNPDGOD89hkDZwycMXDGwBkDZwx8URho6wVRwdCbrkyzx4WO -E8Xca1VOpqrE64Vfovyos+mht422X/QIAnor7gcVKUq8qsd50PFqME3mFpX8t6/J/22ccA8F7LEI -tCGH5uRiNHjxzSWK+4wxoSxaiVMj549S8h4LmH3tqLyn/LW51Um/mOpbKMyWrVdptwCTvJE9m0nS -X+bQKEbfhh2HtSkfWwy2AjcrcrB/ctmelZZM8VhSauZZ88aXkKxVZUCLPVmkib43KPzb4knFqBuT -9vGSEsArwno+EKqUTJTCQXvrbR8+TBso/Ktr65g3xkWvq+9zvRo78tAf1c9Dx3l+/4yBMwbOGDhj -4IyBMwb+GAzs6ga/q4fhfFRZ16taQ1Hanmz/1hP/TOXew5hFYeo54tMU90ONMoYPFv8hf7fK4sKw -i0cNPO4JTaO1Dua/zcklTpkgjIl5vNB/sUuvOUryatlkcPHw5J34EhV1EFFz/m/cdFBpP+Wij3je -ZS4PaLq1UkNtYhk2xsKImJ2E7ZhTcrfiqeE2OuDhh9W+4wX7+nhwOdRTgDw/e8bAGQNnDJwxcMbA -GQNnDDwyBhI+jlf9WOhJDY/xmROSXjxYca8x2UsqaC4/cko2nTex2l3x2o+MJvr7KvHZ12/VEn/j -pwml+KPH8dhw7Wsvnvd6IrlafC3L75P7p3iM67N62avCv9uHnx0DxlGTI778tAbbeNYxnlrnlz+h -Re1jDwx/BB7PfZwxcMbAGQNnDJwxcMbAGQOPjoGuePH2/RPOzz1Yca+A3omBP0VJfHRMHYjH/wz9 -/Nub7MJz1/0+APRpo+uZh97vM87zM2cMnDFwxsAZA2cMnDFwxsBfHAOPprhv8dSlpP3FEXoG74yB -MwbOGDhj4IyBMwbOGDhj4IyBz4GBx1fcP8coz22eMXDGwF8eA4eON+wLdavPHru3i7D67LFjFD7T -dczi2DO79/b12Td07xg+umDoyyx92tl9pj3+U2h2ypgO4egUuh9roy8N+o75/NwZA2cMnDHwR2Hg -rLj/UZg+93PGwBkDRzEwnqA1kyZraNxfDtpz8Jk3TCWaAmEW1i07evmVD2Qz4lZbERvywWxbdy7e -rVVvLQo8MiNTqwbA9lwQhQRmwwkJmpqT2PaQcTAGj3LkuzRuytsmzWzu83wSLTEYXqeuBQlO///s -/S1gI8mytQurWQkK2lDNbGjYhj1sGvZh78A57B54PnjgfeE+bA+cy/bAHrYNPdDQZmNoQ0GJ9bee -qIzqdLmqsvRny3LUjNuWKit/VmRGroyKjLQASfqc9vsQGpXLwqIOXB5ClZ3dpDxJRymrBAsXRqhd -O7AMPKzis/oUYw5SS5Gl8uyN7Ka2Whjh7K0oEaEqndHAwcX1cWh+2nQdfpZH7aC27Dhni9ZFOcqH -e7UU+Kh66APY+YFu6xBk6snzU/qBcmyfO0GAhpkS2D751M623GfER0Y62lvT7hd8b8/TTtqGXAYl -ETcDgUAgEDg8BIK4H55MokaBwLtCwK2o5+cKJ3txIh46nXyHSXIKmK57HWV7dXU/eRR5dxJ6oTMK -LnRmxK3OafjrqiZpRsxE+j7re+5xLSG5ItGQ3X/f3E5uvj1Ozs9PJj9/PdcBd9Xku2hc2sI++Zto -VDoPYa5QsvPTumzRR5G7qaXlJOLrdMrvp0vF3a1L0I8OEVN9b7SR+0bRtc4UApWwuPf3y8mf/7ox -Enuhdn1WmNrF9+XkmzbPP6ZN321iW7dD6XX+wqcLtYGT7XRAB19P+VuLgWu1mQ3h5+ci1Cendf1J -pvJ+1wnXj6qjL3Jq8kq0L45pmBm5Z+FgJFmk+7PKOLdyJpPvypRD/zjD4frmztpyLiL8+fNcZzH8 -2Dn1KHnQBur08xdhpTrU8NdYgdmVwgHf6jwGX7gMdehmYSGMzz6fTk61qCJ07J0vQgTxidr6hbJ0 -Rgfpv32TLK/q/BEBbfn563zy8aPaIjZ+q/p/+/3BjiHP1hzKe6YDCmdqn3C6qRcm6ywu3tXAjMYG -AoHAdgi4Balvk2pzH/VZG6zGXKOJe+n18ZjCIk0gEAi8XwRKBGkp8ju/0DkMn88m94qY9O9vi8mp -LKSXOshifno6+d9/XOs8gNXkRId+ffnl3IjazS3W8JvJ3bXFQDVr92eRvy8i5lhTOS1ZSwERPAj2 -0tJxUNx//DI3SzmXqKyl+fOvyeSf9zcExdLzZ8aZ//h2J0L8oMXA+WSq8hb/90rhZlciu7PJpcj4 -t7/uRXAXIuanOnyutnzPRTx53jj998fJH/97P6nm08nXX/W8dPO1nhkMzkqIXz16+UV5npxMrpT+ -WkT2nAXBzyKmf06Eza0O2ptPvqocTiG+VR1nZ/XJfL/T7iz8q45jmFyKfNPeG+V1y2l+vC1Qugth -9Us6e8MXKYbVFFQmk5+0APr0WURXslg9Sj6fppLH+WT24VSLhFubZ74IF/640qKBeQL851p4/P6P -W1tUjbkqkXbDVAuyBy2QLJRtuiDtv/56afft4k3DyYUI983krz90Vog+fxap/6J2GBHX57kdeFJN -rn5nkVO/HeCiTXOFuK3UrhtNlHc6X2LsFXPgWKQiXSDwDhHI3uA2rW/IeDrdsg3LGiEg80dHE/fS -pPsOxRRNDgQCgR0gkDxeJvc3sljfyFr+WVZ2WY//+O1qcirr9/8rIgiB/ON3hZs9WUx+EVE8E0nl -jIaZLOP/IUL8L9XjjsO7MEqLp13Lun46PxWJqyZ3YoGQQXQon+GENzcLs7TORQoJIfuggwZkSLbz -Bm60aFj9rExEwK9FRm9uFFpWHy++Et5U1lyVcy+iCHHH6vv7P8X4f/00+a//upg8XHKoGWdIrHRw -8Wzyn//xWWT22lBKLwWGEVOdVovvshirjNszO3TvVmX88c87fVYO/4llXPnLmv0w14FnIu73OkPh -j9/uJp9Eej9rQcP1++8/3GbcVceIaza54JbCYun6VlhpYcQ6ZiVSf8sJy2rnpRZIn38WzpLFb79d -66yG1eTzw3zy3//zWd/L6v1v4fzvhRYP9UGBWME5aO3s7OfJFx26di+cbvSzWtXhgfsu3gicX/K2 -RaczS05Xf/6o+4ks6b9+vZz89HU2+be+/1N94OzTbPLLf2rxoLbqjD9Z/LUoYlElwf6uekrEun8x -+arFGaj/8Vt9qBt14G3Elb7lLcJUCxK8lljklOa35B21g94eWQQCgcAxImC2IbukaFCmxMHmpPkT -WYI+ftL3nImTLBIoFE6YX2ju4OKVKMp+5PlHo4g7VpULTVSfNFElN0srKzOKHJQc3L2V+vWscw6q -vlGZQOCYEUAd4aJxLTeUO1mnay/j59dKjitLFJtI1oOsyI+c/yV9RmpRVRFxWXu/zEwX3X57mPwh -d4zzn6eTX0XSdE7w5B9SnPhf/ymyffVtKUvwQpbmU7nZPJj1vnaMEBGWq8k//il3lPnJ5JdTXFrk -iiPLuulZkeD5uUjxSouCh1N561TmNnMlonzzcDt5sFOL9Z8IL+eeGRE2RYiFui6BjwusxiLucy0w -fv2v2m1mIud67LtDb0Pht1h2afujXEXcWswCA/eYf4rAYxG/170LKw1f8KXhwe+fftap0SKlV1e3 -ev5BJx9PjaR+1PHa38VSeYvgF6T1T1msr2TN//JF+YnI/qVFwr9kpZ6qNT/jIqMJBpFwcjJtvk9u -O3Uj1Eblgf8/inb1qPax+EnnwS305ajFilKdiaBPtdC6+031Tq4+lDDXd5ci4FjR/7q+1kJAC6zF -fPLTT3IVkovP589Lc60619uH33+7kSsUC4XJ5JPa+x//fTG5u9C5Hic6rO7+u/DnnI96UXSmhcVH -vRWY309VXkKka3GhvFgIXKisC7lY0c78bcAxj9toWyDwGgi0tyfVmuYwL2LLpUEAAP/0SURBVOY2 -rnspBd44ov9q30XV+PYGBYsS02tJpeR3fmEl+h2Tk9L+8gsWCClR261UbOwgcTeli+KSUv0kJf6f -X87kYpn8AnXLNkgd1MXL3h+WLa/d4dXzoECLygQCe0UA5XbHKbn/vJUV9q9uv2JjrB9qKqpBfCFf -5F//65NZ1B9ECK/+qE9Axnf8VIT4t/u7yZ0s9AuZSr+KuF/gViJSfSfr6s3939qE+GHykwh+JbcI -FgM311KiZF7h3/1dh8X9PZl/ZWMlXtn1yc93N/UOzelMftSqD3rv66/yS1+dyuItd5U/RJqX3ycz -ET5Lp42sP6nc+ezz5ONlJcs8C4R7kXX5lGtBwEJlJdP1hXz3zz+d1JOPVgddb1SfCEAEkxOLRTWp -sLVtLjece5Hqf8i6j0Uefx5891HBC9XDNuk+iG5r5ybu6OYFpILw7Z98mMkaf2qLiatrkWnhRv0h -449axECKf/pJrjRaJX1Xfe94w6Cr3icgfKaQY4i50BLzZxG1YMUCcPpB686E2Ve5s1AcMvumRdU1 -7kuaxUrWbMqiBbTXFkLUX+2qXVNUthY8Cy0aZrKsn4hwn2sx8qCEJzLz007qb5tl1fZzYVVvCgYL -/NvrzbN+GJ/5QVGe+tT3j9N6ITOwkqIKM715uRQWv/4i9xsVtvhhWnsitvgQCAQC2yPgBhDPifF7 -iByu3nlUzx830ql3Mvo8ovNQZKzu7zTn8BuL0IN+IO551ARe8T5yOqXy0dw1metZduCPuEZZ3E3t -oSCFoF6oNqufrpXRiDL3mIS4Bj9WZ/734dVzjxBE1oHAwSFQq93xy3wsuPJDPpUVW24n3+QS88fv -d7aplLEMUfskIqUUssgTyaQe4Q1BbMy8qFQYeA0IJNbStJhzU6/muTo930OCV5RqG1xrHZtfWkNM -TrRAYHPkH/+QxVcWYfyrVX3539f1/vIT7iTnTyKzjBeRSncFZoub9NMmm+hn+bLzdV7Nxd33yZ3I -+WIh8i+Lzumpm5e9BswyNZm1LCHNFDHWxJVheSoM5iLVmKX/kPvNHZPROhdtS+Xnj/FGGUHMP4qo -f1goiWSudI9y6+ERam8Rd9QPLuQHvzxTmmTcoh0tkSXJ1iVQ/VH9MnUl6xOjHlin4ZE2EAgEfiBQ -k2G/DpO/oYVqhYk6YB4wtWDKASIu0m5HxqNkpGVu5C4JcZ/LlZGJ5G+5yJDGtZP0pSw8+oG4lxVM -mbj7RCcFaPqTeqhe93qdeSeLUm0VOozrVBElzrVJjJBfXA+yuNzJCvY3vqEDVpXDqH3UIhA4TgRk -c518l7WXjYCrH6bU543VsK2wEMiSeq+0+LTfyxfdrMmJIJr7G+EeNdbPRNo/iCiik7jfbB40dtWB -peuAZHWtUyS2WOfSPMTUQchBLP33Yo4PIuH4x1sUE1SrykAfX8v1514uND/9h3yuVZdzWdsxhHve -+MM/3OlZ5ffL/9GGytxXpSDuDykf3Fdw77Fwk74hoOvZHrJt3ke4uFD3dihK3hyonO+0p8mzJvJj -LrPuY4WWZYm3DQTj4a3ImVxczs4UpYcwnlteLFzM/UgvAu7+0huFudx6RNIrW7DVb2IQuBycVB6L -FM2P7MjtvdarE30L/H/7/8BfWK33+Jatj8cDgfeDgLmlYZQRf63VtTaYywBwr6AEh8Q1PzL/iGsS -fRZ18MhkgJLCoo6/DETcferQu7jNXFzK8s0eJLXsL92/y4woj3c1uT/nrZ6ps0H/oDJxb/UZ8iTg -182fD9qUdVM7zhyCCUITEgKf/rd8OuWPSD0Jz/ZNvqtEFqh1e3kl836GSLQ0EHhpBGTxrnVx94Xi -wxVZ7izQSPzV8avGN7kev2aIN0J9I1eMK22GJHoJ/tFzuaPk+fZF38oL7jMq589eK0TkXyLvlVxv -zj8r4olehzaLBKy+UtJsDD3VguOL3GoIyfg4w5WkjhluelwuODfyI7+UD7mtJ8aYkFLlmLwe5IP/ -xz/+Fun/oKg7mtHkg89GVcposoOcZ5k/gTizivszOQ52u4OMWkz1ROhrTOoFlHmXpEVQnh+GnCv2 -CEj/EmnmTq+C8Vmv5AJVMiJRXxYqZGvx8ZsGyJ1FgJm7DOE29UbjRFb1rytt3FXlmPqIZQ/WM5nX -rxXuk3nyZ9UBW1g1S33Opn3VQ65OVoiwelJej2GnrocWcLZvwhcJLz1uorxA4B0gwIKbN6hEEjuZ -a9+SDMTS9RgE/pS+1wERHGrxukBg+ND/nxUU4ERWinnj2pIUiL0elIVBrpxPLiPvIuYwfVQRxL6t -dGUAsu+rj2g1/fQ7Va5N3KmMLS6kyR+tEapF4UCRF0Fa9SCaQ93Y2lFyIYwsUsRjWqsdQj1fBIwo -JBA4MATMMluqk8apXCH8svS1paC5/AXlgyy5C0V/ecAfPXNjeFJCoTxzQWnSpFVDygBLsvl1J0L7 -Rft7PmuTJNFdbkUeqZu9JFWVzZCt37wJ+CBlPsv07ZMq+IeiG8p35axIJxOsMyKkiTyeK8LO118v -zLr928ON7qS49vprLjeiS/nRc/2lRQL+7LU/d637sPhbPPcfrwOeQOUktktCPGKEuoErrTyyhcMU -Czhp4LaGnUgzxdfzXIm3m2X+dL60iDH3bOxNMdwXbBwW3j8rDCauMiey4tdvVacWq522nmgyvFC0 -m/kn7SVQlBuqdSpyT2Sf27+0MZVY7sKilqUWP3orQkhIFlkPiqDTPpSqjUHaL12/ao4rEAgE9oOA -hpdGq+kPt22w18j0K2/u4JqvPQapg66HhkuiGV0RYlXCr539VB1KHtcZGYLqE+Q63AgJTTtTzKvL -NPnsmrhbzZmIifjwOG7z0X4k/SPX1UKzRDo0xb9F+GYZkvBR3MXZY9+VjPwDgUDgGQJOjs+ksM5F -vthHsyKaiN6gfVtoQ6jrOCfy0uGEHzT1LlYJKTUSDVGUTsSD4kLWcVxpTnXnXJFdCDfIBlRzF1HS -E/l7E3v9FPaut5O8rbu/u7N8v2gj/ik7/HX9/FluHyLMFn5Q1p57kcjJ44mIcn1KKBckPjufqF5r -oHvzlqoYO3GVKg9Y3K16WPflN3+hyCgnYpVzRcb5P5obLvX5Ut9/kwWKQ54+qo4sFs51KNEv/00d -FP1G9fvX79eNi8ps/sGixUDs58rr3A6tSm5Lxqh1X9/h2iIP8slH4tELuxvFX+egp/Mbfa98f/1P -BSYQKef5By0cwJLGfPlJGCtvYskbFvjZJ1x6jNidI+BOrkiYzmkjMdmxcEPe7zRh/0ubmrnOfz6d -/M+nn420szD5Xa5UN38+qjx+iHuvDaT/c1njrtXTPxUa8s9/1Z3HKfeZ3lhcqs4Ynq7/In8WSOWr -WUSWk0aKQCAQ2AAB47pdHm7wNzOivD7XpA5c3TqciUkVPZHBRQal5xZzfUfIR9p5cfEcIZQUPvDG -+YetOxtZ3DeQSTwSCAQCgcAgAjOdwIkVFPLJjn2zauNXnmjXUm4YVzqx09SaDgMiARtOcWHASsvB -SMbCREanUqDs8l8tFMJRBLDtJaew5RYN5ZvivZsromYG/OZr1idSJ79KcwPRZ8IGEjP+8UouOpSh -BcG9uTDqMCOVQaGEkfx2daf9NHXcrQ/fFNVEbTHCKF2uc53sda8OTm1C+faBYfxeFWZz673KeNSb -xPlHRYWR24fFlWfxIBKOJfiav9PFQVDf9PlOJ4LWswtle9QDPaePnBSbx4Qk6grl4eZi4R5lSZrZ -qwjcUh4nv09vbCPwVG3+qKgu4Ave377JTZJXmmocWOFCZD74HAYlGfFG5J6A+iMvI+naz3A6xeqk -E2ExXvHWWXjx/b9E0nG/wSWK0JqEu7STU9nbIPeX3xXxhwgKF4RL1nWtOhAJKD85la5BeFG8Ze50 -EBVBH+waw9xHtiOSBQKBwDtFwNw6pSO/6CAS26Svq4t/z+UKM5e/e9eFSkWlExpr4Ari/k77WDQ7 -EDgUBNyF5l6bOP8QKVsQ0lBE2iKFQOCThRp3in/q9FQuixAjyzTXH3+IgX2TrtP9+jv5PsuqzA9+ -z2Sw4KQerN68iRUxJDrXP/+BhiTaeH0QD9dS+f4OGRZBNtYtEmu2E95u6r+6XPm631zVGyaxNOv/ -K5FmNjAqyqDV+Rrrvv6uFyAfRGLvFYMdlln7v/e5DdXf6+An8rtWGcobLLDgm4uinjcfcIWAJP78 -TGGCnXjWhwlBzNNX5CUT/jeRWIgsVYOTcyaIl2/7lVRvDl2yCusZM8TzW3+wULiVjz6umTU+dax8 -C78oS/c3uaZc6yAmi+eeiPpv/7pT/erNtLR9zGWbfZUJMeVPtFi79whAqQ0EQ/hNi7OTmfIFw1QW -86SWSXYQ0x//urG6cCEHe7uS5s8ffQz3U+pWC7zsvjWm9pEmEAgE3jcCud8gvj71G9tnQVHsJFVe -u3agZbqunvNK7udB3N93bzua1puLQVjO3rQ8IVMcUYTuepTltUuej9qU6UTeXGwgaMkf+gcRUz5y -gbCDjIzkitVlfQOCuCSUYCLrj0uVlbmvcPBR416oZzH88tnT4FtPvq6Ya9KpKFsUk8pxH23/jL5e -ciLrCLLo9bNmQZSpv1+pHr5Z1BYKGTltY4bhZqGGen0fIfVPsKgxtIVNesPg9528c7JoVoMaypTH -o6I9GMYZfrWlPC0g1hyTtAur/5MrLSKQM3LNcTc3yJSYxQQy8Kur/yxs81i9KAl98TbURcjqbcgp -alnrUttW5D7uPk/k4IyJnFAAM4h79LY3j0ATBvDNtyQaYJxwwAf8CWlHP5K+hxyW/JKbx1rWD/ve -66ACrIysTn355vXorFNGMsdI2svx/v2kXimD2vdzOLcn9e1JW2rTkzIyvLueK+E+pu3tNI6nhQVt -LT5+MPW6Q4wh5GPSbFLPeGY/CAR53w+ukeseEEBJLV1J5grXrTrpO/ffdEOFbQ5K1pog7nsQTGR5 -UAgwCXO4TP4K/aAqGJXZOQJsFD2R34fOTjUXmY2uPmtw/v2aZHujehQeKpHM0v0n2a9pAfdn1ypj -HyDA1+WzNFfoT3sh0uM/fwj13FPz32W2eBXMRXCGZP4ugYlGHyYCtj9pPlni465QwaulAgjwN281 -5SOJa9+5NtdjB7rFkVLfcy6TRcmyz3r7K7/Ilfr8sit2b2r1TizutTWG9wO+69dXDs+xbaxH+QSS -rFqkbiwr2Vzsr2y9jCe5tl7T7kSaXp8DmLR30p4jzoSOf3Z5YpFAOHb4Rr4BuBqMvrK+lz8TBGA0 -gi+eEJkTJeZCEULwfb5WmK11LHKmgyye91P/65D5i4tyVIG1vJC5IgUpms6jSPsVodU21P3N24PQ -76Pwf61ENs6JMnSpjdra33AtN6e1xnlyI3vGRkLuryXSrctdpXCMP7hmf5ZdXNM8WPI9QPqYv00s -cc1O33SvgrnAcHbETCFqFUxAq06M7xiY6gOVOMSP2O+KciYm/wk3SH19onQsUC8W1WRBZEQ99G2q -wJhGY7q5zHbEXfXE8kVoNG0pU91UWUVAuMfJsWs3bRtj1Ym3A9WZViW2QKnj9zLQOELcLGraCAWY -pzK3KOpuinhARmyrIk67Gripxa1VHxegtUm7sVjwrNiItQ4R3LprRgbrIfDdVrSzC/3gR6y9i+so -d2R9qkgV+TryXn3YfGnpYBtaKNdrQ6QejUDSY7xl5LAOYprXQhq/WPPxXWUxHBfSI3bKZ8h8tChe -LiFGIYw6U4XAlNn9u+YBiXzAINVbNdMN2jc2JyybbbR9LEb5ebl2RkkNAmk4VwrrOVU4VhklJ9dG -c2oDYb+Aa3XABnT0wzSFiM7VOJZP22C9RtSjkMzrI3AiTnhKVCj4YcM1bYPOQOXS3ADX1JCHV6L2 -2aC/0uYcNs+T70zhGx81BxDNairSvRTJ5ju/jGvqIfZhdYVot3S4XLLnKkXmmnJWBEoqhcqFzHt4 -MwKYzadz02F4CnDN0W26OLiuxDu2I+4UJtL+9euFnWBIOLF//1uRFf7QC2wUZIv02GCyUGN1ZIKF -IkjMVNlf//NCCnmqiAC3k9//tz5x6uefLyY//XSq/BSGTZu6Pisk2emZYl8qX0K/EcaM0GB3ikLw -z/+rIc0OqTGLhUL/o84/f7mYfPmiuM+KSvGbTv5jM1pY415/4PbWgAUWOt3kP57ATU8ryVmxsXW4 -CwcqkEelEbUSgft2pVdXRBcJIneQgkd5e5jIdSqIDvr6HxeSeR1W8LuY3KlkvlBs9m86YZm3Nuss -/NYpO9JuisAPomb7vjQmNzmHJQUYsjH/5fOFTmVcKszkjTa0hn7fVDJ7ey5xB9Svbez+zmaO8gLd -tL/SXeqN3FedbsnZBnfiC0734Q0LhUv9pnCixPDnirl9b1LcPmMJ1Df6c/Da118kUzHvlYxr/9b8 -fP9NUba6uKbmduOamijuCVSgN6xzEfH/+K9zI+p/KHzslU6jxnD8C/xV/eXqm/qG+tpnO8fjQjpG -CzwR9RPL61SRvu4n//uPK/GE2pDw7GJS0vP0MSP6ymymzjutDx2ZPCgIgmzxFtkLZ5lH3dNXZm2v -tFnJXOOlpL6PMBRvR9z12oL4xdTys1bFNwqzhdWqa9OsReZSDS90+AWVvhbBX9hOI8Xu1Srq8yet -qtUijrgFIBYfF7LE/81hIX/J90ec/bOOsb5SzOB/c9S5VtMc0DLT4SLffsPXtWv77vh+Q/2I8fv1 -57PJL/9xrrIBUmHmiB9H1q1FyPicI+WhIeBvVi50cM2FBqmF8yN2uMLeXSpW9Ncv9eD+H618iZoR -oj80Ca5fH5f5pZT/pXTGUv9dK+46FhEO/PkiIk/Yxfv/e1WHVIzrqBDwV+SXOv32118+Ty6l3/9Q -LHfbl+xTRwz0o5A5YjzRYvxSfIGISt/EFzD21Sf61ucrEI0pCPsbELeEyZkWzNG3Otfil4mMLuKF -VzrfgvMqiDTVliNjHS4HP2TFtvhTscq0SCekLq52n/HwUGdYaL6/h1zLaIzb5c3Vg0Lw3k9mX+Ga -JwoLfCeuea9D4XRo23/PJx+XnA5N7+rhmnZuRm1xx5RuJmoL41vPJ7N0ahMeHA9yDxDFsIu5yIJw -2eeZ3ifpWX2ul5Xd13bEXXkuFP93IfL+KBKOH9qdYjG7ZSMvktfTZwKodst/ahr3V56Q5V90pDcr -nA925nid9Fa+bWcPxFo+s9jFdzf8iPCf6qRDnPi34+x1NQXaXLsEOEWQzQJkuYts38DQeLdVXGjz -yJXif99qfcabG45UnmsByUE8Mzv/gL46NHzeLXRvtuEPUuDffr+b3Erp3P2BkHnNMhVxP5cPtV7A -/iEjgPzmY7X2ZkX8rOI2H2kuYVH+iyx2p2eaMxoN3xVQ+Xja/l5bYlLVP7fiCX/qTdqiPmAB9mRj -eyhy1XvF7GDbDW/HoeJuKUOuDC0y4t4rLDBnY3QZVWcKVDHHfVbPmZW7Usja1DizFatjfGFR9z/1 -YX8z8U3yYdsT1vl7LQgeKEPE/i+ReTxDquRytQ5Gxk0XWNtr4m6ne9sn3HtUIAfaiWJg5Z/JJYwU -fup0qZwtiTuvMHltIJP/kxfXT/3QzJrNblqtdC4+Te3wk4kO6KgXL9RcTeFQDX1xIUv62f9zWb8O -VZ4sCFC8fF4oHb7nv8oifq1XGN/+uDVuj58ipyU2IdxKrW7dB1YGsp3aqFcoevlirjmc2hjkbU0w -30ByX6EzQO9u/k6vtz5oYv9Yu0jJXeIPnXKJn3tVFXwq30B7o4o/rGtsZr27+W7+0hef9FpPZpjz -j4pIJBXCSaXLZJkLF6nj6DXodl5Nf/5yNrmQtR330fubO70Or102G6NPWNuPQ+CtVsAXLj5r7919 -LW8srPAIc4sMmb8NmT95AWq0N7uec028NS61kR3yPrmpJle4WFVpqY4l207GE9fU29dz0uCmogPt -HtMhfTWbrfdQ/R8ZkiHzf/xTXJPgMHYiXs8ei8ZVJtFGXvIYH6ejyRMlmdXZvMpFGdzG9ccJO3tF -Od265PW9JXGv8aMQCPSJvUigUk+QrtOwEUTtvbg8007x1eQvkaO7K5EmnsbvTH9dfdOJe/r9RUqW -kDmEx7GGuZg00s7k3jDXz0wrpd91iuKN3GgsQStCxDo90savKnCHNV9Hmash5pbTfbzVOjkPpPVG -9UmoaXQCeEfFRjYZAgh+oUhIwprj1H/9rwvrh7/9QycwykqzYkyPPPlxFK4lmZPJ8xdSo7KOROMR -QKbnemX689dzvdljo2Ltb8ieFtugyrXLSb0k0zH9YnzzImVriOMK9ev/6IhxyfT3/9XudU0Z6PcT -qfhTva++q7dV7e6y+MxYmnqyDN2+O6x7cmIhfiurrCj75FLy/y5xwFEwzv2pt6u3vFXb5TVmDJf0 -wC7r8y7ySuOs1VYLaKLvLj+fmW6/uqo9QpA/3ioYu//9553tbSIaHWK5aR2GwRu6CyKX6UcHWE9+ -+3+1F8a55tDm6A1wdzWxzru/nRD3Yl2FIouc27+1aVUrFnP1yZSXB3e4lf/67bUdEj75VX7GrEK8 -MayOcfm/1ysvXi3YEeQrMoHa96yAihVrJ1A+cpeobBOMvQ5YO4fiA2be1w9RDexSQ+z48KdPmme1 -Ol1FY02yzxdDxbIiwSACNlbVwb5oE9PXXzWxC+hv2qx2LWu7iWnX5I0J3Tp/t8wnvLLz0FF+QmTI -cC8IMLLvFFKwkpCx2UDk53odymtTMzLs4jI9l2Q+lczb+VIMjo62iYpBPkD2dlGfd5ZH7SIjU5J0 -rUWhEbxEbmCvGJvQK43FT3KPurmTzNOCbSdjnjBwEmnVJ/Ok2216gWH6nPDO5LOP5tby0z45ucgs -tJHwxAJ11JsFzxXM4qdfJX9ZWR/+KTKn/Ut2d2s9XxOVlSy0FQuCrgWb6Xb0gf4J3b5b0bfGD64t -dzIML83V42lRtagXkysFPVlJQWBF//nTeaJf9V17RNbxBVEO2aAqV/AfM8IA12x83K0Iy8hs94lG -1h4c6if2VlfuN3KV4RZBXRZKO9VqAVdy83HX90NLy/0Qd1qpH3yFfTJa8QJA/qWEb3PukkNKRbHX -3/319+RfajWT6MUnNhHUlxN4QvUR/eFOJN8o/pmATK40O+kNWFjNAW5Hk3e7Uq4kEGxJYfi6IVbq -OxFtlygutBn5y6/nNtJ++/1WG53/rsM97dLS7gWP6VJ7WCvuBbw3nCn6HJeZe96u6br8ZaVXq58n -5xfycZ/fSlHvMMrIWJmPSfeGMX+VqjNpyL/15vZ+8o//W7Mpiw0uOWuPm/nNYggyd5k0Ve2knhbQ -oMUY2hnbjL2T0iKTDgQYw4+3MsJh1EvkYfF1Nfn5szYmS/7f5op+Jw4xGJd7XWRL/g2m20uJ1i30 -vacXr9RczQbWJmy3cBZN1Piujbzdl/TCt8fJ75MbhYA8tTdvbkr19OzX/KbNqQRgqbmmotlgZO27 -GlcZlIkWhyLoMxYHszpn/O1PZTUgFO2p9BLO4dTvhAOZxDmpKi7juMqUuOH2xJ12yGSl9UPtO8Zq -Ql8QuucMa4aix9wRqjG7UJSNb2EaVEuWvWnX7b1Wwn/L3/QCBauvbZNuwosdu3fa7btQSJ6LrypD -G1pv/oTIK9Otx4QaonJYidllgmBVwPcQ+h0OEm24ba6OfCtrcM/qfYfVeI9Z+SEOnxVJ5Jf/H6vt -pb0Ku5KlnVBis7RpxPzStu5TCeF6VGoVnmTa1ZewyHI/rr0hwIYhWwebnyu2diwr6TXqj7C9u7GC -tmXebhV9wGW+q362N+TeXsZuSb291sFs+tFcaTr9YcGhPnp7q8F9p/lppU1vFX6ru9Lv6ls667N7 -PqIMm9CG9f/bQ/uAamy0obKTXpaPsrYn9vYgS6xN7YU11fotkTwJvZ3P6e1M/G3bzstev7Zv8Qlb -VydizBj+Liv10njlh8knwjfqjQZRwlisPbvaxjAOPIJ3iteZbtDCfkZEEhWyWtQcEOu4znIUuSfg -+2Ty6deP5lpjeyDZo9l1NRZ35nGs5tRaEcyyqDI1pVS/VFSZZgslywLKJDn+9jSwsKjfmrjPTj4I -NK1YZObnNfNcxssZMVT/67J+KyS/UR1naT7oM71KOlWEe/yHWOG4oqS9zJnNjlprL6sQTqHSn0o7 -F9inSjC3MmSJV9z4X+STXAnvu78YjdubKmdS3oQBPOPgBpVVaSVGrPiVJLV97hsMl5jMNwBt/CMX -P80nPyuMKSFG2TDNyvdcSuBS3y9F5n7/42b3h2+VZFq6P755kbIDAcbzl88nUvq8Ll1YrN2Lj8z0 -lfrAng7jKcm0dD8kuRMEmPiZe+YcuMIhe48zzVmaeSr2Wu3wCnnuEMzNsrI9LJ/PzQ2ByCE2zj+J -e2io4wJRs8BXuKJvbAz6CVEJ7bAk0XX9fS4LOPr8qzaQ4tJCKEfCgrMIh1/iCodHMuEeJ38Zh649 -UaXr6/UTBLvmraf6jm2SM3l64Eo1g89amEh+66whcU26zLWMxKP6juSMHdp6WepqHlWmtrQvJ3MZ -COkORFRfiOjLiWv0C6DtiLsINsfNn4joPhC3UiD8rGD2p2rwpeJgsgHEVrvC5+S8jhhTH6IwnZx9 -1AbT83pX0INWJByA46F9zAivj3Z4pR4/k+V9Jss6rz+q6lQDUptOJBhib94y2W7cFZ4+OFdbPvO6 -nJNglSm+9z9pYwtx5q+08lp2+KLvqOjI5hUQ0OFok3s6mEbYF+2pYM8EEQguFRKSMGIofF65cm3v -B/kKDYwiGwR87xFvUNBXHLgxPeEAjAcLAUrkgOs/dXqqvTFE4AHeMSFg4oS0K7IZkz0TDCTu4qKa -3NzLq9TCv8b11hGwcS6VvZSITzmkUW/9OT11qXBCM3kBML5vGOewpXV2A751YN5q/Xk5hUqWrHBx -I1IMKpqILz//Iq4prnYuQ+43kXZLBxfH+Cp+SeQYPpuh90SEm4hCvF21V2/pkoEWHuAvSwh+gvEW -PgvH5DDOj+pD5woxyflCg4bwxlVGeSf35il1SIS2HVUGZsEjrxJVZgX5+UsDQT8L1ZH6ciLhw712 -4WpwcLQs/sJ1TM3F5Hdt/pspXMeD/mNjGKdUQpAWev72TruBU3SYK05gVT5saAWsex3u9Ifyszdd -KgRg//WPO61WOIZ2e/cCc4fUD+SNtwQ3Vxy+VLfHSJvCBVGPmM/fqgb4UW/ze9R1I0v7b/J9xb/M -Brz+u1ec2Ae9OntUx0VBBGF/+/KmBU0IUMn299/k165Fv116A/igRdqd3qqhh+wE3riOE4HaZmRn -gfxuMfzdGKTJO63sYry/bdEjP9/D8rui1J3fQdpq0sCBO98ke96wcshayPqNyNpImO0XlY7GdQW/ -pLTPl0hg1zdafNdhPmvOpnlc3PGP32rD8EK8s5JVnVCwf8hnffYBv/X69RtWdPS+cT4OW1TYyPtr -5Sn+Rwh2uCaHgP59fWfBDKwSfeEgE5yD5N4qpCZ0uEyhnsYaobezuKug2ztt8rLIJzSI1xPJPUc+ -XbY/B/Kj34+6/yevGdxPiaOhUkUJvSeaXF8ISL/+Eph3CCmlu9fk6o6nlGFuQMbikx/yFnHcvR6+ -Ya0OkJ/aw02Vh0UmBvobGeilaqbVF/5tyLz2iETOnJaL7NNu8FI+cf/NIcCkbrpFe2hc5mxkMJ93 -ekKszN+cTNep8KOMPH/+K+n3NO5XmkNC7uugeNhpnbzfaJxzGqY8XiEK7GbRPF7zjpD3YcuwqR1E -POnkG4V1vOO0xJxrGp80r/BariLVvCW3Md5ciWvqq2+JzNdp2WqiCEQi5TeyOsNX2RfB4Z7Py6g5 -QStq5FMQk497vcvUulx3VBkWErj0sJdO172M0NQFd/OXiSqDoRKXH4997DtIvTksgBLo9V4cfeEI -Z/cAw/0PG5O2vltodWNvNVhFN3m31jNZPtt2RYvMpZ2/zeVlCt8Y6Nuiu9/nTT62iuWP4prXKkMq -s7CmzSNPAjCFzPcrsC1z9zdk62bjkzoKu9ZFyRUq5L0ulK+SnndlFseAOWGseSqrKevy9lwSa7VX -EeVwoUmFIxs2Eq7r1eLzNbKuD039Ma/HqakHKO8RVVppnoZv2tXmmpn+ruN6ZFwzu+dxR8z4mwY+ -HIC84Zp4jTR5d5QxWM3GVYbVhtyziKCIwiH+LDRDZbB3ciYDIZZ8qslbffbWoc8sCGSKKmP1GLi2 -trgzosaQ2iZNR4XsXuv79ncvMthUhzFtGdHHIskbQMC6XEffewNVjypugcCQLtoi23j0jSDwInPJ -G8Hi2KsZsj4iCe+Ca7ZcVNpzgXGCDjcWR3HQ4t4BNVw+X3R22Rp8DZHfowpDXpvbE/cj6hfRlDeI -gF6NEZ6J4+rv5cO47sB6gy1+31VOC3xCu93cIHN3oXvfsBx963mtzZ4n7VEgApRNcgMT7NHjcewN -TC9O2SNXy1wSx3AZe1COXfJvt33JVcbe6Mn9hdDDWNE9HKQfwITPvQVQl6uMzthMgV1ql6CVVpoc -wFRyGgji/na7SdRcCKDf2aB8/weDJd6YvIdOgZWEKDCP8m3zOPvxpux4Je+yRd6P32hnvXoLF5fj -lbnJVwJeaJzLtbm+Qr8ft8DfeusaV5lkVBCB/+EqIz98XHIJMy62ngLlPIkqg+uMjii1A5hyD/0u -WIK4v/XOEvWvfVb1f5C399UZbDtKsLd3I/Qfh6ExxcX1XhCIcf5eJH0c7fyhnZicUvSyvGlp4+o2 -rd2OuJvFn/VBHV7vVS9ON20H06nfSKTXDtzvuXhFwcmoA1fND4byqJ8PV43X6wWB/eth/2olB4d7 -Neij4EDgxRCIcf5iUB9UQbxIR/Zmjj4Arqk6PL3aXuy6m0WVsbQp+IUfwFTb0+Uqk7zfCW2O29/U -ospwFmz52pC4H6aZq3bup+nZdgAErupOdfjChAOganrdIEMgwJVigK44aMffX7RxI2MF45/q4A6L -Pd9+nkNq7+w0H+tcdgRXXIFAIBAIBAKBQCAQCAQCoxDoXJ8RCWrU06+cyMNBZtVY2qaMmncSHYnL -NkwnCu0bUn8wVm5afJndbk41AHUY0amOgb3UCaYW8/4AeDzW1jOdkGVhfuromRaFh5PxFtVHHYF6 -oQ/1awurbrZQuv/XzeRMjnSzqch9x5sNy0wn7k1+Ov8RVDQ9b4H0b3Xy6x/XhP23MD+TEwscG1cg -EAgEAoFAIBAIBAKBQAEBaBYx9wmN2HiT6O8LnZi6XM7Nm+G1uaa/1b8Ql5yK7MmLPZHJ9It9GOwy -bcJBQkRrguzhIKeLFA6Sr5Wek1NtP8c+w0Ga07wK+fx1Pvn8eX5YnVH1YjXzkNZnlYD9+uvl5EKL -nm+q9B0B7xOIVnHA0mmtBHq9UFt+0bH3U7b5ti7wJXjFH3pgoTxMMMk6bxvldHIXIqSsny6FyYm+ -DL+NF+0bbOrg8IK43g8CIfP3I2tvaceL6fcHwjtr8XOr5DsD4D01N3E4TK/IHT735ev55Iu42UFd -qZ460stYh1HznqgyjatM4p5ElbHn4KMpqkztKlM3mKgynDu6l3CQczFWwtlk641XxbWuR/1iora3 -g2M1OSO4vb65+lPH356IVGN1Twc62CGZOjVrcX0/Of2P+eRc92bJzaatLO4Vc3Cpo3CX52eT2SfC -/KRLgf5XOqFtonCEp7+cTS7OajF6HV4VlHdQOHJnEPCqxH3GDqVPvgP4X6WJLl8dmWEKsx7hcR0z -Aj9kXvuG+qvlkPvxSt3n9B+zec2NQubHKfN6TC/lt/DjJFS+ObOjUw+Da/7QO9SzdbWjypg93g9g -Ui92q0PN11Nra6Y6qdBruATV4Y33FlXm9lGhuR7qeJMH4ClTH1cukn46F4FThWyA68srnV7F0ce3 -/76d3M+X8mLRZJ983Qk1tdC91aNiQuso5Ku/HlNbWqphOU0xox8VwaSanJ7J7Qbklf9KpH9ycz9Z -6Pn7q5Ws8vfdeRznWHv1VjVyP9HrNB2B9mijqR4EcR0nAjaRa6zPJfMHnTS3UoznkPdxytpb9WOc -640q25GIk4zOP+5mv+vW/Rjn9Vz+SCz3kPnR9gkb4/J1Pj2f/XCJWVXydmBePwzZ14vImmvOxTUH -FZDtQcWUXj9TG3PFP82fuvbbN5cgSzKt99/qZyAESiP7tTen4gLOabLfvi0mV1e3nNB6MNdcvlBf -f5lMLs/mhueNrORXv99Orv64n3CQw/L8fjK9PJ1MZRW3jb46wGV6K6j0/63+/kezhGqv6Tm+Vi/m -FZcKkJf3c212xe9qMXnQcwttSmWx8E2Lg6vrsAW9dIe4+DSbzOWidPvXQm5LWlyFTealRfDi5Z1d -zibnn+Z2ANMti+8Xr0EU+FII1JYoWd40zs+lvzmI6RqZH9Dc81JYvLdyzjTGzy9lt0TmmsdjnB93 -D5jNp3I5PptcXszNNvogonYlrnn9h7jmATUd12q45vwERlyT7h+uMs7Ik2eGR5VJrjIrGZMXM1xs -tEihjU+iyiRXGXj2QHvXJu6Wl3jt48ODLNlYoLU+qA4gigrhIHkB8CVrrRT7za0s7jpRk4t4MJD1 -iToFfy5kKZ/ozQHXo8j34/LZy486s/R+riI0/lQE/u5REWYkLP1eKQ+95bCV150+B2d84dElufPq -/OSj3nj8/Ti50RuQFX3hEPrkC0PxbopD5tLq87lkrrdmNzfooXoMxnWECNisqDHNG9VTvaKWvO+u -ZDAxmR/A3HOEkB9Ek9KcfjrVAp035xhlQrcfhGj2UgnJdnY21f7Js8TX9Etj/16c7WBkb2HHpYrw -T1/0BDN5As7z5QbT1FNXmfXR3Ii4Gw1GX1IDFKcbmdcvf3dPEIedeDvGovMtTKlyaVK/l6WGtRyv -LSDd1paZngXfoXbYPW0b0Hvax+tbK+NRRzEvH9gWnDXjELDYHaqHnxNyE/50R6BfJTkdRJ88fPTe -Xg0b5TM1meulaq2IKnWEGHtvT55ja5zO2agsphqWrETYQ+ZjEXyD6RK30IKtdvqNcf4GhTi+yhrj -RmozH3B5ytT86mDm9bahINt10fi4q9JElRE3XMiidDrDKk9UGRl8FepwymfNV2xRxfhAVJmpLFH2 -uNITrb5khNqIuDeSSH7erx2ih/o0As67iQuc8e5+RiLe939c1YRdful+rdOGpay69yLtdaD9zfIY -35sj5RACJve0KGPAI0ckso48A+G3hYCNOJd7s6U/ZP62pDi+tq5hmzHthhL0erxlGQ/kG0tpck+y -Njm7DS5k/sYkOa66Npd3LcSzOf61x3vD9rrqyXfqr/b2lx/tp5wp9CNhILkg7VwYfyd6c2iEXV89 -aI/lRK4z00pu3BZUnHhpw9d2xH2cPA4kVVopCZElQGGxyTrEWpWErONhEwpkLdgicSAQCAQCgUAg -EAgEAkeHQGNxFz+EiNvmVBh7TRQ9jrtM7nU0ce6R1CyOpFB8PP02i/sPe3AnTO+IuGft34VfZJD2 -oxt30aBAIBAIBAKBQCAQCAS2RwD2DUWvLe1+ibc33tXacfnDE2iNAt8ncV8DoEgaCAQCgUAgEAgE -AoFAIBAIlBDInKcz0l5/azswOT8oRYnEE8zdYtbZrhPEvSSFuB8IBAKBQCAQCAQCgUAgEAj0IZB8 -3BsmTuhwfF5SdHHbV6+rPl6pPkiO65FQ4xB5bcTEx91OTuX7AaSDuEc3DAQCgUAgEAgEAoFAIBAI -BDZFII8qw2neRBzUTlWLIqPrgXOAtBt1ps+zLKrMyYtHldm0gfFcIBAIBAKBQCAQCAQCgUAgcAwI -NFFlZGXnZGdtSsV27lFlZji361oquMliRphInN3TDlY9Uu9hndUHSMbm1GPoEdGGQCAQCAQCgUAg -EAgEAoE3gUDrlKVpE4bQXWV0ConIus4QrKPQ8N/IoCfhKvMmekBUMhAIBAKBQCAQCAQCgUDgIBFo -XGXMcG5n/EzZierx2xXPHSv8VJZ2OwJJ9zhKqD6AqW4REdwJBxlx3A9SwlGpQCAQCAQCgUAgEAgE -AoFjQmDdqDKbtD0s7pugFs8EAoFAIBAIBAKBQCAQCAQCILBhVJl7+cNXMsGfRlSZ6EeBQCAQCAQC -gUAgEAgEAoHASyKA34uiyizk8IKrTDpuCTcYDmOq2JSqJFjmuX1iMd3r0JDyphl1hcV9FEyRKBAI -BAKBQCAQCAQCgUAgEOhAIPdxNxauH6LFzGoH9gd9lju7KHolKv/j6CUj7TK51+Heax/3Zh9rD9BB -3KMHBgKBQCAQCAQCgUAgEAgEApsiQLjH2aNCt3M0qqLEnCocpE5W8nCQp75JdaEwMooiU81OZW7X -BtVHGP3SXGUqEfhHtqhGOMhNpRDPBQKBQCAQCAQCgUAgEAgEAgUE8HtZVCLksqAXosqQU1dUmYVb -3AtFhcU9emMgEAgEAoFAIBAIBAKBQCCwJQJPjeUezL3+FneY2iVmuyuI+3b4xdOBQCAQCAQCgUAg -EAgEAu8ZgTyqjO1J5eRUmHpN2qe6b27vcqVZyDVmJt92OctMIqrMe+400fZAIBAIBAKBQCAQCAQC -gZdHoNmcqs2ocpchqszTA5geRd7rqDLsV/WoMrjW1PtX5Q+/SgcwFU5QDYv7y4s3SgwEAoFAIBAI -BAKBQCAQOFIEtD+1jipTR3psTkOdyg+eGJCEh/RgkUSZGRkJ0vIK4n6knSaaFQgEAoFAIBAIBAKB -QCDwAgi0osqcnoqci6S7u4xHlVmIoj/Ksn6G5V1RZYggs1o9KASkAkMqqswHPTHTd4o903sFcX8B -eUYRgUAgEAgEAoFAIBAIBAJHioAdtkRUmZlFlsGCPiXCDG4vfDDzOiEfVxbJnWuFp7vu22clXcHy -fT8rCXp2sgZxP9I+FM0KBAKBQCAQCAQCgUAgEHgBBHCJuV/Vm09h3JjSH271t26czo24zx7uJ/ei -61NZ44ndPnlYTO7vdWN+riQi/Pf3k+WNnmFDa/VBZL7b2T2I+wvIM4oIBAKBQCAQCAQCgUAgEDhW -BDCVP04WC5HvK21ExWD+t2g61vbZyWR2OhVJf5isvq8md6cnkzMSPDxO7sTbl3f3k/P5qbi8PN9v -7ieLRwi7iHvPFcT9WPtQtCsQCAQCgUAgEAgEAoFA4AUQ4OAlEW5Z0Vci7yv+NoO5mPviQYRef/Jz -8mGyEIH/y45HrSPQ8MyNSHslKzsW+6pS9JmBGgdxfwFxRhGBQCAQCAQCgUAgEAgEAkeOAG4w8mOX -ab32befC7YXPfLGC0GNNx+SevuNv83HX5xSNZgilIO5H3oeieYFAIBAIBAKBQCAQCAQCL4AApvWZ -CLiRc7g6jByCruskxYZsPivNB2zrYuvf9TfPyI1mcqffkPieK4j7C8gxiggEAoFAIBAIBAKBQCAQ -eCcIfBDxhow3l1vd+U4/kHsu+8V36TMuNFX4uL+TXhLNDAQCgUAgEAgEAoFAIBB4PQTYpCry7V4w -/GHWd5HxR1nWuW3EPLnTuD/NB1njiSZjYSVldR+4wuL+etKNkgOBQCAQCAQCgUAgEAgEjgoBEXRc -ZCDrFX9D3nF4x5ou5k6oGY5WbeK8Y51PDvFTSHt/RBlgCuJ+VJ0lGhMIBAKBQCAQCAQCgUAg8DoI -1Acx2ZWHhoGXu4+737T7ycfdyD0uNCnaTISDfB3xRamBQCAQCAQCgUAgEAgEAu8EAZ2cWlvX3TVG -f0/1HRZ125QqS/uUE1X1+VGfOYgJks5hS7jKKDTkZBWuMu+kt0QzA4FAIBAIBAKBQCAQCAReFQF3 -jVHMdgv/qBjtT6zvEHR8383fnTR8xI1Gvwknea/fyXOmqx3hKvOq0o3CA4FAIBAIBAKBQCAQCASO -AgELBwnrTj7udgAT/uz4u3uISJF2osfgOuPhIM1InzaxriIc5FH0hWhEIBAIBAKBQCAQCAQCgcAB -I9C4ymA1xw1Gl/m2u+sM8dr12azxHnomd5V51P3hDaphcT9g+UfVAoFAIBAIBAKBQCAQCATeCALs -TW0OYIKwE1UG3p77vri/OyQegp8ftoT/TESVeSPSjmoGAoFAIBAIBAKBQCAQCLxdBGDuEHM2oKZW -QMxxf5lB1PWlhYNMrjIeVcb84Dk5Vc/cQ+T7yXtY3N9u74iaBwKBQCAQCAQCgUAgEAgcCgLtqDIn -WVQZO4BJpNyiyqjCfVFl4gCmQ5Fm1CMQCAQCgUAgEAgEAoFA4GgRsM2pyXcdCztRZcxNhigy/M2f -yVXGLPAY6NMBTPxN6EiSJ/f4LpzC4n60vScaFggEAoFAIBAIBAKBQCDwogjkEWMI/WiWddVgMKqM -H8AEe8dNJvd7f1r7IO4vKs0oLBAIBAKBQCAQCAQCgUDgKBEwV5lkLm/itSfLuhH4FFUGIs9ladJ9 -Pj9EVJmj7BfRqEAgEAgEAoFAIBAIBAKBQ0SgtbGUDak1S/9RWTt4KduESjx3I/G62Mg6cIXF/RBl -HnUKBAKBQCAQCAQCgUAgEHhbCJiPOwSdDagQdsJB8rkdVUbfeXx37vvJqfi4VykKTU/Lg7i/rS4R -tQ0EAoFAIBAIBAKBQCAQOFQELORjCvvIxlQOXGIXqhF4PutvNrCagd3juw+HgMybGsT9UAUf9QoE -AoFAIBAIBAKBQCAQeDsI4ONu5Fy/5K5um1Lh7VjU/XAmPtuVb0DFdSZ97b97Wh3E/e10h6hpIBAI -BAKBQCAQCAQCgcChIpCHgzzByq6KPqSoMif4ridf9vwAJizx+Lvj907ISNxqlhFV5lBFHPUKBAKB -QCAQCAQCgUAgEDgGBJoDmNQYTki1+O1ZvPYnrjIp3rtHlSE5hB5yP42TU4+hO0QbAoFAIBAIBAKB -QCAQCAQOFQHcYU6oXGYxt02quMroZ5b8ZJqoMp6W73V/2WTQ28JwlTlU4Ue9AoFAIBAIBAKBQCAQ -CATeFgLu9mKO7rjBJJ93+8ylzx4KMnH6Jk3ax9r4u3e0PIj72+oOUdtAIBAIBAKBQCAQCAQCgUNE -YCaLuZNxIsoYScfXXYx8liLKmEsM4SC5ncg9ISAtZKSfzNTfuCDuhyj4qFMgEAgEAoFAIBAIBAKB -wBtDwKPKiJA/pgOXbFOq2LqdnKrvCA+J37tZ3VOaD/qOA5gewlXmjQk8qhsIBAKBQCAQCAQCgUAg -8CYRIKoM/u0nIuEcsMQpqOY6o6+NwHOJrHtUGb4igswSQq8PpzLD30VUmTcp+6h0IBAIBAKBQCAQ -CAQCgcAbQ6DxdvGA7G5d90OWPOwjHN9dZRKp96gyuM30XOEq88b6Q1Q3EAgEAoFAIBAIBAKBQOAA -EXgSDpL6YW1PEWPMdUZEHUt8s0EVci+S/iHFd/eoMs0hTc/bGMT9AOUeVQoEAoFAIBAIBAKBQCAQ -eGMI2OmoyY+dMJB2uFKKKsPhSrjDPIsqg6tMzentlFXf3NrT9CDub6xPRHUDgUAgEAgEAoFAIBAI -BA4RAZh7zc2b6I8W0x3Cnk5OhcjnJ6caa09uNRFV5hCFGnUKBAKBQCAQCAQCgUAgEDg6BJ64yiRC -bq4yuhZElUkhIS34THZy6jS5ykRUmaPrEtGgQCAQCAQCgUAgEAgEAoFDRMBcZZK13U5HhbSLoGNQ -t6gyaTOqp+M2UWW+J3caosrcR1SZQxRt1CkQCAQCgUAgEAgEAoFA4JgQ4AAmc43hSpFhPLiM/U6b -UXGPwRJvUWX4zt1p+Jj93YFN+LgfU4eJtgQCgUAgEAgEAoFAIBAIvBICMrfbialOwPW7K6qMnZrq -rjJwfD+A6VEfdDOiyryS/KLYQCAQCAQCgUAgEAgEAoH3gYC5wBACEmu7+cE8jSpjVngRezuUyS3y -matMRJV5H/0kWhkIBAKBQCAQCAQCgUAg8NoIwNwh5mLgeMFwQdI5QRXSbiEiO6LKOJGPqDKvLcAo -PxAIBAKBQCAQCAQCgUDgXSDQRJVJBB0S71FlHgeiyrir+0LEf4UfTf8VPu7voidFIwOBQCAQCAQC -gUAgEAgEXgYB33DqZneVSrQZu/ye/nTCzsmp5huPj3xK0lPRIO4vI8EoJRAIBAKBQCAQCAQCgUDg -mBHAYt6cnCp3GdxizNJOo91VRn7vfgAThB0/+O/EfNd9TlfFrYYQkUHcj7mnRNsCgUAgEAgEAoFA -IBAIBF4VgWcHMKk2J+w4hcBDzkXI+UxM9/wAJgj8UmkePKpM2rja0ZiwuL+qhKPwQCAQCAQCgUAg -EAgEAoGjQOBJVBnINxtT0+FKWNPzqDJmgYfTE1VGv/k7osocRTeIRgQCgUAgEAgEAoFAIBAIHDwC -eVQZ3F6Sld0I+1BUGQ5kwlWmcYTvbWlY3A++E0QFA4FAIBAIBAKBQCAQCATeBgKYzj2qDH+620s6 -cInb5stOLPd0WJOngfcXriDuJYTifiAQCAQCgUAgEAgEAoFAIFBCIPdx9/CPnIr6XSS9caNJPu8L -WdnNfUaX+7iTiHCQGOp7riDuJSHE/UAgEAgEAoFAIBAIBAKBQKCEgEWVSZZ024Aqkv6QosrMeqLK -LMXS+TFXGWK4J9/4IO4ltON+IBAIBAKBQCAQCAQCgUAgsCECT6LKkAekPW1CbQ5gSmEf7TauMvqM -ER5PGsJEcrqqkffuKyzuG8omHgsEAoFAIBAIBAKBQCAQCAQGETAiDkHnJ4WOwbfdwkEmgv49+cQv -4+TU6E2BQCAQCAQCgUAgEAgEAoHA/hHwA5gwoUPYiSrDj7nB+Hci7fi3W3x3T5NCRp4qqsx94vhh -cd+/vKKEQCAQCAQCgUAgEAgEAoH3jEBXVJl0QipW986oMsn6HlFl3nPHibYHAoFAIBAIBAKBQCAQ -CLwYAubjnkprosroO3zZ8V8niswUy7v+5iTVJqqMLPJEkglXmRcTVRQUCAQCgUAgEAgEAoFAIPCe -EWhcZZJrDFhYxBj9NlcZ/YbEQ+rNVUYXvu5LItHomVNFlbnjmX4QY3Pqe+5g0fZAIBAIBAKBQCAQ -CAQCgd0gwMGnbnHHLWaVhXb0OO62IZWNqiLuq7Rx1fevelSZIO67kUfkEggEAoFAIBAIBAKBQCAQ -CHQjAHNPp6Fa1BiIvAj6B9xkYONi6GxaJVy73U9pOYCJv91VJhnju8oIi3v0vUAgEAgEAoFAIBAI -BAKBQGBbBJ5Y1WHfRJVJG1KNtCdrO6Td/k4E3t1ppslHPvH5IO7bCiSeDwQCgUAgEAgEAoFAIBAI -BDoRyMLCWKx2Enm8dog64R/ZqKo/sbqbX03ygceFBoN94QqLewmhuB8IBAKBQCAQCAQCgUAgEAiU -EGhOThUhJ2oM14kIOa4wtnEVS7ss8TNIPYQ+pfmg7/jz4cEZfW9JQdxLQoj7gUAgEAgEAoFAIBAI -BAKBQAkBJ+eY2meZK0yVQj+6q8yCqDLZfSLNYHzHVQYrfWxOLSEd9wOBQCAQCAQCgUAgEAgEAoEd -IGCRZZJbTDs7c59JUWXcVcZ93j2u+0AVwuK+A/lEFoFAIBAIBAKBQCAQCAQC7xyB/ACmVTKb4xoD -UV+kqDLEb38SVUaW97SPdfLwqLS6GVFl3nlHiuYHAoFAIBAIBAKBQCAQCOwXAYsqA0HHDcajytTG -dzslFVcZ25zacpVJnN52p3pM956ahsV9vyKM3AOBQCAQCAQCgUAgEAgE3i0CTuRzAFquMnaLk1P1 -634YqCDu77YjRcMDgUAgEAgEAoFAIBAIBHaGgJ2cmjad5lFlKIBTUc3qTlQZfc4PYJoSVUb3H5o4 -kb1VCuK+M2lFRoFAIBAIBAKBQCAQCAQC7xoBjOm4uxA15lEuMf7ZNp6mA5g8tru7xeRRZQrgBXF/ -170rGh8IBAKBQCAQCAQCgUAgsBMECAcJKffY7cRrx5+9scQTaUauM1jf2aRKfHeYfR5VZiVyvyTG -e/cVxH0nkopMAoFAIBAIBAKBQCAQCATeNQL5AUy4vjiJBxSs72xc7XKVsU2rSgOhx1w/Te42HWAG -cX/XPSwaHwgEAoFAIBAIBAKBQCCwEwQsqgw5WbD2+mpcZfiQosrYDf1tBvdkXbfY77oiqsxORBGZ -BAKBQCAQCAQCgUAgEAgEAgUEIOJYzGHgRJTxzxkpd1eZ5gCmdM9I//AVFvcSQnE/EAgEAoFAIBAI -BAKBQCAQKCHwxJc9Wd09ysyTqDJsWoXQZ2nMVUYm+xWnM/VfQdxLQoj7gUAgEAgEAoFAIBAIBAKB -wGgEulxl8qgyyYfd3WLcQB8HMI1GOBIGAoFAIBAIBAKBQCAQCAQCmyOAxXwmSzpW9ooIMnlUGU5S -1fUsqozcab4ndxpCRkZUmc3xjycDgUAgEAgEAoFAIBAIBAKBUQg0UWUg6CmqzCxtQuVAJvtbP0bQ -labiH33G4g53x50Gwm9fdF/hKjNKEpEoEAgEAoFAIBAIBAKBQCAQGEDADz5dZaFhPFqMh5dZYXlP -pN7+xuJOtBlj7uHjHh0sEAgEAoFAIBAIBAKBQCAQeBEEmk2nKapMfriSxWvHfUZknQOYuODwTRrt -bo1wkC8ipigkEAgEAoFAIBAIBAKBQODdI9B2c+lwe3niKiPA3CqPxb5whatMCaG4HwgEAoFAIBAI -BAKBQCAQCJQQsHCQiYWzCZXLPmNl1y82rk5laf+uz3aSasrwg77jpNVluMqUII77gUAgEAgEAoFA -IBAIBAKBwPYIWFQZEfaprOwQdMi4bUqFtOuzec/osx/A9EFf8Pm7fnCjOVUM9zv9XqbTVDtqFBb3 -7cUUOQQCgUAgEAgEAoFAIBAIvHcEiCqDdd14dzuqjD77AU3tqDKOW0SVee89KNofCAQCgUAgEAgE -AoFAIPBiCLi/um1S1dVElcF1hg95VJnk/26W9+QqM4mTU19MVlFQIBAIBAKBQCAQCAQCgcA7RcD9 -2C0Oewr12BlVRqT+JB2Xii887jJw+lOZ5O+HsQtXmXfat6LZgUAgEAgEAoFAIBAIBAIvgUAKDWk+ -NBB23GhSOMim+P5Dl/IaBnF/CXlFGYFAIBAIBAKBQCAQCAQCx42A+bB7fHaPKpNcY/Bfx7d9qh94 -vEWVSWnYzMqfDxFV5rg7SLQuEAgEAoFAIBAIBAKBQOAwEPCoMkSIgcBz2BKuMBB1jyrjoSDNVUYX -rjREkWmiyui7xOe7GhUW98MQddQiEAgEAoFAIBAIBAKBQOBoEMAdJl3Zn0bUG1eZ5EIDaefCKm8u -NBEO8mi6QTQkEAgEAoFAIBAIBAKBQOAAESAcZAX5FiFvDmBKpNziueMmI2I+03cNgdffeVQZO7Cp -3989LO4HKPeoUiAQCAQCgUAgEAgEAoHAG0OgiSrjlnOR9TFRZXCfsUiRfpRqf7uDuL+xPhHVDQQC -gUAgEAgEAoFAIBB4ywi0oso05L7cpiDuZYwiRSAQCAQCgUAgEAgEAoFAIFBGwP3Vc1cZXGHYcZpb -3xtXGd3iGbg8FvvCFcS9hFDcDwQCgUAgEAgEAoFAIBAIBEoIzAjnCBHHl52IMvrbPou0Q8qJJOMk -Hj/2SptQfeMq3J6LzxFVpoR03A8EAoFAIBAIBAKBQCAQCAS2QOCJjztMHBaeIsSwIZVrqe+IHnNC -9JiO01ULxYfFfQv5xKOBQCAQCAQCgUAgEAgEAoGAIWBRZRIWjauMH8CEGV03Iewn+tMOYILYi9Bz -KBOE/uFRn3WzfahqBm8Q9+hrgUAgEAgEAoFAIBAIBAKBwLYImDuM+75gYU8x23GPmSXLu92GtHth -+puoMlxElXFDfU9dgrhvK6R4PhAIBAKBQCAQCAQCgUAgEAAB23QKac83pCZibptQc1cZpcEyH1Fl -ou8EAoFAIBAIBAKBQCAQCAQCL4gAVvSuqDJUAb/25gAmJ/huaZdvjLnKmMl+sMJhcX9BeUZRgUAg -EAgEAoFAIBAIBALHjkCytufNhLQ/u5L7zBpwBHFfA6xIGggEAoFAIBAIBAKBQCAQCHQisMBiLjKO -n/s0WdFtE6q+Nkt8co3xqDL4vi/lKsMP909lbb/T72U/oQ/iHn0vEAgEAoFAIBAIBAKBQCAQ2BYB -iypjgdtr1xcj8SkMpBF4CLoIPUS+OYCJeO581g+Eng/T9ExHfYK4byukeD4QCAQCgUAgEAgEAoFA -IBCwOO7A4JFl0p8Qc/s+bU41pCDsyQJvfyf4IqpM9KNAIBAIBAKBQCAQCAQCgUDgJRBoRZWxkJDJ -gt5Y1vVVvgc1osq8hGCijEAgEAgEAoFAIBAIBAKBQCBHwN1c8nju6b5/Za4y+mms7OkZLPaFK1xl -SgjF/UAgEAgEAoFAIBAIBAKBQKCEgG1CTWZ182HPPrv/Oienwtrzk1Ntk6q+W4q5ryIcZAnmuB8I -BAKBQCAQCAQCgUAgEAhshwBRZWwDKj7rIugctvSozxB627gKZ9fndlSZ7xFVZjvg4+lAIBAIBAKB -QCAQCAQCgUBgHQSeHMCUosrM0sZTI/D8rR9iupurDP/oM54yGOgh9Gaxj6gy68AeaQOBQCAQCAQC -gUAgEAgEAoE1EYC5P8LIMa3Xz7ofe+PUjquMSDxMfZUIOqTdkoerzJqAR/JAIBAIBAKBQCAQCAQC -gUBgAwQsHKSzcBi7CHoTMUafsbbjPuOuMn4gk1nfscS7O01/2bE5dQO5xCOBQCAQCAQCgUAgEAgE -AoHAZgg0Zvi1Hw/ivjZk8UAgEAgEAoFAIBAIBAKBQCDQQsB83HGF0cUmVC77nKzsWNiJKnPSiioz -TVFlHsJVJvpUIBAIBAKBQCAQCAQCgUAg8AIIQLzZYAphxy0GP/b0eeaEvrVp1T6mNNNwlXkBIUUR -gUAgEAgEAoFAIBAIBALvHoGFiPfsQTCw6TRtUF2IvDfRZpLfO/tXCddO/HbbqMqVos3we5liwHcA -Gq4y776XBQCBQCAQCAQCgUAgEAgEAlsj0LjKyILurjLNgUuEgxQhx3XmiasMnF3fcQDTgxg9BzBN -Ixzk1rKIDAKBQCAQCAQCgUAgEAgEAoFeBCyqDBZ0iHcK+wiB99jtTVQZfWeEnnju+nvpBzCJ+d/r -6xRJsqucsLhH/wsEAoFAIBAIBAKBQCAQCAReFYF+95i8WkHcX1VIUXggEAisjwDKzX0CMUvoh4+b -R9davwrxxAsjwAYvrFIuZ5/g+l8nv3AFo7hdIsCwxscX66UJnT/Shr6BEyV3WYXIKxDYCIHRUWWU -+yO+7/Rr6TE/k2nvUWVsUB3QdWj1OSBojrkqaZ92rePjCgQCgeNHIN/PdfytjRYGAu8CATjvm78W -YiKQ8SaqDGtOPkPS+TIdwMSpqTP9XeEqo/vYIrhNVBkut011ALK9xV0FTedsjR15rcwBqNs61vj0 -KE01XoRL8uQUqr5LTv6rk0oHUo3Pc2RrItlrI6BBsjxVJ6QLSsYT9cVKXYGQqHEdKQKS+fRUyzWG -swRt+idkfqTCZtKjaUyGtcyrx5lkrr9N5qHTj1bwzOvIGbnrz+kZ41xjP2R+dCJnT6bMz8PtEsGd -ztcY72O45ro8QXmu7ge4preAKDInIuUWMUbp21FleHvoJ6faS0PeKOqX+8HbW6U9RpWpTk8mJ5/n -Vt3FgM1zZrMsbaiJ+6J6bh+1NwZcaWCOsaCS7+Pj42T18LceZImC/TXZYMkgrVpmF7PJycdUz+9j -cj66sXGcDVoxic/UZSTfc+l59XfGSFxHjIBkPjs/NZnPzmpDBkoPw0VcR4iAzQuzyem5xroW6bad -67t0ecj8CIWdNYlxPj81mWu0T6rP4hD6Lsb5cYl99kF8UO4hj8tH8cJW2xj7iRfOmOfFNWGSw1yz -1hfjueZQbj/qY1zz7l7EXQsMNpLa1WEWx0CM9RDzuaeDxHMR051niCqDtd0/c2+aRZWpLE5kr6B3 -YHGfamCpomhTdsL2TJ5Ts5Zw87T2VSTMZX5hPTlXGiPtaTWyGHhXAC7akTtVnpUtBryR3c9UAmVq -O3hN6nEdCQIL27gtuSJaumFYY45Esv3NMJkze5vM9SZNrxb5ztRLXEeHgMmbi8lOMmZeDJkfnZif -NajW7RK4kZxlyPxIRW7cULTtsY88YpnW/0vN8yd60zqlT7T5Y4bND64JedeNDl46/ZhzTd7ojOCa -lKu3ffWbAXfQ7RCK2aaTNckt5xB0rOluZcc1xq3yHlUmP4CpIOutiftUiBtX0sqYGJTLxx7izKx6 -jsUbIbHieJws736YxKcanNVc982dZSkLuhp2I8Q9DmarIaSfKH1FvrfKx1Yu5NcNKPJbOmEf8abj -SMfI8TXL/cLS/iX7Jfmu8ULt+DA59ha5TqSd+SI8xvVxSj5/g4Z6R+bIOu8Hx9ny992q9pvTkPlR -9oelSHNt805W6p5WYqS1t+v4gPdwTXsbB4c8P1Fuq0m1xJpzN1lC3pN1HDe76kxcE4Ozyh7FNcVd -zS50fZcUz1hR8FTGiZ9Yl2hvxleN3OurEeRla+Ju1WfFpNeYYtKTqch2m7xPzwASYs/bCyGYgIX0 -G6BG6nWf3/JftfnXXonOdV8J2osBGocArcw3fKGY+t+GvOGGvV7V42XK62EfJQcCgcBIBEL3jwQq -kgUCCQGzy4rzwSfhmuKSyyeGXfFQ45pyM8Fpnk2icuWeiKRPZaJfYqVnP9yZuKYY8ur2vib5IvDG -NbsMxXDNC5F28u0xSnfKB+s6F5Z1Lj77CalmZOYtEvcz64PFd9dn6m2b9vqvrYk7awk8VeSwIos5 -rya0kjFAQUmAyD2lupyLjKuiN7eT5d8i4qfyU7oUeJB13nmI+APMCgv8ldIo7VS+TJX80snjmRVf -1v3qUg2D6LNR4K1a2nyx1VV/X3n5QjRG73EggFyH+mvp/nGg8H5aYWaakPn7EXhqKfNun+72PlHq -F+8OtDfcYJ+v+/ZXhsy3EK6Dl8xyclkxIr0UN8Twmwj1dK7vzatDVnT5oi//Frf8KG6a9mAa14Q7 -iqivrhXUQnxUmyjqvRNwzYXyu8us4/LqMAs/94xrjjQLNvbk1mSOK4y50UDknbQ7LG7B5Tc8ehiu -rYl7kz0rHIioAK1YvVwTc1e1ZIWEWwvg2tZhANJvf2Vgvu+1ewxLIl6aWKMMPwnCQuPo+7RIsV3F -rKgaX+YB36QtuspeH1X7pvQvmsY+B3uN07q0ppmi/FEEur9VK4MM7lWcozLPZF4rm46nJG/rFxoC -S97IHfWFstiqV49E56XK6ZEnxWMAGiPzwml5Ixt84MleUR4vgQzjHHsUMk+6u12s37dx/i5k/hLA -v3IZab5e0r075vNSn3jl2r+N4o0QM2j0BziLpE+nIugLEXKmEjihcSbxS5taIPdpjkl7JYw24s+O -YdnWA3KokdVeO6XscUvtXFOEneArts9iHQOqhYPE7UX18FCPjRuMiLnxMUi8fvKTU6HHTVSZ4elx -d8SdBvs8LPI+m5zbqwgHxnoGPkoekhEgkl+yCYFKs4EUP3eIvmHKl77K0u0LVksC0hpbWJJ0dkWz -5Tf5P33N8uMB85+nej3+9dyr08jVZ91qOIFDuXPRL1iz5EROEBiB4/LfXeS+s43pS8phUcRFX+8i -SSmNia3rNZBZEYSYtnpbyM1NLi/DOn4HUeN+/c6oF2/DmkFlA26TSri8+mXqMu+Te+n+UK0sNCVy -TLJEtjXmP54yeaN0EFkNR+cEUGw9VoIhrHYgj1IdfshrQOamhOUs1ylTxmi95re+OzAOh3H3cdyd -avw436AONnb0k8a5yVfVyRfpjcxJp3tWWy3Y1l7OsElfj/aND5eH9e2eMTQ9SWNwwzH2pIyeV8o/ -8O6RmvfddV5JP8tKWFQ1gl26ea8yp1Af59ixGM9t3c539A0ElmS+Nnk3wlEHZmDy7xofjTwGxs8Y -LAbHus8xhTJMGqa3n/dsw8jeqm84v4CE8wWTedfooU8wh1GJjjoUnx9AQfxsKquuyV1ZN7qdIHfJ -iNrof+pq7VVSHBLWnctsfKgdvVjRzpT/wN7AIXkMypubaYxaG3o4A7zDyuiZ8+u+qXbYptO1tV1q -IHXR/+xzBEr2OaZ+Zlk+4ZoMwnRbv03c6MwqKWUIthHp1Ho2wJortoRF4nVJXh5VxtvnrjMeFpKA -GhYCklVCqpy5yoCb/imETtotcW+kLiixjD8kS3q7N3R1WCfyqePlj9As4jbXpN3fQ6zb63/kaBta -+8hsStak6ehYTJPcr7nshh3Pq5MUeFM75OgYWAfMPrdxHPzMrOAZCat8YeDPpUg/U93uJPY2sxiz -3oxEUo6XoUI63yyslDmxernue7DEL82bsuGkbr5sIiXd7WSxXhewGjgPoJb55v2uLoDOnH6QtV8+ -meef15J33U8InWZY9fVN5EHscxZKXX2CMo1sKpOHDRdK9FkUJ2V0WipqxW1Xx25+U/sWOoT7m+ON -+54t+Ab6DDKtceie0Hcic7JPb9c6Q/Nu3kSDUNqozp+rq61JHvWk0NHWtDA2mfdgUeyKXsaQTqRv -cnX1K+u7dLuUZsNxPtVZDkQa1ovw7iqrntYv1M4uXWBBElLfHOo3RTxqwdQ/jPNE4pqF+agMehIh -R86ssPjmPZ3H5UH5PXibv2+fPEbVL41jJpBOw5Lup/CdE4X76+Q/9kaefqm0G5K4ku6GtFcJqz4O -tpXMqT8/dDmfp3L83Ejn9yDv4JX3izF4167ZvVjZdO8y7ZpLGeeNPFjwjSn0aRozpjA+eJYF8rM8 -6j5Bl7BFZVcRPD/Ud9epFvpC7i6TmXfAMXyMcZMKcb2pj15botYY18QEbwcnrVMh2s345JkWOPi4 -KzqSXbjNNBfKIScD5fL2RNx5E6EK2isDWo324LN+PfKKQp8v1DK+dmLKb7kcTeSbZIBdMqATYvzS -Y6sT7RLemMhmYKhDlfpskaBtbiD4IU8bhDU0T2TIdwxS8LEBUBZkZwofmX3PN98PoGF5qKLUtQRa -VyWsDD3YVwdTeADQk7nd1z2SbIoDVWD3+EADivK2PDaUQ4KgeZq2tPULeSfLq1VzE6wpgGhMmTJ6 -VmNvw1BbrG6bViCVSP5DZRQJOX1mXY35tLUWVaAgs9KLpFH9oq9b5BC2xznPtPvAxpAnrLbB2+Wx -7TgfGiKuS/rS1NuithpnyHsQRrs/DPROZO44tmXsn/3+pjIvjR/Xu9vIY4y6ox6Dur2gS1y3jymr -L01RpgN1THnuROb1S6vnl+t7V2ebziM2PgodxubJofl8S90+hjOU+uYQH9igH6zgmbbRMi0CISvm -7CHAzDsDF4bWQAQifNaxtsM1uXzeVJ+kP1go9U0vs6RDyBP3NVeZJJfcsv7EVYY0emZo/k712S1x -9zoqQP3yRqA9PKjaHJ2ABY02rBS6UmCxGZUKs8KGrPMcnfpUNpA7Vjnw/aTZ5M80lQCW35WWiDXS -EjM2IDSvEsassBz9+hVO6dV78b65yKxTbiZ9OKig8VdmZvkxK1d28Rm80t7d0oFinX1L9eu1qPoD -lmagHbSxxzI1uj+X6lGsw7DFdGw9FgWGVpIn9/us9aU6GF9xmdPXkS8/boUjAz5zD2WBchyIU9tb -nq1vapn1pynJHLw3nVnqUus+NdyvhvqmPVnKowQ6NTCZ9V+1zPtTlPrEYBWSzE2vubW9S+a+WMNN -cxOZj8C7aDkujdGRWJf01GC/aPruhno11XEX43xEc/uTIEPGsFm607juGudprtzIZYLSC3rT+u6g -HhgxTktAlPpNSbc3fbdU0PD9MTIf0gRbjXNki5yNv+gHwsjnTOboOvOM4EK1unE47xdjICjgXcu8 -pHe3G1/jyhhuTHF+KGGRxo4NgztxTVyy4Zo6gFHW3doVRgscDnWa8orA33BIx9jaic96W7AUFzXq -CZfkrQyum/puidE5CanitF6XXaleft+My0m4TVQZZfKBSUE/K8rjzQQfIfh8r/RGefVZ9aYdQ9fu -iLuDySsawurQgXQiFkIC2NmperWF5VHtiPmuTra6Feha9UwhVfa6Q/fPaIQ+q6evFAqS+3aBAx3z -Lz3HQkmRat7sufa22pPidOXeJaG0aWnTyXxsH4t0L4QAxEQytcPROgiaqVPIvf6wvwuGlReqdRSz -DQIucyno5gyJLD+TM30iLeZD5tuAfSDPFsY5tfTxb0bAGOcHIrjNq2GGmcI4NplL3kaTQuabg50/ -CT/Et925JtxTLjOVohbWG1eJPpO4Jmn1NrrildxUx23LN95cnpNngy0A4Jq+mIK3KjqiEX0i2DRu -x+tUnad9oSShw9F5i2wWdToBX2SXhYtMnSP7s6vEHRB3SDdZy/9Xlrolri7tVd9fis3+SV1WfkOV -YmJatW/lUXTDyiLFchdrsaD4FpsdX2Ll5UJp1Xx5r1EgwC0vfKUM3THXdqvNMSWMTVOyhrsyGJtf -pHsDCMjyMtgDmfSHrGRvoIlRxRYCJZkmohe4HRECI8b51pHCjgiuo2hKaRzDx0r94iiA2GMjzIZb -+5GsRNKNP+ZcExmIa07OBLQ2lloYSH1cXIuTWthw+Wto8/90JUJuXJNDmnQtsNq38rJClP72Vpz9 -vD4zqLBhtGm5xWEXKZdrd22p0+9HfabqMyzrIqwYoT2qDIQdd2E7mFT38a+/028Lpdh97YC4qzb2 -+kf/3AoVe83Quig/gbzyaCwJyCalnl8RUsHDPNL4vtc+oH39UO+PsJ3B5QtuX8deSO4EzSP+HuRw -SH25NZEiEAgEAoFAIBAIBAKBd4IARlpeXcI1ibfexQ/hmpwHhEcH7tgEP+Bzfj3hmolA93FNDGny -IFnJT942tY+9IOi2KkgWdCPsqpy7xnjYRyOxbmVP5n7bI0BZ/b5U2xN3wzJZx3lV0fUaiMUDK4y/ -cZ9pgZiAqF8b8+84Am0Waa2SllM5yZvvDI1kSfP0efNtEwansxN56ugVCVC635F9qoXx8HCvBVB3 -3cbKKtIFAoFAIBAIBAKBQCAQCOwIATbkYpTmP7w6skOXnpUA14TzwTV7Dkx6wjUh+oW9BuaTz/7K -J77uAzzVwkEmLukLAtuEqssDtmCJh7C7JZ571MMoMHx23z7uFETlnPOusSjZXqzp9UIhI1ZKM1ny -pzoxi+vpBmNf7Zzq9QsBgcYtHLave+SwEwR8oWgbUuz04k5/4p2UFZkcBgJukKA29ZBew13uMJoQ -tVgDgdwY5OqZeSbvB2tkF0nfCAIuX3Q7/CJk/kYEt141ifu+sMM605h+9nhGKnEn8b6wXjFbpoZr -Kosx9JD6WdhHMXEPwoLh2qzsEHZWIercvA3Io8rgGmOuMprUCuf2bG9xV/XMBUX1WBLXs3S5Eh4i -+GMVsslaQi/G6dMOY1nT7x9470HneG5ZX/oJW6X6x/2DQwBXsuZCtGP7z8G1JCq0EQJjdMpGGcdD -B4tA15vdg61sVGxTBOodb+P40qZlxHOvjwBHdj66K8rQ7l04n85+Gc01d2VITlyzRgrT+MhQM8/0 -FCb+9oSVJ+r3a8+ltDVxXyn048P1gJ+4W8S6vFDye/ydp/HP7e/z2pt7vaLPNAdM5PWow+1MiU6j -Z+6vbyaVdhb3X/hDcXfE4uP1+3nUICHAQnb1WYLWgSSrm+Xk/opwPXW0pbiOEwHGs4LCTlaKQkUk -gIWiVhGylzNc4jo+BCxqp6lnZH46Wcil0mQufR3Ojccnb2+RjfPv9Thf6G34Al/jGOdHJ/CFHcak -Qa6oLzMOkMojuEC8E/nmdNSFNpLWY76DpxW44hPgNuSahJ18WrSHU8xyt9Vm8r/xsOHNyanq1Zxt -ZOEg9XcT713paTeLFsJB1ic49V5bE3fbtUsczT4LiJffAJXed3VZRdvf+eeSdcWX5L4QaDdXx8wu -7+QGo5A/cR0XAiu9XnrQqbpnH1eTBy0iFzeScRD34xJy3hqN9ZWU3RK9MtdBGcj8+rHe5hLE/Sjl -XhN3ZD6dfD8Radeb08WtghNoqFclB9WjROR9NGqlyBwLXB9Pia0t0mbj/LvGecEp+X3Ac1ythMPB -Jf2U2Y7WVSzeHjmgUze7OCFzglM8I/s75prMPWTLPDM015gfe3J7YVJiT2UTVSa5ynRFleGsoheJ -KuMrnCFinVwXVhzRTIB8C5yp0I83eggDeMtIPjmX5RQBSEiVgsxEnNvjGp87b01pUbfzAiPDV0UA -eefGFneNetVKReF7RwCZ+1jnNxN0ssTvvewo4HUQQL65ZTR0/evI4SVKHSHbxq7blzb1lRU+5JeJ -az6Kayr0uPWjnGvCXcU1zbAto2590mqhoaX7/rgZkH1xmcpuospQjr5rospA8D3j9MxLRZUpRslh -4XMBUArdaOhzeBLLK/14hBdA/SwUL3iFkJZfsqbt1F/ZVmFxHRUCyFQ/dHmWhBYilQaGrI9KzE1j -TLjJxxAZ22tH/kAZHmeTo1VCYCmZI187gpI/0iQXMj/i7sFmPprnjEvC5rSykPlxyrzkpexDf6j1 -EPFLdZq5fpgrPoprnoiVX+mD82P61KUS4mLLpbjuuOmM4pqjyLvybUeVsdfBkHg1kjnrA5Z3fSaw -i/FdVJp+4ypjp/WpfgNW/e1dZUpdiEpdiFJhbRdRr64Ur12fVwK2+qLvrlXJR1X2QsHwLwC8bt+7 -vxyDUFLvqysg95D5cck8ZHpc8hzTmpD5GJSOK03I/HXlKf/41WdxTfi49j5V8olfXYprnmPSW4p7 -Jj8akXbjmhm/3mnF4d34r3Phv8+rQbOy81n/YG23DbiytvtnfN3dVWZKxYZ58P6Ie7O6USU4xYp3 -FWwcVNj1Sq4yKx05u5qrUQLXFye1BV7fLY+cuYANCxSa6a9o2k1m5eiuSLEDa6fj6sUzc4VurwT0 -gzzRIbnMPQ1HDXA/ZP7iYtpLgWNk7sYKjkWPyX8vYnjRTF3mXbod+ea6va0HXrSiUdjOEMjHeZ/u -9nFOvzhyirMzXMdk1OaaeGpoPwTcyrjmLwL+ozK6E+hY1pGPhWNknt2XIETEn+y/aX+mbNXBw0Ea -uadfQObLjd4fcW/KxlEfqzqVEkheKYCjs7O6YJeRNp9YNEklWbGz+JgvPIbmPwRU3WebbVyxCxtL -Q+disbOIDTlvtkvQnV2eLKZv3ZcttSibzFfntcytT0Dk4nq7CKDa0jg3ebo/vqu3ROAamaPoQ+Zv -V95pHDcyR57tRbpkvmLjmr2BZrpLad5uq999zVfwGEi55GrjHCNrPo7RA8hcaapV0v2xSN99vzFu -qUEFRyZCi33WP3h0oHPdig3XxPr+WQIZ2Ay7cQUp16LIQGbTqqJxldHnJqqMkvhJquiBZJy3qDK2 -0bP/egHiXmNpF4HlAQrLesK4qZo2o07mNPQFQkNQDsubvuiQAI/gWZ11XdwHfFZM61pG7dnsJ7e2 -eVnc53sufjPI1y3H8FYdaaqtLDva0rSj577nwe8+LLoR+vGtO573YeV1oIP3kRZfyPkquVRm133r -WwNlIE8bOD0y9T6xSR1c3rlM6Xu5TF3mvrDl9yYkzvFmPPX1XQ871denDO8BrEr4jxkfJXmU+k2p -DtZ3+WeLcTymHUP18AmdNOhh8M6tsDuTuY/zvr6b+vaQTH2MbTrOm/ExpEsK8tiFzEv9qiTTRh9t -qPNcpsgaci6jSxPpgua3Ze7EYV39XsLK5TGku0vjozTGxuhE+tWQzkTvks8mc6nXrzQ/lMoYMw8O -YZHLHB2PzPOLeYXvjfukv/el22lrcS7dQrfTLhtjPeMj73d9ci/13VK/G7rvfvL4uNt8C+BEdkkP -cd+45jaFjHz2g8qxqVSk3F1lmv05VEgrjGcEn+qq7mkK7ivpZYi7l85CQn9Tp2dRvF6Ar1s1rGMp -NqwEWuGHlCtMr5wpGyW0NwUJfG8DaegHWoRUFki4hyB1Ie5JnZylPvVMSNbPtHrXhixbqa+LjbWD -yVwFMUioZ9crWRG4uh30745QmabwwEpZLXV/3ckl1cPKsFhPXVipDnTUIXnQDsOgQx5jxpAetzK0 -Ibrq24TCfZNLdxkrW8EPtaOnIoatfpjEwVFvThyFZjM5IlK4O9po95H5Jhf1R+4skJE5CsoGW7qs -79btqP3TWv2fZJL5ivvaIPNsfIypk5dhpxT3lZHW56pL1afc83a0x+CYegCBbfqRzLr6rk/WhpX6 -dpfrElgNtWOoHj7GXebIlyHpik84WT+gXwOT1SeTUy63Ujn5OG+PsaSvTOa26SkV7PknnWcyp64W -Q3gNncZDeb/i86PFaXza78zSPCCPuvSkjzrkMVbm6BoMQxaRpKMdM/m3SidWJZkbsVozOALNS/I0 -3X1aj2OTecLZvnCZ8zewr2t9NYtdPQcxzqu8nd5kH+dGZFrtIM0oeQyA3tYljNG6sT8uG4OSR5+u -oevQ7/rkMVLm1re5vH+3n2O+tzI65qAn+oo+0zEP9tXDZZr0tclc4/yZvL1fpHzyrjCmiU0a6cR6 -vsZDoeNJ+hV9wiy2LXk0dU16oM19xlYEbmRlILyEVa5L6AAFeVjUl03409g6kg4ImMPa3Mk/r8up -1ipbhXs4yOY56QIbd9IFNng1MFG1GHT4ChJv6kIfbPGl35yk2nPtn7gbIdIPylSDosLiZBZvXflk -lcB+Uk8amtxn1sFtMK2RT87oEmLtQeodkDSu+HNFxKN8Vqc1t551SLs/S950ep+kfZ7MO7/yRgk0 -Ct5XkWNBsLwEHnk72W63gyQisXU7epSVtQ+s9PC6pL1pr8qwZ31GaTVCZRip75I/dQYnHrW26I+u -dpRw0bNNGV6v9jOQOwsOnmTcum/F2puPnnb01cHbkGQOKTfZejbcp1m4QuHmxmTv/a/UrvZ9x7kP -K+u7Ksz6U+ofz/IYkMeY+lAG+ZvVqa+MTIxd/co4f/bsxjJPnaqrjCdYdcg8x4pIFuv2f9IngmYy -d1eZDENbuJnMU9/yyXid9iZ9Nlmgzzr6psvDZd7u36msqiECa/bvPD/Lwwbb04vPzdfMAz0dqdFH -HfIY0/cog/llyMLLHGRY9cicZ3OL6TqyoI7gnPR19WAzcn15PknmjfXV36auU06Sc7M3LMcmz8fl -0SXzMfIYwtzHB0D2zdGUMfQWE1iMF8APNpd5r4HA66+4/xW6vXd8uMzXHORJdzfzOYaXrrna5+E0 -53fKbVT/TnNpXzVN76abXWPQF4nrLszzuuXjo3Oc08GpQ78esfb7HDWm3eukMSMgRkbJnHF8oUp6 -1LEn7WhluoHa662WGcbSXYsao8yJhqWpvY4ioz/8ACb/THIizdgBTBg+GkbfWcz+iLtXnIGpipjf -uqwdlUC1VbbArFilm4XnhS+f7PqKtdfFhZX3OivzdjlsmuAQCWTDRJNvVgE3d+HBJ44Jf+jA1yHo -xtSxlMYJzqYiKmFNvkNk2Ab4GlaQvnqWCHepnmP6RF/ZVN8mMVbTwzI3H0jqsrHMqcSWfbeEVakv -pAXfYLKSzO3hLeVeakdJ5tY3mQRKDe65zwKf+L0uc5qTT3Zp3JvMIVl9G9VLxZfaMUoeW2I9ZnyU -5OF4l9o7dJ9Jb1AnFvpVCctS3WxDnOTpi7H2RkTu83rc+c2+ZL4reZTaW3KtKspjxFxbqsO2/Wob -mcML3a/d5vM0X+fj3BaUaRFHWZss0G1sjOm7Awy09HwJ5zF1sDQFFjxGH42pi6dxrOGSiiZTvx0X -15zCO9NqiUVNu1qIZB+Wd3B+ElVGn7/D2pM13ca/KuOhIe2z7kPaaYstPoav/RF3L5eB/Zd6qsLy -EF9zRTx3rT7sFTnf++t8Qvngl0SdzSdsqrQi997JS52h1NJDuk8HcktLnzUd4XMA1brW9kNqZ9Tl -KQIu8z7/Rr63NyAB3NEggEyH9qg4cYtxfjQibwyOQ7qdOcDJRNtyeTxIvJ+WlMa5v4XM3aTeDzov -01IO7VR48RXx2XOuCXe8dq6pwWbBQZQmvWC3mO9nKbrhznhmIurecsZ71zh3H3dTBjyjq8zbJ/sn -7lSYU1Kp2KeatFv9IO32fWqQbSbgVYE+Q+a5xXf4rW1qfXyZ7rJ+KQjQXxd5+9u55PfXLyGeOCQE -fMAOyTxPc0h1j7psh0C+CGsr7sZSlBURJG47vA/h6SHdPUb3H0Ibog7rIYDM+8gZObkeCKPMeriu -k9q4Znrj9klcEq4Jn4S0czoqF6TYgqToHkm/Y/nW37aRXMLZmXxYoVGmm/mzzwRgQQ9YKEgu58G8 -AhA5Ni8UX1V0A7B/4k4FeQNwyytnfQA4sypmpD29Sq4WAtisFLrH6w4Sr7DC7xLQdXpCpA0EAoFA -IBAIBAKBQKCAQCy6X7eLdHFNj9tOzTJDScM1m8WWeCZ7LbZ1z6Qc+CobmDgJlUOVcIW0DU0i5RY3 -Xr/9YCZDLJF6OzmVj/DexJN7EN0/cX8CmGrj1nPqn1sZiUTw5KLmOOm/bl+I0gOBQCAQCAQCgUAg -EAgEDhyBhpz3cU19/4xr0qYdck3zcRc5N/KeSPkjZJ3vIfGqpEWV0X2s7hjaiSCz1He4zlj0rWR9 -f1XifuCyjuoFAoFAIBAIBAKBQCAQCBwRAq9h+LXgK8mjhL2cZmGHsOtPNqFaTHcIuki7WfzTZhiC -V+BGbtHznLx3y+JlLO552X1AvgbAR9Q/oymBQCAQCAQCgUAgEAgEAhDiHhRehGu2Nqfm3iW2+ZQv -EmG303SVnkeM7x+Cj3sbu7QQeQaqfz+G5EevDAQCgUAgEAgEAoFAIBAIBLoQMGt2x419c01CoFs4 -SDahJrcYLO1mZXdXGd13Vxk/kMnr6wdYDUj1ZSzuXqHmaGIWFfoyB9ZO00o1BWzb3Uvjok8GAoFA -IBAIBAKBQCAQCAQCAwiUuCbWbjaIwjVzK/hOuSaF4O5S/6ovjyrDZtVE4p9FlUkP2Mm3rx1VxusN -ab84reuj06Iqwvb4RlWBuDpLIXoymVQ36SzoIO8xVgOBQCAQCAQCgUAgEAgEhrg7XJNY7oSD/Fv8 -+U5E07mmNn6uLlpckyAw9+Kau4rhDm9vLP2JuT9ZJOj+SSLpkHjbiKof26Q6TrQvY3GfA5bYuf6v -tHN2pRiaq5P5pPpTYBGuZy42/3FWH8p0U9d89UmHNX0+VRhJfb7yIKnjGhWpAoFAIBAIBAKBQCAQ -CATeEQJnkPbadaNSKMaVeOVqqlNUOQ9I5m87eMm4pj7f6LAm3FLOlWYurglrJmz5tpe5yiSrurnK -4F1CxBhlTMSY/ORUs7ondxqPKnMqPlw4fHN/xN1fEYi0A2RFhW+17NGhS9X5ia16Vj8L4CtZ33Ws -eHWr+vOKgEOZSHuhBn3Ub1sp7QDMbYXxks/76x57rfOSBUdZe0fAZZq7idlKtR6/zalpIfe9i+LF -Chgj85D3i4njRQrqk/mLFB6FvAoCpfk6+sR+xOJc80y88ieRcuZRkfLJtXjlZ02tEHO5quDBUd3j -hqL7EHS45VyfzdtDD81GmrtLrWiiyijPR/gspN0PW8JVBvKuz5B7s7inco3Qw/n0jzWi/9ofcfcy -KR/yzsTEKgIOPtUHI+bpu2sS6waVJkKOjqvlAKbqb31x23c+fAm9wv02cVo3u22eV/NWEprJElwe -eEeSXSzATiVQHc1bcW+fJ8eOaceYNEP4lZ73gTfcV9eV0NP025ax7fMobeQ+Re4dMqXtuIzRL5a6 -v08idwzyGNMbxsishMWYcobScLy2xnJ12yNTlzmblpD5pnLfth1jsNoWizFl7KIdJT2ybRklHFzm -95Jp1/Q1l25nnmM+J82m1xg8S3qZ+yW8Nq0fz5Ww3rYNY8oYm2bTdrpuL4zz+jxJ6YJtZb5PeW2K -wTrP7ULmXeVhaNfYm9yrAAg6upTf8Em+xzjMCar3P7jmBLcZ9pDCNe3E0l1cKR8s7ZBzvzhgyULH -+Jjnt3/W7zVUwf6JO5V2TxdWImf6DHFHeL7AcUHi/35Ru83YdZUdVbvLzjpmZez17pPjNvWhfI7Y -5Tek3E6SzQriezoaP/wNPpusXxKMlnNfn6QdQ3iUsOrDJ/++hFXpvrdjm3G1bRn+/KZ4sEhD5i6L -PpmTP/c2lTn5l+pYwqJ0vyTzMfIqlTGm75bqUSqD50tpxrSlrx4+kSB7/uZ3Po7Rdz7GkTmGjU36 -eEneY7As4VDC2vtdSdeU8tm2HqXnS1iNacdQG1zmHmiha5x7Gpf1poaZMW3dVh4leZXwLM0vpTaU -yue+7+PrGzulOm4rc9fteTld87nrdsp7LZlvo89cFqU8Sve3nUuH+gTjjdNKqQNcEq6Zy8I5p3NN -WeMnuGjvkmtSnlnvWRGkWO22IZVJXezc3WcWSvPEVYY0up/r6562vgxxt8JZfbBZVq8sqP93SY9X -Ag6qPq4A2oBU/a/Us1kdce1icOedDh+iUxV86yuGFjqzJPC+ncYASx74MuGjP/YiKW1JSt2e1Gez -vPMmgnt8yX2VUS0+2Ard/m5b5UtlWh2VIb9REl0bL+y+Epilr8MdCZcl7lOfB93fhFQ0ZfRgRRmn -yFz3WSm3L+pPGo4BZhCug3db5n1lkE5veKytNLIPK/zjWJWvu4nF2pCsLZJp4xKTQW5vWJCzBrZZ -aDexylDOmHaYzDeUR6nfcT+XF0qx3W+8b5I2P5I6z5t2gPetr/rHFNxKw5s++k1X382xou93LYy9 -79JvNpG5W141dldYWTUOn8g1jXNqbW9isLpvskCXD6ctCrEudY0P7xPIvEunuT4zeWzQVp7Lx3kf -VsiDTg7eXX2iqWePPMZ0Ablh1n3bUO3QJ+j+AX1mpFr1NGLV0XdLdXCZM47R3czdj5KrX6YHskwo -j7J8bijlb/fRmUA5oI9c9y8prAdvi/LWMz7G1MP7zZBeRh5uueuaP9jfZgvaDeeXvO/26W7Gh8l8 -QLcPzYMFLGxs+3ytv+2Nqc/nPIvMSWOS072NZK6HfYz1+WFb3039v2sMNlj16IFRMk/ztaXt6lf5 -/R68fc43C/ca/GlM/SyNOpRgMPcYssfynV+q4upSCWRtrxh7kHbnHjvhmgANic3Ldh1AP1B9bOhR -ETpFqp8RQXQOuPhqtLvRL0DcE2upECL+7AKJSp9TSdqn76k/A9xJ+5/sAt61QAFM4HkooNGdoCNh -qvpGWeRK2xXZTjpLR22KLlvCOPWx3rZ4Wzch7Zapd8qeEsjXrJE98uZ+g9mGfaIpY0BiY2SwLz2T -V8vbukkfy7ECz06ZlTBM9zcp39tBFkPPuzxI31lHCQN5cI98Nu57G43Q7KESViPzpw35uB/52Ohk -jlVpEiRdUSeMLnX9hODQV77Lev1cfzxhGNfzyVYXdSGPTRZRXnBJt++iT9Pe3PjV1+iusnwMtt8I -bAJcH97e560MYzGb5D78zJP5oStp0iXUpc/oQ/24v26/MdK1+yb15ugEz+Te01a+L41xu7+hPFyv -9/Ub+qO/bepriOfRO0dtg2kCR1FiqpXAMH/zrK0soiDtZoRTXb8l0k6ddiVL60s5UedzqgP42AZV -VvT6205SJa1++AWuJfkpyQsQd3pS6mWsJNh8ys5fx0kWsdW5vv/E6kj3WP1gCTcgHcldDHgHUnmx -uh+63CLUp1xR6MsNLAQ+wbLKO/tQW9ppGp+9qT5h0MHcH26TCcRgp5MMNJQOgr9X72RK5QpYlcaY -dcQhrMbIQ2m6rHSlsvP7JZmzUJwNtJVBvonMqUOaXHiDYhfFODlIdbQ3KshcPm9b+bjbRD7QDpdH -H3bW57eUuWPlbe8qa/DNidrAmEAe2xCcIZlavgWZbjrOvd1Y2JPl1Szt5JdPDj6uT6QLhrAq9XPG -cO9krodLfTeX+aZ482aF8TF0mcwHZFqqZwkHl+lQuhJWNj4YQ6XCeu4jc03aNo6xtOe6PcnY9ABj -3fSvK4B1ygNrnhWWfXODYVkYyy6PdYrO05Z0hd8fwrI0146p2+DckPQhXa+3HiPmoK56pLFsY1t/ -21szZNvS7baWJI3JPHubmuuCMe0s6cTS+Bkjj1I9fJwPydTn2l7+tMVcOlQ/K0//fEjjF4+NT2ny -Rf7iW6tLgS4DsUUwJKohc8SuuaZFldHgM3JOoSpDIdBNR4+OKqP+or7Sd+2fuNuASSsfdu8CLKsd -w1iNweJO+B4iyFzrbwdSO4TrjQZJQZU61Dr3S0q5dD/1j3WKfJLWFXafNZHybcdz+j2mPn2VGVSa -Q8osZbhN2WNxKpVRuj9GEGPyKKUp3S8pFTbH2GvhDtxd5gwN+scmi7UxeI9pw5g0JcxLeTjHG5q8 -SnmU6uDrzr4yxuQ/Jk1fPZyok4f/5Gn5Ln+jtanMdyH3bdo5pvxdpimNs1K/KOnE0vND912GfQup -XY7zksy2vT8Gh23LKD2/qzq8hMz75utc5rRnm3GO3txGZ26L95jnS2lKenmMzLvSwCUfpFBxucN7 -Axcu45oszJJ18kxcEy8URTM0rgmRJi3f3fH8poW3n2Ml5999//FGx78zGbogm4RZJnpmYLfq/oi7 -18leRyhe5qUA/SirOj6A+J1C5vW9tc7rDXi4zLAytRA9LFh0c9ONHLuSwa7zUbPxHBryazSrK0Sv -NAh2XbfIbz8IOHEbtIyqX+w7osx+Wrd+rutam9YvYXevPjcp25/BAusRY7rywXOQOSXG+TYoH9az -JtNkee2Rue13eIkxcFjIHG9txsicNOiCba5j6DO7boPnJws7sdhXCgGJK3alQCirD5pwtfenwiiM -kcR/mIcJH4lbTyLu5olUejM/RnZPwkFiaceqrwK/6zfGatsDpoqcYIlPpJ58iTZnRjtWZ+LBA+6m -+yPueQMVy7I+BVUnp854dQCQqpy9+lFn1qFLYMZmArMycxH/ki/vaQmS6VqVjEHxjaaJifyNCq5Q -7ZJcS/ePE5XjblVJpqX7x43OcbYuZHqcch1q1RiZj0nz/pDbXYvvFLudOOmcEwTX1AbY6iZxTcJr -yxi6kjup3WNDv7vGwUV3FQ7SXWVolUWNoRwK0t8sGFixmzuevuuKKkOwDt+w3oPMyxB3eLf5090/ -tS75ikIrjGpnryh21wf2ntOuV557r3AUEAgEAoFAILA1AqH7t4YwMggEniCQe3loL82TN5nulnwj -DnrTws0/72xRlfxdn9ib2QeBMRoXGP3mXjuqjJnYIfRUBPeT/utliLuXn7u8UHEH2t1GuuoZCi5G -ZyAQCAQCgUAgEAgEAoHAGAT6uObQ/vldcU2zqrtLVPKtd784c5XhvgprR5WBt9sbgJq/Hw5xHwJm -V6CNEWqkCQQCgUAgEAgEAoFAIBA4PgT6+ORL8Uw7FpmoMiLwFvLRrewOdbK+O8GHqOMDT/3why94 -h7+sxZ06+0qiDWDXCuOlQD6+bhstCgQCgUAgEAgEAoFA4H0i8Fpc01xdRF7dsm7uLx5ZBku87kHs -Cd18or8/JFM79bWTU7n/2uEg211maCURRP19DrBodSAQCAQCgUAgEAgEArtC4LW4ZldUGTacYlG3 -eO4i8USVgaRbVBl8Y/Q3YSzZtGr7QfU3UWZ6rpexuFs9VBEixtAAdu8SQzPVz35zzDQrFD8xyI4Z -18d1jxrfldAjn0AgEAgEAoFAIBAIBAKBt4FAm2sqFKQRYeeatOIJ19R9D8e7K65pUWXYhAqdZVOq -uC2k3T7rbwg7n3Ghsfv6nr8bV5matw/5ue+fuPvrCkLvXBKPR18QN/NWjbtKOwU4SZVDmLjsK1Yl -+kzQfAuK75m8jb4TtQwEAoFAIBAIBAKBQCAQeCEEMPRi/L3UP3BMuOaZLNt/KWThTSLvF+Kan+Ca -+k3IccJCQpIJO36DsXhXXJN8EmmHz1o0GSzo7iqj++Yqg5sMFUibWEdCtX/izmpDpH0FWKwoAAi/ -Hg5YEk4VqxNZ4Qmdb0De1suf6vN0sgJ8hcipHgS8O/ePbFgkCwQCgUAgEAgEAoFAIBB4BwjIkr7C -QKzDlxquiYcH3HP5UB96eZJxTZ0fVM305aXOF4Jrin7a+UK5dX4T2BpXGT0Mb+VioyoZ4yozEYmH -sBPx0cNDQug/iAPjKoO3yeq1w0HiHvNJ/1Dvq7s6huaZTlL9ou9Ezm2VQ5B8e6UBZ1fFSXuuBl6q -8gtM8LtaBW0ihT09U69JfrymaRdTur+nakW2e0SgJFO//x7PNNgj7K+adS7Trgmh1CdetfJR+EYI -lGTq98k8xvpGEB/cQ2N095g0B9ewN1QhSLoZe6Vo/1S8dkJC6hTV1Wd9h0fHlQabPDiMa3IPrkny -C/3M9Qff7+xyC3vKsDE8i5zb6bm8AVCaPKqMx3d/1agyzrWphACtHpMvkfsT0RDx8tVC93Talb0p -wP/nXD/63x6H0Lti423CsVx0Ft7WsKi6owM9b9gKHyk8i+4l5F32p2PB8K21I5e5KY2OBrDon3+v -j8XWCW9xHQECGucmUxQ0Y7190S8wbnh84Rjrb1/oyPxEMr/r0d0ucyY5nw/ffqvfdwtcd2M57VqM -Md+fJceBkPlu+4pzTRtX4pN/Jz4JzpBxcUwzknLdJsMwXh+nstDzDBdc03XvtlzTfNwx3+OGA5nV -3xa/XX/7SapNVBmlwdKOIjC3ePUf6naj38vkJ9+B1v5dZaxQEAEwASX/o6qLtdDx8U2aJ58jGgMA -O1sF+QoIgbnC7LHkA7BZwwG8p49J6LXSXeNtgFvc0mRuOUPOlxISEzfyI40GuH2vXcWr81p4RuDX -uWx1n3pgXz09Te99x2HNdrbrOYTVGKzHpBnCptjODCtTul0yTf2m935BOPTvC03mlkyypIj8kIhc -5hA9+kR+f6zsS1iVsKCcTfr2k/qNGGODZeh5q6d+NsWb+oAFV98Ydaz69tCMwapPLjybT+aQ87bM -+Q43wrSRyWS+CXEvynzEOC7lUep/zfMFvLeRR6kOJnP+GZD7WJmTzSZ7qyRTW6hpjK/OOnR3um99 -m6mORbov2sYSBu/XNj5Kc9gW/b+E95jx0YxzMuuoa0keY+tAuqH52OrRM597HTaRubI0OWscu8z5 -bbrb5YkeII3m/epB98AtUaImTamd3reZ03v75Tp6t0cexXqkMvqwGsU7kj7aZHwV65cUKKQcgzFA -t92sRabNNSbnmmaF30T5dlToiatM8l0/xX2HIZAiyGDIgaATVeaEcaHPcF6LPKMfO7Cpn/Ptn7hb -dBikyWQMkGnpQyNaOFVEm7HViu7N55OVQuas6Oz3rtmKUhtIkEBgJTSTqZvlVVtO4Adetk82ja6u -SZ/7CIcM1pV1mtCbilKerwZdryWlboqIsvz+2OaTz4IBZrNYXU9fGOR5oASsk5GkB+PS/VKdUJiW -xwBWzf2+SUjPGwa0g05dKrRvMCVhdcnMoEoyzZWuZ5XLfJ3X2zSJNysuw4Um9LQfJW/GaqoBYWvW -pNjXlbnX0xRnakcXVjZJJXkkSJ+gxf2SvErwO1Zuwmjj7WPAAXgmD8ZoqicyXwfvvG6NTNW3++pg -Y0T322Ugt2Z8bDDOqYfhoB+26CBfNcnkm65V3n4f89xzPVTCuZF5Gh82xlpjyNpBPQbGoMkj5YEO -Xtc18UkZVGoAbwOk474PP+u7HfIYgwWPom+4+vqMt7Mkc5tvN/B3Naz1A4xpnDdVp2q5vucGfYS0 -605x+TjvIkCNzMm/ox0+BsF72SOPEuZ5v+pafHi/Mj2gTtKpd1O/27QO1m/SPAfX6LpOpYBJYvcH -5hiXeandfj/nC2mcP5N506/TQ8IM/b/JFNboxD6sTtGbPdzG6+F6oE8epbY38yB4tvpNm3f0ycP7 -jen2HnmU6tF3P/HkJ1yTtF6Mla0dlYlrrsADv3gs4SbDdQdiR0WaqDIImoKxpiP4NNYh7FYf5MUv -egNp9Nm+Fy6v7uOOYgAcXGUsDKR+ED4bCFx5pLpO7lRrgAfcr0rHqojPuA2sS5CHBD80gHmOlflU -BXonaOfF92xqIN06Vz5Re9vJi598JKfvmOxtou+rR6lsXwSV2tHXDNtvoHZupGW8cqm3+iaNZ3VG -5iXhpvsb46AGWDt6GmqTLINoQKbImvvrkhraiy6wuUWkHesqVheuLpmfSuYi9xvLHJxpax9xAQLu -D7WjND5K/c41VJ/MKd7wGBg/3o51x9iTuqW+19e9KAOdVBwfa45z6kCZdDlbqEnmXkYuc1fkqW+M -Oeq6E/qVCkJn9lXT9ZVPFJ146MvSMByUu4/z1PbuitY3h+QxpHdL/c77lb2a7knsWPXJnHEzZGQo -1cGGtWQu85q9QQGWtv6kbCfsqZ+spWN9H9jgHOX6amiYjdG9Aw3O+1VfspIucXmMwLUzCQYq9LJ1 -v64BAPiljp313S559dUtydX0NVVgvkbmXO1xzvca5yvcH7rSjGm/627GeleTdiGPYj0GdCptttte -uT6FlPLYdD4fqqMv/G3PpCZeQj9eGDuun6JM5mOMWoYXafQaDBdtAqfszEWV8ugLgCKZe1QZ82/n -QkepvMZ1hq+Uzg5gUn0dy562voDFPXUy68hJobpVxJSW/sEiyQDk/j6EmTfeyuzpUD7YzEWhr9Ol -9qzjItMun8/JSFBvkmhJh890LsOl436PMJuvHWsmoaE9ArShpNO2XRFbHbbEkpj/fuUKsYRDc1/P -l6y2eT27yhjqN0P18LxoAmWwCO+QuSl/yZwJ37reAGSDzS61A1kMYTGmT5RwL8mc53OZduU3Jo9S -PYbGcTOuBnTBtlhkpKx6lHxbrk8Vug5rvFkDk8w36d9DMiW/Ujs27dv5mCzJq4Q3eZXyKMl7VL8a -6P+uN3M5rSsP2ulv7NDh7fnMdTvki3sl/dvX5hJWuUx79RmZb6poUt19HtxUZ5b0UVHmqv+gS2GS -96DOS2OEstaVt/dbxjDPds3nyDnV0WxHG8u8pLuzdnThtu04HzNGaeA6c21RvmskoH1gbdy4h9vg -nuhcc1M5FKuUFDr9oRleqpR91vzOl/xtJ6TSb1IijzxjCx/IX/+1P+LuA4BK3DzWYXoUJYa6rQCP -+hL6ESGfn9jkZYQGC5i9zki+AtzfG8BFCewngRQ6/ut2dU3Y3HdL+ybEfT+1jly3QUByrticyIra -J+98kmCS57Zb6UrKb5u6xLMvgwAyRO6M9Z5xXuvBZJkNmb+MXPZZSlvm7bnLdbt/76RzE8K4z3ZE -3uMRQJay1Np+ha75Wt+ZeJE1R9mj60Pe4/EtpXQsGXsK52hc87O4Jm84LiCcIsZwTfjxhbimXLAr -rOsICy7qi+g0B5eKK963RZxb1tPKveHDusdbdyPp3MNQR1r9fFAFLTkVHy5lf8Tdy2VFfp1MEOeK -l3lZt6D6W6BxABMV5FUX93h9+JBcaORfWnFIk20YeLJ0KeL2JhLkk3SvteJNtCQqOQaBtkJvy5zP -sUgbg+TbSpPLNGT+tmS3aW1zmZtFLcuoa5wHidsU6cN5rqS7S/cPpyVvtyaPjxZufAUb/6j9kc41 -b8U/rxMTZkPqR21Oxc+cv9NGbwsR2bj4bAmB5SNCjtFciwRzqTZLu66T5D7TjiqzFGMnibnKoBAw -9qRnOqqzf+JOobwZIAwP5nVbBulPSLkrNZH76lr3FBzfLrNO6R82pR6jFaqkqEv3t+xX8XggEAgE -AoHACyBQ0uWl+y9QxShiRwi0F2l92YbMdwR4Kxtw5Y3WnYg6Gz6da0LaXTYi8dVCjNo9UfAzt0XV -DrmmbZZOC4XvbnFPFvjGVQaCztsArO+kTYTeXHwgzIm89yD1MsTdrQwAyGYALjek+99UNt/Ry/2x -A2E/3SByDQQCgUAgEAgEAoFAoIxAEPIyRvtOgQx8/wdc013SXDZtku73d801vTzj7/yTXL+b9pMg -kfv0y7g7PN82dL+Wj3ufgByorvvtezEQ9t3NI/9AIBAIBAKBQCAQCASOC4HX4poWzQ8GTiSKRNg9 -qoz5vovY+qFMFlWGz0qPSw2clxDFhTNcXsbiflzdIVoTCAQCgUAgEAgEAoFAIBAItBAYiCrDgUuQ -evdlN0u/m9yTFR7i/+px3EOogUAgEAgEAoFAIBAIBAKBwLEj8CSqTCLl5rPO5fEq8cHH9z6LKuPe -M8ktfgiml7W479qP6Ng7QLQvEAgEAoFAIBAIBAKBQGA8Aq/JNf3kVKzqEHbcYnCF4fJ4/12uMqTh -GU76JXT0AIF/GeIOiPjaK1574/Nzpx0EHMLS5cc+V7pT+f7cK822BwCNF3WkDAQCgUAgEAgEAoFA -IBB4iwjANTktdc7BQClWus4RehY734k9nBQybSetusvKDhtup4ensI7ZftSa9zr5dat8ijxjh5pR -99cOB8miQ4HvJx9TuEersv7msCUaljfoTOl+mpmLT3XdSrNDPA8+q9zt6eArGxXcGQKvaSnYWSMi -oycIhEzfX4cImYfM3x8Cr9viZCBeXehAoFMnxToPiFpdJa7pNeRLHQi6uhDHhONf6zNRDXcxbgkH -aX7rKoRwkydOxPnMxlX9ZlExwxLv5JwDmPQ9G1SJKvPq4SCpJOCcq6KqdHW90MlWIuYKkG+A3qmS -fvw5pP2zAuef6fs9LH5et1dtULr3vQ0ejUfeKAIh8zcquKh2IJAhEOP4/XUHN0AOyR7b5VC0k/eH -2u5arEOVVpci7ZyGKgs6B3jyGa5ZnyUk4B+ToRhLO6eqkhauaXwztyBvUa0mqozysKgx5A9BVyHE -bnf3GSP1WVSZ76lurxpVxok3q4/zuSARaJygqpOtqpUAlSvMCjIPwPjynAt0kfaJTky1APTHfiYw -p8Ry0XZC2+eD3ZtPp7K4o1t0onj09RFweaK0XXF3yRx3Mr8fMn99ue2iBoxzxrYdd94xznOZ+5Hb -Qfp2gfzr5eEyZwwPjXP6QzpU/PUqGyXvBIFct3fpbr+PzNt9YicVeMeZONeE8Mqzo9IJqpNr/Whs -VQrqvvoiInXOWJNg4Ftn4pqfEmEuhF3cDNVSVJlE0DFq25yQGuAWdzt0NLnN9FRg/z7u4EM7bFJS -Rd053ys0BWwB+jkxWV5pQFgvlLYds34zFA/vKTCgjT6htwc6kzlwzLVKW0iAt/o7iNzhyXFsjZCz -yZOxIJneuy9blkFb5iiUYzw1eCxmx5COcc7bwynWFsm8vQhvZJ6N7xjnb1vyjHOX+W2Sed6iXOa+ -+SzG+duXuev2rvkaPeD6f5F0Qch89zIHZ3cNxwD8wzO7Lot5WO4xk0slQgw3cE1cVpKlxBcAu6iZ -xWunED851a0xKPi0aHgSVSYvFLeeYfK7f+KOvw6vDlhaaEWEpb2iMWqTLTSE44qVEj5JtjlAaU/U -y3m7odcIFR0eX/hd+c6YcLXiQo7tzQhuEaMu4GwWk7Q6cly9zhJ2ZRPxGtLOLK8rCFzqWNVKQs5X -fvp+BWlnsue3BnmFQhh7WTlqgFt+zLLTagd5qQ0rlWVy6NqYQYemLjy6ycaNMVilOtirrC55UE82 -m/TJYwwmtCENzgocXA7NsxlWXTKn9yUstpK5ZFlJphailQ3kbmG1/CVn9nXMdF9/m7zXVe6pbw/3 -3aTQwAB/ujZRRB5JZ1Rd90t4k28uLzvCufVQLg/GTxdZHRqDpTpY385k3lWGjb2EBfc7LaN+f81x -nspn/K1Ok8wZx1iAHn+MY8aebdpH9tTmNBG9Z/2z0OAcq7Y+sjGYyZwxluef/l4hM+pgemgNnebJ -22XkVc70gI3zvn7l+qhLHmvI3FrSp5uFlZ2GXpK568R1ZEFa+p1kbgYXZE57faFOxbh/kul+jffO -t65D7UUXMT+SH/l36c2SzJl3k8Vvc92e9St3d627UXOthLd91SNz7pOgUx4jZW7EC+zhSF3zcSPz -jvtd42NMud7vKZrxa2/HJUt0NzLN9YlxmVrmpv/57fN9C6/BovO+26Uz0SeORVse3o/d93sT3U7l -0jxoeHeV4f1uYAz+kHmPvMbi35XOTh3Vpc2pK5Fy45oQGFQs/PNMICGrW30HLwV/cF0oLbp4kU3K -G9cD4g3BVT9IY9Tq4PjZd3xOafxAJneVwZjNvWU/59s/cbfK6udRtV0kJgK4Z/j6JGQg5jjrPwrk -uWY7ry+/eXZdAlMCHPcdNHtfvjap6x9bcHRcEBsWG3L5WdsSTt7uJkP2afH1pBQnTsiXG5u+eahU -GGXximioHbYw6rkom47Exo1NLla+YAVQfcWQ/7RHHvQR2kE9+uRRqhfleh060zL7adRSBjLtw8oG -1AYyJz/rU/XjnfI0nNN9T1tqV9d9U96pb5ba0RVuKsdqRDzZ3ioOydzKyPDoysQU6kA7xmBj40Z5 -3Pf0XRuLjPOBvr1PmVO9XObbyJ169ukjH8NDysra6R10DLitNF6GKawePEu6xOWx2lDXGJ6lMZp0 -Yl8ZrtttblizHj6fMZ3BF0z3dmDpMk/dc6P5zcdHW7cnfmD9ymU61FbSLNXOgSlgsDc43kO6iPm+ -T5f4HLUpYaLethlwqAwf5yXdvoHMXYb6bTLvmquRVf49XdQJ3bpDzXRij7xc5sylfZdzn011e2l8 -uDyG5mvvu5vO50OYOc5N3mnCdU6JIdauxDXhJ6Y36Ef62XQcdNXJiiJ/t7rrTy+er6mL+bgnEm+L -K1XU3wAMtHP/xN0GdkJEq7zqTp2OVZnqZxYnOBOrH8JD2iXk1LmUUpYENXiX1nYHQiQ02QGeQtMs -JFI9WL37d56Sz1jAjfi7FAYQbt+iY9DUfJ40gaWE/G1yTj2N9OsOMstLGdkJXOn5djtIovpbO1h9 -d13JemFpNrmsz6oM8y3rL6M3f68z7aAKXfIYWa8qX5x0YeFlkF/HfduHYav5NWWe9yl0LhaZBzp/ -qjj36WZYa2yy/163dV2Zk52LibbQNzv7rr73dnSKVfJyrDYRu8lcP+5P2JNHlQ33ZyJEcRnUaTLu -kldJ7pAnS9NTAf/ayW4XVtb/NwEhPUYbZd15JnMXfRqbRvBI65is216PRNBlbXR5uCWq3b9TWRV9 -xvrcmv3b82vGR4dgKKMkDxOV+h7yAId1MUiiriAtQ9ZE1/1dZVCmv/X18bduPfJx2x7nDi9pkLnP -BWuuD0wPgqePjxxyr28uj86+nSAGr026uMk0Gx+dOjXNkwN9yuYGz2ZdrL3d/ka/TyfIMmz9e+z4 -KOkWv590dzOfO2lsDyGbu/ST5nx7a7vJ5XNpn8FxmQYasu+SuY0RH+de+TUrkuv2zjLom8N9ytpv -encDXVOsLiBrQMFt8HW3t7+prQRHudV3TtZVkdWpBqJwM665q4WELSRTRTFGEz0GHoxFnQ2p9sZM -nQULv52kmtJOaw5QG0mTIbGnvfsj7o1QJSABuAI8AWZOKqwa+YyiphOa4kpCbBRPGs0Ilz83HdTt -hjeDZqDTjOlQm5B2bwNKl9enCAyLTL5BKY29Cr929SkjdJsO9D43hByTUjus7C0GmCmtwvPb3i8O -5gOog8ucwcrhCm2Zm0JkMk7y3qfM9433mH43VAe/tykG3h/GtLNURimPob6HzG8kT2SOvNsTauoD -vD43HViqS19ZpTE6pg0lPVAaY2PKKKUZoytK9RjTjlKa0v2SzPFt14KtYpy3Fwi2YS4ZZVzmm85t -Q/UsYU0bxozTEt6lckr3mVu2faNeLKOg/8c834cDvJA5mrGscW7zesei0L5HzsicuZ1rXbmXxscY -eW7Tt8f2mRKeY+pZ6nf5/YxX8XaVKbThmsRz9zdf4Af+5ltey2vyPa20+eXW+HXl0q4rC4ATrHAi -57hNkR+knT9wmWL88wt3Gu7bpb+/6weLOyQ/rTX6YNgfcfcSsaYv1ZMvVRlC81yoQaqYWTD/0j/5 -a2zIPL5JVByrOwPhlEOYyGwL8rhOJ3iJtD5B87tLafkKnSaD06YT+ku0JcooI5DWoJZwSObezbed -yMo1ihQvgUA+zvvGMWmc4Ln8t504XqJtUUY3Ai7zXKbtlD6+Q7cfRy8aO597a3dpiDwOBHfTClnT -K/bJnImp/yyuibVbRuLqbwH+FzxSv1232h5A8cwP+hHfXJ3qWTxBSouOUTXl1TpWG0i58mxitSP4 -9J15ROinCQ+JMtC9huY6oe8ucP/EnYrcyLLOKznt5l3xioDaQdo50covB5TVkVYnleK7m/8+rx2G -fLBHAXmAiZycU7W+iToI3AEKboMq5RYBV/JdMs/7xAbFxCMHiMCQTOkD7ftB2g9QiGtWqSRzsgvd -viaoB558jO7e1BXuwJt+MNWDL17j/ituqT2UBEIxSzekXRzUrly/JsM2bp12F6656V6LHAQ8KezU -Vl2rZNG3cvWPu86wcMDiD6k3oiuiTjhIamJujfzdT973T9wdKF4PyfJe2WYY/e2W9vZERVx36r1c -1m0Pa/PBjIuoSCAQCAQCgUAgEAgEAgeHQOK9Ew5akrHXuKbv92qTdj5jEDaumXh2Y+3eRcuSSwxW -dyPnuMG0CvDIM/41iwza0LXBuVWl/RP3BjBVyg4h6bCyN5VitZGRer5nVRJXIBAIBAKBQCAQCAQC -gUAg0IeAvcUUj4SQO9fku2dvMjv46K64pm+W9lNRzS0mbUo9SVZ0LPHUkzRslpWhut7Lqfsnqgh7 -o5aQ/+7rZYh7V9l9r4TbFvawuMcgDQQCgUAgEAgEAoFAIBBYB4E+ntmVx664pkWVMRZeb3idiZQb -iddlrjL63lzGkzXerNVpEyuP2SZZ0rymq0wboDFAjkmzjvAibSAQCAQCgUAgEAgEAoHA+0BgDI8c -k2ZdtLC4p0P1LHKghaNMF6Qdq7pFmXF3Gsi6/sYa70n57S40HeW/rMU9r0gbsL5K7gPYdQUR6QOB -QCAQCAQCgUAgEAgEDhuBNpfMOeQAGV47POcgChQEEadw3GASSbdn9B0bUt1VxgpOaewApjK8L0vc -CbfDGwI/ICO9Eagrmu6167zp0bzltkeKQCAQCAQCgUAgEAgEAoFjQgB/dXzH23Hr4aBcXRtAd8Y1 -IbTseNUvixjDlazp5jqT/sb6bhyY76iTKkUdLPrQa4eDpA5UDiCJ424hcBQx5lqbVG/S9+cnk9Vc -le7wMaruceK3nQbH1K2iLYFAIBAIBAKBQCAQCAQCu0IAmngmQnzOOUDilOKP1Z24Zjogy77v45q3 -dgrTjiIZOvHON5iqXu5CA6v3KDNGbZP7DGSf0OmvHg6SOmmVsxJpt5NAdVIVByytFNO9WrC0WClg -vgD+qFNVOawJou6XvUHY1Y6BXfWMyCcQCAQCgUAgEAgEAoFA4KAQmMsIzGGfIsXEc1995EBPcU0O -ARXNXJ3rH/HRirjuRHrJuSaW453QTfJJG1KJaW5uMSLwM/22Q5mgvbrP2wAWEQcVVcYN5HOx7886 -vYrXAsTXvFF8zXPV+0IVBmC+oxFg+KB/rpJ1HdI+wtfnoDrNritD+3fSkXZdschvbwiEzPcG7atl -HDJ9NehfreCQ+atB/2oFh8xfB3rnmrK0rz6LpMONryHG4pqXopcix6ulOKhFIk+uMhiIxUUbq/cu -uWYTVSYRdIptwkAmCzyVPMVVxuO76zMEnk2ruNOYb/xrRpUxAp5Q0eFKk3tQ1m9WPpxs5W8F8Dni -x3DllYJ+WQP20Bdc0NvkTR6bPk/bvKOQT9cpepwgO0/3eM2zr2tMO8akGapf6fldyKOEz7ZlbPs8 -9UOeyBV5dsmc+z4O9nmy4jHIoyRv7o+RWQmLMeUMpUHe5r84MM5dj2wzzrdtxxistsViTBm7aEdJ -L29bRgmHl5R5mi5LVeq8P0YeG2WcPVTCehd1KJXhuqDUL7Zpa0l3c9+v1xzn27Rxl8+Okdm65XHg -EmQY3sjhSnDNmX5f6Hvw91NMyRedTH/AoMxEAanfef9IeZtVOneqh5D7PQ5nSg2FtNvfGLOHg8q/ -wObUxFJXqoy9qjipFxPf9c8H1dLqj1O+VkvU9bO3USsnfI44gnaXVmffCMuO3r5rzMqZRvDqY926 -ORzqSHYyLIMYueb5SPGv5rxaYeElIVPVbYhcX3vse9rB7z48dN869ABepQFWwso6REEeXsa6ePtz -25bhg3yo3wzhkBZiq9PvchGTTDtkDqlfyQeu834JY1dG4IMyMpy6ME3y7O27O5C3jzE7eKKj4t7v -uD801lypbixzyh7oW3k9uvC18hOOm9QBmZ+h3iTT+2Q9ycdxowuSzDce56qn6/mu/plbk/ra0YQs -23CcjypjQB7+vOmjDfTq2HFuVSjom3wsjRl3eZq2zNt62w0yai88wtxcN9Htxb6bVap3DCZd0TdO -x7S9NFeyGXBIZ27b7xjfQ33f2lDQeQ0n6NOZBSBctzPOu3Q7j6fF3EqH6lQr6YJNZJ77RfdVyXT/ -0FxauF+SeQkr15m980+alhpOMGIslurk961u+ue7CpexeCXPDuNYEHnnyhi84cW4Z9MtbEOoBuJ9 -8oMfW9ZQuiYcpMqlnX5yqm1QTRUx9xmP7640Ft+drqr7M3Vo53095bwAcUeCyf0FyzsbBtLKh+7F -64vq/mGyetC9fFEiYsvmgupOjbrecDLpA/dUZZ2qTvjUd110PiezXUrPBqESIKB1iJwXx/Ma5PYR -GTHYfcVnoNT9r5KgIXrcqx7SxD+2YxkpSMSDPLvqyasZsDAR2Xukp5fhkGZUOvYmFytg8mCvQidW -uj9P2t/exnRcdmBBasMmBIrsaSd1KJVhCrWjHrSDevpKfh0sTBbK1Uk7E03aB+PZQNgbmWvRZn1i -k7bimobloc9fj75XUZkeeVhdC/IotZ1+5eOjjxRIqVpHb+/697y9HeC96aKxyaNj8U8Tm/6v+10T -KX1usO8OAOEyZzJfahyzEL+FqGXjmDSJdNiCjWgDm0zo9Et7k4NMO+pk8kh9rksePj54FNfFTfD2 -MkyX9Ixj5EFF+owxuTw26ftPxnnfOBbgpHvoMQjZ+GCyTc+vW49snJvMIed3mczJ2jeo0b/muue6 -IKnr0vCyccOzprtVwa4xVJI5hZwneYDFJpfhnfpWl151eWD19NMs2+XQd+1t1IYGOhZ5pw1z7+57 -TRk9fdv1FfVdZ55Lc7XJGR7IOPdxnFtw4TKZfq/7Xxpmo2VOX0Fnqa1DfRd9Bd598vD7ffIo9YMc -q75xTL+0KaRnjkEPbMKfSnWz+0nGxtESKffnJK/qr3vpYipXXyv+5LPcaaorCaWPH4wq2xORKYXx -K+lC921vCDr1S3rmWVQZnsnJ8PPCX4C4U0H9PKqSWmFUrG7YNftBFVMftL5/p40E7Pz1TmyEUX5J -RJvh65nM0usq0D6gyQehli4jioVEKNy4xiHQM5ePezil2hRv5PhjrHYXWR4rIzrEWq3ZfeKmnUPk -i4YOdexdCIqmDZThY7D91qFBxBVBaQCOgbCURwkL3d8WkmEdPKYRhTTehp6KgnOaS3ZQ2H6yoAl7 -x2k/VT/4XLu6eHEM7rBVY+bSrYorjfER8zhkd5vL55fSPLNNGcVn0Zuldvh9Y5XFHLsTjNCZY3Le -dD4v5q2M8W9ncQTXVCQZaytGIG1KrW5/LFRXLDx/JnCKyOg8Wd6L+a+TQIu6J/Oaf3b8+czCPlvc -N/7j+XdPy3wZ4k6Z1qcEHFZuVvpdK03nG/4agef2MRAQ4FDf497QfToEeWxSN/LFPUav0G2iog+1 -O7BzK1u1jhiLff2oNIYptxS1x0JxbnFZXNICVrYyHyhjGww8W6yRQ5dhMZCAe7KYbnQ5Kcn5Vbs6 -9Clb/dO3tpT5UFNL7bRnC/IogVAqg3YOYbltv29kXqhoqZ6l+yUcwJI8nLi05eL6ru9+KX+/P2Z8 -lCz5ZmlPfXCTIT8GK/PtHcicPOwNy4aX992hx9F3Pta60o1px1D+uSxob5fM+Y4+TlldacY033V3 -H1xj2mFjcAu8eXyoX42Rh+vlTebSUdXHYDhCn5XGR5dMnMMgQ6//GJlvSlixkg/NYyWZbylqg6BU -RqlP+P0S7xgzBrrSoEsxCiNz3p5cYARuJYRf0Q5bt+gfN9rtzHBAf1MB7OF0VxyztH//URfcd6gE -HigfEonHqM2fvLEo7IPYH3H3Tg0J1+uHFVb0M+3sXT6mVxUKyWMEWj8Cd3Wie+ZnBNCkr2czy2YX -HS6XHQMA3LoWD1beiJWoLS7W7F1enpSE+a7nE7bf4zf3cZPBZQIXmU2UitWt0I6xOAxhVYLAyMkQ -VrrnC+Bt5FGqR/PKqidhSeal+6Xyk8zZzlH55J6111xjNFhXChtlf28i8zF9d0y/MKUyMD5KbS1h -5X2ir4zS86Xy/f6QzMfgMCZNX12SjBnn5uPurk95HycN/cLv7U3mJT2Qxug2unaMzMaMwW10DfUv -BTRwndenu7eROeU34zzpbvJrydz2Ozhxd5n36b7O/pX0qYu169ldyKM0zsZgVZKH94l159K8boNt -zbDa0/xic7TyHhrnJnO1caVj7m28b6JfXW9u044x/WJI7qXnx/QJZM6cv1afH6hUw6vEF/8m/KO+ -kJW9UiHmFqM62XlA0L5L3YNr4uHxoL8Zh7bPQok2XVC1q2aupspMPL3hYPBfirEx6wSeTqO/PZ67 -u9NYZyILy6Dz2h9x9+JQTPgOKSTk5KNYy6lMikZYBRShHy2WpV5T8DqDmJZ3adUBmPgbWVz3psUl -VTL+/radZtvnSzvLmQDW9Wsf3/ofKce0Y0yaobJLz5fub9Ku9jMvUUapnpL5YDVeSualer4EVsdS -RglLk3n/K8+SZaWU/c7uH4o8tq3HmOfHpNkG2JLM7Y3tNgWkZ7dtx7bPj2lCqYzS/TFljEmz73JG -ytz2sWyzSNl3O8ZguW2afbUBvvinBIHrC+HG53BNeKQAv7ZVj74X17Q9jroPt2T3KurZuOa2Dcue -b+K1J/LdkHI+s9CDnMPOM3KO5d08FLSoqNi38dquMgKlgqQrxiZVJV57dYN1PXFyfI5oB8SdHy6t -1Nm02rt5bYcYR1aBQCAQCAQCgUAgEAjsFYFtSPteK3YkmUPAMRTrjKAVFmIZhqtr8ctk4Yd38n29 -KRWXGvho4po72Ziq/CyqTPKRtAOY9PcjVnYIO2Q8kXaPKmOuMkpjrjK6/6quMu1+gGVdgFb+OiLv -wLzKIBj+XfYl6UqvZY6kr0UzAoFAIBAIBAKBQCAQCAS2Q6CCgBM1zf3oc67JW+10iqqV0vBRMynv -6EquMvmbBfOzh7A3zvXPfe/dKm/1HXhLq7v7d5VJ9TVEIO/g4w3KG9YOT5Sn2xGckU0gEAgEAoFA -IBAIBAKBwBEi4JxyLNd0vr5z951EvP3QpyYcpDBnk6oFmNeFj7uRYqV3VxlbcFCh13aVyfvHWIDG -pjvCvhdNCgQCgUAgEAgEAoFAIBDYEIExHHJMmrWLF/FWkInJCa4xuMpgsIagE1kGTq7v2wcwQfAb -Vxn5t9/Vyfuul7G456WPsaSPSbM2mPFAIBAIBAKBQCAQCAQCgcDRI1DikaX7ewWoP2JMXSzW9teM -KtPV+D7AdulmtFfQI/NAIBAIBAKBQCAQCAQCgTeHwD65JuEgPcRjc1JqcnuxzzLzY4lns6pb4iHq -RMA5qKgyRtRVqbn+4MheXgEQs71N4Dl8xmJqEnuT1w37RPfNdbWocCAQCAQCgUAgEAgEAoHAkFEY -LgnXXBCvXX871/Tf3IdAL/fANS2qTAr7iLsM5NwIuv7mwKUmqkxypzHfduK+q3LQ34OIKpO494o4 -7Z84SUoVW+rwpb/0m5CQzs3nOoTpQvE3CV9JdEhib0bopBicgUAgEAgEAoFAIBAIBAJDCCQD8Uqh -ICdnzjXnCkUu5n6nm/BJrNoi7atLcU0OQ1RocjvFe6dcM4sq09iePXZ7iipj9mluqhIQ9vw6iKgy -VFCkfHKZVhoQcsg5JJ7lxa0qfarfkHZ+sxv4tj7lKq5AIBAIBAKBQCAQCAQCgUBgEAG4JqQdIzEG -brgmBy19FrfE7A55P9M9uCgE/m99JgT5rk5MfVK5VkQY6sYFKffQkHy2k1NT2idRZVLUmZ4G739z -KqT9s5Y4kPU/7hWvfTVZnZ1MVl907OynBKiOpV1dyAqve5NvAthdZKyBR3rlbetb7Xmana4GjxTP -t9CsMfIck+YttDXqWCNQkucYPRBYvi0Exsi01C/eVoujtmPkOSZNILk5AnhtQNohyd8S1/wirnkB -WT+VW7m4pU5UXclFxrgmlnh8ynM9vXnprSeTa4x/awS9bY3ON5+KvLvlfUpHaT3fyn1/xN3rSCXk -s1M9/vBZrxQcf7VKrzJORNrN70cXfj449p8mpor1/Rgv5MKahcUMp8d2EPPVXILTeqd6lECDuL/9 -XtAl87Zc6RP43vF9yPx4ZI7s8bPskiv3kDkn+3HtQ+6UsY98376Edt+CNM5XJ9/l7pksaTn23HeZ -M73t/DX97psUOY5AQLq7kXl7nCNvKM6ZfkLmI8BcM4nTRHzDZQSu/sYFu/6yehDXhLiDP1zT9lBy -iWvq82S6D66ZuK5vQm1CP6ps+gJVsO/0A/f1k1OpMtZ3nuPvVw0HSTB5nPW55lr92IJIn1lQOOAW -pF6rJY6gBeAZzkdaFfEag9cdOyHwvoIRKBCkoZNZcdkpndxqaQB/jU5mgtEPgxxizqW/q5WExcTO -RRoG+DlJP6jTKR0n4foksEZx1gH86troawrFetGP8vP8XeFQ6U1l4GX04Vm6T31oh+2NWBPvJ21J -WPRteG7K0EOdWHkdeu4PycUnc8ncajGXvFmwOVnjO2SO7531f6VbpjTryHsMVmPwHtP/B9s7Aivv -m53ySArOZL4B3l63wTKyftXXt8dg1YeDy5zxu/hQ77knNm9b5hrnKzYyofLYvLSOPvGykRdX7/jY -kc7bhcyRaS/eqd9sqmuon+tl/u7CEt1f0melfjOEA7pdMq8kc9PxGudmfHFVrPbb99RD9atOk8x9 -bhgz3ulbXBaFomd8eBteBO+BMdroEirsE37eyNQ3t5W59f8+LByrnvnDdT95rFsPZbk6kzwvJEtk -fi7Z30imjPNs+rU0zPVK47J/FpyjJHvXR711XGec98mjUIkSVg2nGJLHDubzvmr6aakzcc1L4T3T -IIMkO9/kb/XJFT7w1BXirK+qe3zdE+EuyaF0/0lUGeUJZiwSKGiRospMTeG3osokzEy+CaOesvZn -ce8psHKl076PEoPAAx7KRq82VnqtwcWqaXun92T9YENAJaFWCw2iViVceQIo4KNZu4gFyln3bQGy -buQbss0xaFZgWV3a7k3D7k7PkbZ2QH7SShP8uiYGKX5rh3X2HqWqLFbaeV09YRylnpu3JZUB2F0T -6UL3WSlrgD2Th2fj7SCDR+S3RvkpqZWh562MLixM4egfsOi4XxNql/ka5ZMXZTJRWxWk3JM882as -pj/IG4tbGwObXN6OPqxM8SqRg/1MJrW8TB6UvwmRpO6yfNiiuyePlRGoJMpnZQg06mm763v6TQkb -+q2VIZkRWaB9+Ti0fpEiD+RpbDikMdTXd0fUwdto8lV2Rs7T5f3gGcZd/XOoLJSq9ZcOfUReqe92 -yjyVVcucbrGBrs3LsGq08Oa+3i428ljqfle/Yg61MdYhjxLWjqmwqBp5deg0dD9zZlcdrJ6p31E/ -2rGuLPJ65mM4n1v43tvv/bCji/Y3uZ7UTWbSV53q0GVuVruOdjA+bB4SXrMeeZQwt7onXeL1b1Vm -5fIw0vI8wxV6AkKLPFpkt1S83fc68LeTtvaD0iXo/wpu0TXPtbEaVXDKivYmXWYLB/JqC6Slz2vj -5QYX8zUW5b7xcYre1ECDrHaNL8eK+z3yKNbKsTK8W/3G5rqkM83o0j15WL8bkkexEiMS9GEM8MY1 -9fv2YbJaKOG53GfENW1K7JorRhT3JAk6VG/c6v6ZJM2CwTgZ84DmAG7n/u38bY/onvehDvXl5bwM -cU9z4+RBgr5HMap4WZqe9V6szvgeUfELjQKb/KnqWlqtDLNcdQYvA2wgjb0g0H1Timte1jkQaPrp -0iXtfDcph2qV2untGOggNQybsLeEy5gy+hSuQ+vt2BQHsB4qg/slmVOXUj2HuoLXnbL87Uqenvuu -+IF707Z6O/qe9/tDMt+mnd4mf8vWhYnJozBubBLYot+ZvFLfpbyurLyMobrYG8M1x3iDQfYcZbQx -d13g7lElTPqqsYtxvguZN9auHrxdHn3t2EavtnXFplhtO87bY7prnNHOZHHfuG+V6jlG747ReaWu -74aOTfGm7246vrzMYh1G6JJSHkM4+DjuxSDpIlso9YyNEs6NThngHS7zobx2Oc6HZD40v+xCt/eV -7Ytlwo0/wDUh5krs9hJzS9F1I7D+UkVOlO5MCpg3Qyf6Dte1XVy0capCIevmUSJWbu47qSJdrjLc -G7mi2z9xx7rHqsYtfFhMAYn20A4TcHpt0XzWV5AbANcCsrZU7gLNlI+s5J34+Jel12Ws2Na1tFN9 -z98HOvJkYUJb8wpxX9/zStVcZNZtu+UlMHOC2NVgcBjKmzbS1m2gL5Whela2OBu4cnlsUpm2vNp5 -mDwyTdNRRlXqE33VJy9TVLWcsbw2Ms3KsVeo0iHmJ+npN8G9hFWxHSPkUaoXZSDTPlmNGT+lPEp1 -KJXhMu+rJ3VP/b9UVOd98seyyziuJFPcJWxi/ZG6SuPeLFDc80X8un18SKaldqSyqvus/6/bYPIo -1mGEzizqinLFiuNU9eyFtwurdWVBv5PObmTepdvdDuXjHLmvU84Yvdzuux06b6M5LBdBrjd76l+S -h933MbgOBl6Ptu7u6iLIfEgfFXViT7/zsYPu9vmav9vypA+41d30Uspv3faW2lHConS/PLzK47zP -7TbL+4nMx5S5Thrisn9IA4wxMAdwfYcboePdNuQxDteVxWCdkiVQXL0m7eQPYVchzYFMznmVyDet -NlFleMBXGt0F7Y+4OxC4adzeT1YfVdHLk9qtB0Kuv6q/uafNA/i2c9FeXlXymzA+tNmV3DrCO/S0 -+D3iw2yvkwCk1XHUZiNyPpmvS9wPvf3vsX7oj7u0okae9OtcWaR+bnLneusy34Ui3EUepb62zzKY -sDXOOSSv0WV5eYm4VbeSuZO4Un3j/mEjYDLX0Mba1qfb870MQ4TysFu6u9rtcwx6LfdZhsucOd2N -ci10qoesP3S9cR2L5j7bMbYOu0i3y3Z4XrhCzR9rF7ALcU39NndrWYirOw1G3C6Na+oBCw6i33h1 -8BX6l58XuZyUw+w3u/ZH3L0+rHo4aAnTuUL1rH5i5SPSDohXmBj1Ua8tVsJwBVn/JT3IxhtZgSpi -ur91EtOWDR0tH+DtTmyrG/2ULNGbyTyeemkEmkVsVnCXzHuU/ktXN8rbIQKlcd6W+S4ntB02I7Ja -A4F1ZB7yXgPYA07qMm8b4RoelOb8A27Cm6+avDkqhRO3A5jEJW1PDVxThuPJtQQD19QmVGKBrM51 -cyZu6SeZcp6Q78naGgh1BjahkjebULH2P4qks7+Sk1S5sMTzpoeFhEeVIaoilnlCqBPIYNlP7PdP -3KkkvP1GjaFT22sKVZCIMb7CYaWEdQJfT7/wfdtZRJmtJfE6GfQpgdepTZQaCAQCgUAgMAaB0N1j -UDquNCHz15cnrthwTRZScE0INKTcuSb3kh3ZKks0GUj0rrmmhXSEeENsRcZn6W27bUjVVxB0XMat -z6TK+UFMtMES9bvLvAxxVx3Mn+665ffSWBvwQdO9sDD/6PhhiXl9JRA1CAQCgUBgEwRCf2+C2tt+ -JmT+uvJL+JsPPYFQ8stlY/todG9Xm1BLLYb3WmQZ98fhARFyc3l363vLss4GYkW/GrpehriXGhf3 -A4FAIBAIBAKBQCAQCAQCgTePAFZzkXXit2PRt/jtbkEXkbczN3J3Giz/WOOxxOuZghE7iPub7yDR -gEAgEAgEAoFAIBAIBAKB10cAHx0Rcwzt6QTX2rddX1hUGe7pb2K6G1lPrjLuD2+bl/vdZLh72MQ9 -fMZevw9GDQKBQCAQCAQCgUAgEHgrCKzLHddNPxqHocgxkPPNIsu8LHF3cHpBajuJpZXIaJAiYSAQ -CAQCgUAgEAgEAoFAINBCoOGe++SaWVQZYgFjdX8SVUZl892DrO9dUWXMHV6EnvCiPdfLEHfVceVH -SRMiR68LKuK7c+gHLkCEvyGeZs7T7TUD4Xv0m7QvF2Qz+nogEAgEAoFAIBAIBAKBwFtCAK7pfBLS -DNe8rcOOE5F8dap/2PfZyzVbm1o3aftMXNbdX+wAJhHwJgwkFvbkKkO4ysZVRmkIgY7vu4XEOYTN -qdSBQ5fO1CAWEeeqILt6HwUS7kCXagFg2ylqaigrDlYi7MYl/mZ7h/AmYMYzgUAgEAgEAoFAIBAI -BALHh0Ai55ML4rhDLHV9xJdcRBgeCTH+JF5JGEbnmpwdRFK45pXSbXM4VheinVFlRN6Ty3t98BNk -PrOuw/W50rmkXdnu3+IOKDrFasLJqQpzUymO5mp+qpNUZ5PqZ91T/EwLiq8/+T25FngGJKujSmn1 -PZ9ZhLyny1eE7Tc67wmD99jW5lXee2z8kbY5ZHqkgh1oVsg8ZP7+EHjdFsurY4URGEu2vDQ45HP1 -SVxThy1VlRg5Hh4Wt/0H11ydilh+0QMDJHntRi3gsMl3HQ8TiLlb3i0EpFvWOXApHcDE2UZ+AJNH -lcnfCrQqsT/i7oXOODF1rqqqMf+W38sNMd11uhXk/ZJG6bPiu1dXasyJPrhXDCsfnbRaR8s/sgts -OGwKUo4rUFvJ+2fS8PeuV4FHBufBN8flaSe56Yc+TrfOF2W5zFlxv7eF6sELccMKInMuZDokc+Tt -ui8W6xuCfSCPuczR2126nTfQKfCE6f+Q94EIbotqIE/I39Bc7fN5yHwLoDseda4J4ZWRuMJD46aO -1V4txTV/mU9Wlcj7/cOk+lPfW0SXjGuahRv3ll1NunlUGQY3BL0jqgxkPo8qQxraYtV49agyrD70 -Y/wbwLKJzP+msnYsbOr8cptZuYWeFdKu8Nxtd9k8N3yt5lqFpfZX9y0hodhPv9vbhgn3kPuxYbA5 -em/vScauJvPVmWQu5V7dJnnnMk2T+epcafS99YlYsL09Wec1zmXuY7wtc9KgC0Lmb1vWXnuTJ1Pd -d/EDjWFfkPl9dD/WOFxHkTkb0EK3v23Zs1CTzFfInFjd6O1cpngPJJlXi3o+D5nvQeS2eHLgnWvq -S04i9cWxkfSMa55pQOJCwz7Kx30MRPd76WovK71kmTeuTiVVt6FHUjb7s7jn9TQ8VCFWRPgUec1y -peavKtjE+lkjgVURbjOsnHZ94eNEffqIkVlMEKYv5VoVcJ8o/Jc2kXVyBTLi3mVVT4rASuV+W/mP -xcPaqcva2dGWph2U0dNW9h5wqw+LUl1KWPl922Xdk5m3ww4tKBXYc9/aMVAGPm7WNXvKKLVjqFou -b7fE2YIsydWf455b4viOZzYh7qa81JZSO4p4D2BVEsEYrErysLcTasemY4w67mIc0/c27XdpwWZw -Idv2hA1OO5F5mqT6sPK+PajzXFf06IExMrd+N6BLdiGPUj3oV7Sz7wCTUt9sxs9AO4bqQPHkAQ6M -87vWOHed70YrMNlEp5XGh7eDuvbp7pI8SliXdI2NwcJcS98kn63GeaHvlsrYVuYuU+SIzNvj3Pbs -pX7hemkbmePy0XWNnku30O2UO8QJ8n7XpzdLfbfU74buO+kl2An7JJ1rOmR8lbrk5EJ/4POOvrjR -g5tynM76iJBrjWaWdsr28m3cJ061UBo2rRphV/nflYg/R7jtvAxxzxq20mlRFeBicWhfdIjPM61O -9ftWPkpXIu00OI3LbeTZPGudW2VIW9rRuPnlZVH+UgntTUEC09ORBg8fLULM/aeP8HZVlmfNmp5u -MnjzjpTjRLNljVnJ8l6TOHrByMvaoTawOcA6gQrqej2nibZuB0k6FkjgoPsrswzp/ibKpimjD6u6 -DhP5hVXtge7yyNvRlscYSJT9agoWSeZdfYr7Nqg6ZK5vV5ASsAKMdWUObqm/Y3HxXucbzyl1xcob -azz33T9uTNvaaYykqSEcmww5z8eO9d26HWZh8NeFeR56fsWueMbHJoQ1L8N9P9r9xuRR16W3DPov -9QTvTWVuR01LZl191yc5K0N9u8uNBSOCRQhYU+bgSf5pnFcau6tpbVmpVj/GsfUDcAAfq08SxLo6 -jzpaWR31TPrK2kGfoPfl+ae/a5mrfptE8HKZpzws6EC735mleUAehlnSR8hjE12DKBu9DBwt/W5l -nCgNcugoI28HVUUnrisL5Knxa7obmaOGXeaOicvc+wnQt/tfPibbf4Oj/je9qfFR5e30JjPOTeY8 -3NEOHi3JY6gOT7BKY9Q6UPaQlZHmyR5dYnrZ5bEOBl5Mms/tI/27U+aaw6yMvvGRsLIhtIahsBk7 -aWwnmVcwtrzfgElGxlaoFMpat29JJ1r/pu92GXUow3W7H/zj8vCykm7f2BjBQszma5V1n7DKyzCv -iqTIXN+0+tEq6fa159Kh/ti+x/Snzp93xyYJWBhpV6eANP+tMSR37bXl0VufpLy+/9D1tWVdBZvL -DH926KYn+ZE+f/5pYfsn7kaIELQa83A/qbBAMCHSk32yQrkwyC8EpEWcYRPrLoGk0QmIhfKHwHWB -7l+CuyuBdkI+a9AYabfQPWte5O0TNY+mubSpEFn6qnHEyquzdNcKYO4TYFeDVX82cPS3Q5XR4BS9 -2mwipXJaHBlWXRZ/a3+qg2ORN6hRCKkdKOVOwRVkoMcrXqE5rp1YDN+3xaZhtaa8vSwUrU1k9aT+ -g73X0Nh3ytsIrfW/Ncvx5AZ1mqC6+i591tvRRY6Qh79u3IQ8UWaOVWcZyCOl6ywj9TfaQV6byhxM -aEtPHQwyykA2XVgZCdgEhCSM9CgyfSLzdNsWbsjcNlOlH+6t217GueXVoY9cHjaJesFZ30plVeRh -fW4DndYuoxPL1Kw+eVjRSRds8qaJ5xnn9O2hNoj0VPeqYFcZ1g61vw+rDLbeP8GQKnj/djgbXVbL -3BZaPheswRXrcpUpbfXxkVfGy6GMoXaYTkygbdLFKccMXwNj1PWuFcMDz/tWvVAkL/2s2++93fTd -oUtkvFop87HjY4ycSeNNou5Y1Nsyb+qXZJ747MZur+hm11dddXygQgmLrjFockj3e+RRbLrrdm9/ -u++N0JnWfuu7G+iaUgXhmx9Y2EjmjCuMwRbdJJVF/XHFhrRrEVLh1YGBuKs9pbL67j8JB/m9Lrse -bPrTP6teGM7ycJAsIhr9g4W3/9o/caejyAJTr7IE1J0qaw3TZ1nSLE67o+Z1dR+xTQdyZ3t99aLy -SxPDmFcmY9K065ENdPOF46L9uTWcNAkTrO1b+TqXLMM2cQwMHp9YNpnM87aXsNr2/pgBViqjiFU9 -WW58GamQzNEhfTJnfJtrlNL1veovVaD0yrkkc/IvYbVtHYplJKy3wZsyiliMkGmpX/Rh0cyfSeZO -zHOdlvSQWWTbfrEljPP7Y9pZym8XMi+VUapnn0tfKd+1dA2JCzpvG32ncYvF9YnuzmWe7lsR9BHC -InOtM9f5uBjU3SNI0bYyL47jMbpkxHw8JP8x+qyUZtMxnuRmep238Cear+/SWM7lie7nexeJ8491 -ZG76LOm0XjxGYLmtzEtj2PXuoMxG6N11xrynZRHoocN5M8CbWrgmc65vFPe0bhSFs7tc1pVHr+5X -PWZaIUxVCD8sFuwAJvUB36SKxV3eJxOizrirTDuqzAAG+yPuDgId5VqoscI5UyQZGmSve1Sra/0N -2PZ6UT9w2Rw80uwKzE06wj6eoT0MQCdmPZZV28Rip2el9PuoS+T5cgg0k21SIl0lmzXj5aoUJe0Z -gVzmfW9QfBKPcb5nYbxg9hA15q4+A5GPc34f4xz3glAfTFG8hWe8D8mcRVruJnUwlX/jFXGOyBuJ -K4UWJ1ohfHOeuCYcVN/b3Goumqm9zjv30vxUKQzsXOltS2Nxty9b5NY+6gGbN/rdZLi7P+LuYKCY -bvQPq4nPQooNA6w2bvVZMd2fWD84Aja9SdgLloeUaT7AuxYntroeFt4hNSfqMgKB5g3G8zFrT+f3 -R2QXSd4AAmNkWnoD+AaaGVXMEBjS3W648UXdsRmm3mtHKM3X+SL+vWK073ZjPWd/DRsJ2XTqXPMv -KVhctPM3aVi7zViy7WvdrkYl1xzjssmc/yQcJPO/Bv4TVxl99wHrPFy5DNT+iTuKSXWp7gBUH9yf -03dG56sl/NppUHtjRbkdkSIQCAQCgUAgEAgEAoFA4D0i4FwTHrkc4Jrsu8Ov3aOW7XzxzGJArP0D -rjHwWTFx922H01s9RYp7o8qUK7R/4k4HSoBO8G+3lU/6rl0/cxPwFcp77HnR5kAgEAgEAoFAIBAI -BAKBtRFYl2uWOfLaVXjyQNtpwi3vXftobKMqe6LgwFSs3+PiZYh7Tt63gyGeDgQCgUAgEAgEAoFA -IBAIBJ4j4OTdeedLY+RRZcxVBuu7KmRRZUTIzfKeNq6yEcY/Q9L9/BX2fa5eO6pMDlppdVO6/9IC -iPICgUAgEAgEAoFAIBAIBN4OAiUuWbq/dUsh6LjKsKdTfz85U0XfG6lPO1f9kCYOYOKC4+eLj466 -vJzFPdWpqUMbuPb911otbS2wyCAQCAQCgUAgEAgEAoFA4MUReG2uiZEddxezskN0ZWHnO84v4kCY -pn6eBoQg9yTHPcZDLPYj93LEnTrYwUvpasdO9Xseqsd2/Hax+RfvBlFgIBAIBAKBQCAQCAQCgcAh -I+CUET7pB2K1T962iC5qxF65ph+4lCrkni/EaPHQkBb8P/m1g6nFfFf6g4gqQ4WoOydYfVYcdxYd -7LJlV6+HQaMh5zqWeOZI1j2juleCW/yA+HDIvSXqFggEAoFAIBAIBAKBQCDwqggY1xSfFBGu4JjX -inqSghpOZrp3oXun4ppZJEjjmoQtN+L5qrX/saAYqMbLWNw5YvZCcSAh6IrnDqCTz/NJ9S0dZ3Wh -+x8FMi4/xNyUc/8KIn+qNKB724r3/sq4RvGBQCAQCAQCgUAgEAgEAgeEAFxT3NJcVeCaIujVpczd -1zWHXF2KhCq+ux2WJbK+OtHnj4lrLvXlPQx/20v5eKhHD28+Jhykn5xqFvnkI99Tlf0Rd39lkSzt -FTtm77XyYVUzPxGRV+0uRebvBa5Iu1nkWRndyBqv3baVbiOAlRz2q1uBeaxWd4S0jzMAtu178Xwg -EAgEAoHA7hEInb97TA8hxyG5hsz3JyHnmmfilSLm1VRfwCMh4Rf6bi7wlyLrj/VJqmZA/lZzzWqu -tCL3K9IpmktFSPJtuaZHlaHFlGmx21NUGdx2sPpjvG5HlcHST9kHEVWGylBRLo785YeVzbnA0sLI -/Hn8x1qY+bVzqlX2caeS31Y4Wz5P202WduLa85atTrXiEhwVp6fu82TFMe0Yk2ZIOKXnXcb7fEW1 -bRnbPk/X1jp1pZV0r0xZrErue5d5aSBt29ZS/tw/ljJKbUXmswGZ+v2lxrmdY7HhVRpjpWwPRR67 -aEdJj2xbRgnLkszR+6TBRrWtzKlLqb199X0pmQ/Vbxd1GCPPMWlKch26r/ncdPd9z3ztMpcltnro -j89drMK+21GswA4S7ELmXdXgcE9IOsT3VqSJsUXfu9DPx/oB2xsK35pmXJM0cM0RvuXjWg9vTRFj -ZknWHlUGLswGVCLI5P7tOSbUjToNcN/9WdybFgIQjESNofPKLcYqlNplp6pq5bPCIn+CC41ea+g9 -xoq/OeHqGjRp7EArxqH5I9WY1W8pzabKklqwYOEHPFjItC/K9jSQdtuou24ja9jtGnqWduzbUlDC -qnR/TDtK8Gxbhj9f6hd99UDWyJTnbzpkmoi9pXF5bbpgK9WxdL+EVQnrMfIqlTEmj1I9SmXwfAmL -0v2hOjCRnKVxziTSHsc+zr0MVNwmMh9TxxKeY7Aq4b2LMratR0mf0YZSGWPw7MMilznjuEvmLncn -CpuS9zHtGNL/pedL8h4zfkry2EUdSmWMkWep7w5h4fJ0HT9G5puM81303W3a6RiU8ijd33YuLfZL -KVLqANc04ygkU7/Rr/cYjXXzQjdmsrLP9PkDeldc08fhtn3S5m8VBoelYD8lFRLvBzDZdynNBwpM -0WbsAKZiAycvQNzdpAyY8iVSY2xzql+m3PTPd1ngjaCniQ4wLdmO/duNIGlxgMDukWTHdeqSA9yu -+8hDebBKWyfyDcWRNcp9+r1eUGF5p+dwoqx3Lu6rnlhezfLO6bxY3te5aCeLIS76jzrms4t28lrH -RNTh28XqkPvU50H3N1k8UIb5PenhrjpQBq+vbC9DRx3zdoDYJnVwmZsPW4/MwcrF3iVTx4o81pE5 -oFM+EGB5Rdb+pimD3OSc+sJqvoXVvdh3kzymffJI42MIq1I/BEv6DWWwS75LZudKw/gekgd58PC6 -eHv9cL/zvt+ug8mE+/zR07dtcZ06xbp1cJlrnCNzZEp7q8dsHJMmZW99A8W+yYQ+lS5ijKKP+sYY -ZVnX75CHjw9uY61at6081/Q76tEzxkweKqxLl+Ty2FTXUA+5YdZ9JrW13VfR/WCOvuvql65v6Dd9 -fXeo/7vlFZljneKNeC5zAknQF7jMCiuZr/16Hp1J/wXLHnltK4/SGOd+jlVXv3N5oAf6dDfysjG6 -4fzifddk2YOFj48+rGyeSw3eoO+vziVPycPma9fdvhkyydlkzpyO/qestL2vuIjM5eDjB9fhrsvm -0tSvOvVAGqdOvzZoq41z5wxdetPkoTQ+trrK8DkfeezcpSIVLE6xkkuDcU1XR2BOX6NUfM8xv5tb -S911NiMXnYJQvglkKxvZS+6Uaa4z+tIi2yQ94PHbjdSnvjFwaipJXoC4e8MQpnyKFmw+1XcfAFW/ -NW5Xp+ptmiArNqFe6YeGfcE3ST7u+lgtpNk2IWzd3bv8rRO4oVcnyWVp7Xq5gijXYvsU3o6eeXRU -Aamjj0rbl2jbPMbIY6sK6mHKcNelbfMaen6oH7tC37aPOF4vOWbabU6cuxMKbx9496WjDY7HPuXh -5fdhVbo/pm7oEZ9Qx6TfdRpw9jG4s9fBHZVEZtvoml20e9sxnIxkW7fD+5OPxWwafNbMtHbcqPlD -7d23PMZgta3uHwsKbe3r220ZtPP08bHt2OD5Lt3tumxsWzZNh283hMp2XvZdqiALqU1Ie55lL96p -sXa/px7eJ7Ydq0M44a8OeTTjjy44Mu5pZzVRr+CZN4+1u/bP+ocFpPZb7mZzKgUmEu6KpK8P5u4y -WN4xFoy4Xo6426oGsFSxs1YrvGNTZ5z3kTero3OAH9GKdZIMrcw9H+/Uff2furFaZIGxzmUYpLZp -dWU+7iye3ReLvEhD/mq3rd7xh9uEgNkzhfrRSawdPY0wHFLhm9SBbFn5D2HlZfQpkrwdm9aBepQi -E1H+UP6ldgz1A/JFX2CRwbJ6k2SaDQOTMzLn/qYypw4eB7a37yaZ9vXdMeOj1Oepg+PdVw9zERvo -nyYPHl5zjOV1M2u+QO7q396v7K1ZX/+n+xf6RR8W5Im1Vb7rK6zu7vuaqz5vXkq30Tg3mSsj0xk9 -WOWxgbvayneG9Qid0dfeMfGHTR49YLs8eJW9zUW/G9LLWHaHzJw5jptURbq8km43mfOWlDxaMrfv -Geu0k/LaaYrt15OQxCGL5Vh58OZ5k3Z63UtzoMujr4xt5U09rIw0Vruwo28zx44ZH0XsnyeobrGm -Sp4D49x0Pm9jluoX7GfhatGgYtHooiG87G2d2tpH/ly3b/rmmuwtb8roG8pJb9v9Hn20zVw6BJL3 -MeDl7zuV/0l/8MbfMXE+bW/OlcbfdrGY2RnXJGMBIOO0WdZxi3n8Xve/EyqQvmu7ynhUGYw890o/ -YHXfP3E3OQOKUGG1YxtTYTGqPN8/6kfheZpjXgGPDk3l93UBWJrTO4sYo8jMR2nDCvKcv+3qysM7 -FNnbImGLckodvdSOElZjqlYqg8UaV58i2xRnrxvPU4dtyrDHt5S5+9BRn3abXOZu4d2XzK0ZhXaU -5FGSubWtR2lza7Q8BvIo1aGpwkBbx9RzTDl9afJzKkoyNyK2YWE+RvvGyZjxUxofpaqNKiMJZWic -PzkavFRox/1S3/b+P0SaxrSlr2rm/qUfm3wL49zHwgbNLI6hMW1geLUPp1m3LqVySvLYRb8rlbGL -8TGEi+vuIZljpPN9LpvuaXC9OdR3+1yWvP7bWtpNjxV0e6mMbefSPlmYq6CAxnINx2SQsFmVP281 -MM0YrB8++9siGdob3rHtG5e8XvDb7yLf3r/NVUYJzMIuAPjbg7a4q0wjo/Ig3B9x985FR9JridVn -IaVIMpVI+gpgES6vK7iPPxC+pHR8heUxawSrJFloKl5flJRDuZ3PUwx1/jH5bfO82tO8zepZ5ZnV -tXdVO6aCI9OMaceYNEPFlZ4v3R/ZlMFk25ax7fOJuJmPY98lxbJVxIGxOJXaUro/tpxt+sRbKaNU -z5JMS/dL+fv9bWW27fNj6jmmjDFptu1X25YxVL6Pc7e2d6VNxpitq7FtBts+vwuZ76IOY/IYk2ZM -e/rS8KZlSOZpYT6o/8eUv+92jKnDtml23QbPjzd6Vwp08lkVhGueJa4pL4/qRgOTsQmxh1RzrhAE -C+IOH+XZnq0DazfX3HOUn/63eO5mjE6+1fBeDwdpaXTfLif2VEsVXVGx/mt/xD0v0wCVtuLEKnba -qmLmY8T3wqxSvE1OVLXTrAjdwyVLQHNy6trIvaEHhhYl+1iwvCFooqqBQCAQCLxJBEJ3v0mxbVXp -mMu3gm8XD1d34pKQSg7wtMiE4pqQ9vRmqbrRoUvLU3FNlUZkGS6LKCN+WnpbMbaC5nbIokD582Ou -MmxKFTn3SDPmpqzv8DYx3/a0iZYNqgTrcBeenjJfhrhDzgFlqSWNb0zA6uArJaxO3COySn5t+up4 -LMCRLhAIBAKBQCAQCAQCgUDgbSOQ+GSFQZioUXBN91pouKZI+lKsOOeaO/dswD0HczsXv2VVd9/2 -PKqMGeGT6wxJOZSpiWQ18GZeSV+GuHt3yF9FtF+XdL2m2PUrlbfdLaP2gUAgEAgEAoFAIBAIBAJD -CDif7OKQL8k1nb931bVvM+wIX/uXJe5DRDxIegzEQCAQCAQCgUAgEAgEAoFtEHh1rgn79qgy+pvF -Am7r/DRkXt+b73u6PKpM9lUfBC9L3KkFle4Dtb06CTK/TdeNZwOBQCAQCAQCgUAgEHh/CLwW1yTE -KhtgLXh8IrVmXWczqvPf9EcTxz1tTiWZpeXZfneZlyfuY1dCQ68Y3l8XjBYHAoFAIBAIBAKBQCAQ -CIxBAK7ZR95zHrpzrpmiylBHi98OWU+V8agyHmUGgm6RZUTS/QAmzmc4iKgyBp4qbkeIqxV2IEJC -y8E90R8Wuz05/mxz5PoYoUaaQCAQCAQCgUAgEAgEAoG3j4AT8BLXnItrGs1Msd7t7IUdsneLKpMI -O5FkuPx8CkJBEjnGzoQhTRbfvXGVUb2cF/dIZf8Wd8eDwPef/FQlVewv7ezlFFXuQ+gVKnJyQgD3 -FFNpqrR/C1GF99n4YJK33xWjBYFAIBAIBAKBQCAQCAQCQwhAwOHiHL70iTCL+nup3woB2XDNMzHi -S3ioftvBoPobksx5QTfimrsM42oEXZnbIUxY1jPXl3ydgKWd8DeWfty1f+LO6kekfWWkvZpUOinV -Ymjqc7UUoLwVgLTrx8L4XOsLnPM/KQ7nef0+w0JF7hLQcdhEqkAgEAgEAoFAIBAIBAKBQ0dA1uzV -pSp5VnttVPLaWOHhkXNNSLss7hVGY8V3X2E0huiLa1ZsICWM5NZXFg7SSbuTeAsHSeWw+rPSEKH3 -k1PdVcaiyrx2OEiAudQ/nIR6dVOvfC5U6c/67jOWdzFyDl6yI4FV4790H75+ou9/Uhp8gvTYUV2s -tthdTJuJVW+uRK0WItw8DulRAfBOG5O8wAYXoSxq4/yC4+og6ZC+Z3L3cT+mXxwXIsfdGtfdfePY -5Q0K8IS27j9udI6zdSWZ02qXe8h8P30AAo4RGL36b2K16w9OUYVnQtjhl7iqcN0mK7wO/7RDkOYi -ZHDO211VrbW5tK3rrZJw3SyEDAR+pC7Yn8XdXwWw4pELDJZ2I+G8ERBmK61EVgSch8Di27NUjSH5 -fG5IK8/o8zFZ212AuA5xsbrqWOStZhI8b3EetPI6pvbvaly8tXzo0xA4fqMcupQ39xkDnuattTHq -+xQB5Ohyh8R1jWOXuZO8GOtvvxfBHU6/aw6W7m6Td/Q/czXj3Oe2LsPN20fhfbUAmc97ZA4SPs6P -jc8cgpSda5quFdf8Gw+NxDXvZVVfyHsjRWa072HHcyWGtJ/qb8gyeyo9n63bhJCV2QcKrf+s/dkh -5ljS9SWWeJLBd91VxiPMGJdvEf9WnfZH3J8UlBqSXmU8WVTQliuB+3laE/lf0GisjGorfDUiGP3a -OJsFTLUwIXZcvirru28DUc83p1yNrEFG2o2Yc4nAm4L3CZs0Zz++X1V1uup++NXJcDu429FWWyAV -2uFptjkOuISV3adzbyGPkghKZTCYaKvJoaseut9YwzcY4UmxU4bJm8vJu8tcXR8FM1HfqBYdk36p -jdwf03dLaYpYlSqSsCRZn0yHyvD62fN98ijVIWFhb7W26FclrPqqkQg7kzmvPT2ogB1l7ReqDpmT -hvmDMb4JcaeO9nYuTQbP6rSlPEZA/aPfDcjL69krDz2LPtqnrhmjzzaVOU1Hnuc1gVudZbrbJz2X -dyLu1VIy5xRHnxvGYG3j3LHqwfvJGBro/0PjY0xdSliVdD8d3/TqBjo1x4G/++aQosxLun8ACFXb -5Jzmcf42/c44d5mj+0mDIQ69bpsht5F5QZ9R3dJcOpRmUO4jdEmp75X0wJh+15smKVD1O1yyKwaK -bwzVfFvd38udZi6d61xTGdFHR8ROH12tGZO8ZMTwt4gxuuzkVP+se+Yqkz6Tlj7jXIxFhDH6/mv/ -xJ1KaMVjvVgNqvuyvkvtsYlKvu3VlUwTNJikfCnyuhK5tpXSaMRGJCR/FhBMlO1J0pWn1SGxuEdA -zvIljSlftYWwPUPkvqs6Vn52wz/nVnfvRAxwlNq6blfWjhpv65BT1TNXJF68OkrdDiDvKIQBphWs -ZbfpPgMG0BBWyILVrzaKGMy5TBp5JMCsHS15jBC5jV0bTCojrSGfdSq1077rwcr2ZdAOKriOK4u3 -IVnbUdz0PSPwQJ508GqqAWF9Xfe9T6xTTiNThIXcO7CyvkvHT327jbd1e8nDxmGHPMZgncaHWTQY -H51lIA/qmYZWexwypqgnMpHFpLPvlupiMkeekllX33WMrQwJoj0+aAeRrsCCDfMbEeokZ94wIl9r -b1LgiD71A8ub/kGZG03oqqORnw595PJwmbf7f+qf9fhgiHiCEsDZffJAz1i/ow3MkK37modsDKnj -9+oS+h4WMxTeJn0fUfkY5a1Wl24eKuPJ+OB51cPH71g4bOGNLJJMfaHm+eQyJ08wAfJ1dDx6mbbS -d/Ww6W+/kj5p5MH3Xe0ojY9Se9u6xOufyz3p3cqVbscYsjbgSQsAXXNUqR7WpdL8YISn45qdJBKH -7naAUrp8fNgiZg1BtHS7ydzGQasOpocyEUEo8wX8mDZaO9P46JuLp+hNDTT0QFseTV1VGeNkLRzG -1iHNg9bWx1SItzfXmdbvuuVhetnGeYc8xtajL50benOuCfjUzSIVwql/cM0VfZNAKOj6XV226RV9 -L13PD2V7yMcnFnelIaqMW9zdVeZUMrzT90vd77n2T9xxlWFw0pmYhF2h4SrikyeVM0KWFKV1Uv18 -hEDou1o/7e5CuLZpoO/iXqFAi7W5ZpW8b5C1t709QaU0/LLJnol+07cOHqGn73m+t8l+oB19ynB0 -0wVSCSu7r3Rd9bBODxEQIpvisGCiGyIkoJ0Kx2rZdfG9EdF1hZ4yS9nbmxasbFwm5PSbz2ofr9hL -XW8Y+tR3B7FKePdlZK8NN2yntcNl3pOH9/8hLCkfvPvkMar/0acG+ndTxlBmG8qcxxjbLPKRqY/z -9vzgi3PSb6rjfILsExllMEENydTH+ca6NtOZfXMgshxaBJGFyXyUcJ8nMswhEwOTcKmMBqsN68Bj -LM5lmTLraj7OPcu2zKn3QJU7a9K0oyV01ylgbTJPOqYrE+sPm3a6Wl+N6leDqiRxgo1lnnS7NaWr -IN2nzzH+esvYEIckM5cz83WzMM/lSfaUzULG+kcSxkYyV/9mEdAlNp/PS+O8b64d1eVdt6c+24bc -dLtXrkfwo/TuqMo8TwTftEUgMlf5GIDYU4mbCrhRJeeaPg+xGOG5Z5bcDevgMjajuQ/IlJe5w/Th -wr2kMwpF75+405lSpzXU0KvN67VWJ846+BOr9Bb4PXvUJkhZ+Lvy9C9tNZzAbSfks5SA1r6b1cr7 -dDISmJWhbWnwSZ7XqdxbV7danRlgWRW7GgwOQ3mjCHV/Xf3yBJgSVkN18IKtHT3yGCUFtTO3cDxr -UBkrw2kTK4WX5UQOqzpjoKW8bS8DrWSxxnhZV+aOwxBW1ncL/bbUJ0p4U8YIrCyC1NC1rczpt4Zh -TzkNUR4Y56n/l5rce5+skxWuepR8WzK1+mGr8Il40wl9qJ4mj3ocN1fe/9PfRXkMgZB0Yi/WqU8M -yoP81Te30jXKoh7nA31rqIwSVmM6gvcr8sp1d64HGP/m2rThOC/p5fYY7NT9hfFRamsbq94yhsd5 -leujjYSv/Aet17Vu78261HdLOHA/0+217ms9hJyTzOt5ZEymHWlK4yPXu+0G++eS3i1VLdfLpH2m -S0ry0CPtPEpljr1P+8HaplLVA8zhUC4jX7hRZ++W8DBvw3BXHVsLpcPgnDJbpUI7o8qQRvO9R5XB -VdyMXvqxTav9JH5/xL1RVELz+lF+f6rH5xMznNrf+sMmCywspDUimx66ONVkpr+1yaC6lpQ37ehr -QP1iSZEVBmRekdCBu16N0+Hwi3XFfkztfzGgD6ggZG6TB6/OktJoGwZN5rqNNT6lP6AWRFU2QSCX -eSLpTya6Lpkj+40IzCYVjGd2joCTRCbqHplXU91D76PfnXSGzHcuihfLEDneQWmSzNvztVGc9Oac -8b2pS9CLNeiNFeRjBz6lWOwW4vFCXJM3HBfJTeZvfYdcSOt7HGkm0WbgmrfJSr/zpkO+Rc47L3tl -9eNOQ+DLldgfcfey8RG/ST31ozYF/FTXteJVBjHbkw/56lzvFdiQCoFPTvrVNSF9jmwia1a+mXDa -SpvPTOptcleWZ6Q4RARyxeL165L5NtaYQ2x31Gn4rRd9oC3zIHBvv9cMvelEvu624UTi7bf4fbfA -x/DQojsf5zHG99Nf5HNfXeMLIRKpEOMr3GSgj7cCXzHbjWvKl31FnHes2+aurDTsr7sS2dpkX01n -SxC2yPoHkXbjsiLo5uNO+RB5fddEldHndlQZ80gh/WtY3HOCgoXZYrGrQf5aGDB55UcdcS9yy7sl -U0NtBbQf+b6JXN0y+yYqG5XcGQJhcd0ZlAeTUcj0YETxYhUpyTzeor6YKKKgd4KAGTzlbgdJx5g9 -1T+MMzbLN4tk3Sc0uQdteVAa7kPaS2N2LIxPosrkrjKUkQj8UFQZ26fz2lFlaKxbljhSNvH3Jxi4 -EvP7eZr3vDp9z20fO0iOLV3I/NgkGq4vxyfRcotiHJcxOrYUIfPXl2jzRqvANT16ENzTCfsu5fdk -ETBuw+k64O3fVaapjVoyZGXYZOPfOi2NtIFAIBAIBAKBQCAQCAQCR4zAmlxzl4TdjM4iujMz+euH -fQ/Jx519jfDcE/zuqaPS8LddSoNLDfskstChfUJ6QeJ+xP0kmhYIBAKBQCAQCAQCgUAg8M4RwHke -4u6bUkXSm0Op8G9P/ut85wc0gZhHnjHn+2ErfRD3d97FovmBQCAQCAQCgUAgEAgEArtAILmWfJDp -fK4QN/jUL1O4qYuPKSABGzhFzrkPycfPHvca/OMv9GPnHkH++fL5FcR9F3KKPAKBQCAQCAQCgUAg -EAgE3ikCbIbFui4y7vHjOdiJfaaLdKSuHRAFsSeBLO7+mYiKXFjhbT/r8O71IO7vtItFswOBQCAQ -CAQCgUAgEAgEdogAISDnig+PDzv+7pBzwk9yYXm3z3zwU9gIXwmPx/dd983/3S3t3Q74GxF3K1Nu -OpwkPFl+lzF/97tm14YRXyGAyi/eUFgMzcGz9NYuKh44IASQrX7wGjPx098PpU8eEExHUxWTMcpn -aQfOLVBwdIB6mMd1jAgkmSPmlQSNzFca46bXVwcw9xwj5ofQJmQMx5BiX0E2OFVSmv4g+MYh4HNs -dUCvw3UzGlch8qTiD2Jep09yNXX0TahJGBjUqbA3wizqTx7IpNbiqz8yLUp2I+JuuUpf7nozbrG2 -hQSclFWvYurVzSItdOy0VmFpp8jGdVQIrCTbvB/WIo7J/KiEnDcmHYSHUcMv+7utA48WgHfaMMZ5 -Guu4gfJmOUT+TvpC2uuH0ZIT5A+Nd7wTKey9mSttznSPEdZreSRzxv5BDfgnXDIj748i9rc6pnXJ -Ua3ZRYPox05CH/WMc/o8nZ2+KzcaOxiqX8NtRtxV6dOz08mXLytZPxhJB8CINaLn5zOrykISBpOZ -/vt0IRBUxaXI/AHUcu+d/70VsJTcL3W88alOSft0WWngz9XfGeVhfz3WvoDMP2msm8yXJxrlssIu -Y3Qfq7zrobycXJxXkjly1pT+Fct7NhEebePfccNsTp9PZufTyRnz+s9zGd01kx8C33jHYtlf06vJ -iVxGTnNCq6n8DLeTz+Katmp7ZT1PHXRdiHNMTmZPWQYWhaVOGv12rRTabNquKquPL1/qTac3f3bD -eHYxmYjH1L7vOyTu5omgNe/Pn89s8jyki7ZWgKcLnX6q421/+nI+ubzEgSiuo0VAMsfqeq5J/fLy -aFsZDcsQML2mV2xzKfUY3++ja7jMz88WWqyHTn8PUp/aKZRq6Zn4TMj86EVec7ja1i7qa5bnn77O -peMPjGuqinh4uCG9EUzdAN1Q1Jj22UQn6sSYlP1Vglkesgsr+yk3fQNrv7hHW9wZO2QJcYckiRMr -/5Nkxz6El5ZTLSjkC5dIu8ncqlhNqpO56nkIdTz6cfcKDZzaGxYurdetk77ymvwVMHhvRf6QuY1z -je/Deo/63uTxEu39od8Z5DN/px56/SXAf6UycpnXhK5+bx5z+SsJZO/F+jY1p7S2ZxNCezCyr9mF -c01osL0D5B87XEms8/yz3GXUgru7p3hdypp+oR9Y6v3tZPLXX0/vz891Xz9LLPe2bOm9RhF36PBC -5v0/b4g9GVcgEAgEAoFAIBAIBAKBQCDwvhGAvN/dLRSsJUWMOcPiLgJ+L75smyuVYC5r+5liuBO3 -HYJ/nu4/JoKOlR43mVP9/D0cChK0B4l7swlE+fz+x81k9u2wXle87+4SrQ8EAoFAIBAIBAKBQCAQ -eE0EoNqrBxHyuf76oPcEn0TMb+XrbsQcKzykXOSdw5i45vLpneNOo/u4iZzrHmlse56+KHD3URZ3 -ynm8X00eC+b71wQuyg4EAoFAIBAIBAKBQCAQCAReFAFs2hBw20iPrzqHMKVTU3H1wdqO//syxXXH -l30uon6jzay4fpGWn5ExNUYTdwvH05jgXxSSKCwQCAQCgUAgEAgEAoFAIBA4WASMdxPqnQ22n3/S -H4TJIUqMH6UKT0/kXRGTJo/yh+c6k4V+jWs0cV8jz0gaCAQCgUAgEAgEAoFAIBAIvDMEktn846ea -sGNxbw5iSlBA3rHKf1Z4SPNAF9G3x8r+7aQK4v7OulQ0NxAIBAKBQCAQCAQCgUBgTwhw0i+XhXfU -BVFvX0be/X5KMzIkXhD3Pcktsg0EAoFAIBAIBAKBQCAQeMcIdJH2HA6Lbor7zHiMgriPxypSBgKB -QCAQCAQCgUAgMB6BkRsOx2e4RUrbrKgK+SbKij/0HZFMuOw+V0rz7LMlSklSqMNm82P+TMovZV+X -waPNFz1lKF2exsMp5mXm9WzqnerVpPd6eluztjX1qKvQXE+wydM7NlkZ/lD+TNfxAl2k/QlBzwi7 -Q8/vwhlMQdy3GAPxaCAQCAQCgUAgEAgEAp0I4N88cE2T7/MyETz/jAV2uVxNptPalWKJRTb7XN/H -SCuGZ0QwpefP7JmpnuGk+4YJLhK71InTdj0QrlDfcYKQRT3R54V2V84+1Pn4Z9LyDPW0PHR/Tt30 -+SHleUqe/llkl1NvyTMvg02bhECkjFMq7ve9zMRYydPr4Hk++QyZ1jM6Ld3ysHqmdlCulaHPXobf -b+dJE6a0i6orDjvF8zlvl2O11H0vo8GKeibsvJ0rfbf0kyC7XGRU9y5X9hRtveL5XYWD7O174LcU -gFMJMu+jvpJJC7x6q226AKkrPflYx0vpKuXZvvJ883t0hPzZdhlNPQfq0NvI1o18lea3soXqs2yG -x25/qU9Wg1myrvK5vU457Tzaz5bKGHu/q0/kdS3VY4xMXkIeQ/Vs98m+PtrXFrMADPSxvr61jrzz -7IfqV5LHENZjxmqp35DHGKz7sOzCalOc8rp05dHolKSn+spBN6Hv+sZoCfMxY2CbNKXyx8ijNM7H -1C/HcxBvxkvP3OBz0br60OvndeAzk3xXn27mqdacR1qbcJG3frkFriufMX3Ly15HN68zvrYdK428 -euTRtDHNzV0yG9Uv9DxYduFoY2tLrDOV8wzqPjxpckmvQMQu9E/iyE+bWrO0SgRwpsN3FslyPCNT -eCeH9IjAVZzEaf2q/nzCZ7hzsohXSl9T3ZSetNkzVkURziXxw8EwhRWfzOioepJgJ3bip/oy9bwX -nvZZoNrndN9OL/U8dJ9CU9UmswQSMcxNTnxWeuqBbOaep5eR8oR0k0depnO/ufKgiuTJRZ7Uweqb -8rTv69t127J2iGPXnyHV2X1Idl7vPE+FPH9SRt4uE4pjBTb6cWwcO8r0NwDTvFOmOvovntOBpr3X -Q4G168HtLe7qONMTreoWAtUqLSExQE+yXp2wsxmZjqlfLF6aRtICy0e/aS+PWho6Y/rc30wTxBQg -jaxnZfAMwiC/ufK3+3yp+i71JYIdk39etgs9/y6Nge4qqhwbdFk7xpRpK7+EIVg5m+kqn9teh7LM -6wGZ95v2M31l9MogCYx8vG2Z+JvH+K7WMj8uviNcEpeteteUyTryaPDcQB55PZ/JI8nYW+Vtb7fV -xdjGpg+XHG9w5WJcpT48sTGU9ate+bRuDNWviVqlRLk8yILnvK6e5VB/Y0xjzJDVp7m6xgpyIY33 -HxJbPbLvc2z7ZO74mh5JfdLGvP5Gl6x72RhMD5nMsyvhPtXkY0PJ9Vku2zwN+XTVoSkjtZWJ9aUv -k2nqVy4H6tDG2drQqlwyuj35tmvsD7XJ+zA6WulM73dd9Kf5rLY+tueGZ/JYU49437Ey6LP64pne -ruc2ZI4SXQKG19Xb4HORdz/XFe1x6vrdOk/q+95mw71DHn4fzNtXrv9zuTl/8LHlc6zrf77v0j+l -PtjgDQ4d8sjxFAEqufkOFgfmqrdZjpGJ5y1daMbRhDUD0cp54i6RcnadkPfvrFDPwh590n9FjDvw -rtszoFO4JTmsziUA9eumzpyQWTPv2hqt/jyVRXfa6FGlFbnk81Kd0NqnUbHE0qv/jeckq/k0U/5T -PUMaU1dJ/nXUwenkgXN+rvTPd1XqVD/oQ/6GxZ+oT1sz9JtCiUrY8CR9PtP3APxBnI5n9KtuT3r+ -A/XVD19xj4Fj9/mQlBn45WWQJ7fEGycf9IfOHpqsUn//7s/oswmDNPrb+KTy/KA68R1ttK/09/fU -iU8Zn/ohDfMH2MEHyXOl76kX1bIyUp6UQbsBztqRlUGZ3m4EBkGnHeTJ2G/qTUVSmdYOhJdOUfXY -7bUYDduKtwlX+WTHzewiO0/fuuUftybus8/zyezydLK4f5gsfr+z+k8v5pNK3yPE1d3jpNIrlZk6 -aD3oEDTtXqkz3U4WOiqWflR9uajTgEzqeI/X95PljTocONtKTnmTLwJQw5c3Agec1JlP9DyDoFGk -EsJCxHzx71uR98VkdnGqH5CugV+IuK+u7yZLOjRCHWMNgGDqONtVepNieVlb9LjKYCCuXDFyzwbA -dFLd6g/u5/5YtMEnQhYQCMs6ty7uWTmJNZD/nf4hv1N9n4UErb+rH6tu0uh35dYldDsMQEVl4Ykq -8pAc6kWO7p+rDNrlxPNJGbRL63uwdhyWrMyUaLGYVDosDOWwOqu1ndWJfNWmFdYHJa0kkon6hbX5 -Uuk0EdLfbV2HTG/1R+oqXU1ovivKQ9n4qt8eUuGqQnWjut5m8nDMaQ91c3nwPfWg7hxjbEpTz9ON -TB66ea57s1M1RXnSVvXr1TltUjrEQTmen0/I+UStNoCLequeV4Jb4cI5DORBXXn+LnWMC4Gkflxj -pWmM8qhv10TVBRzZSBn9kIOe975Cv5DSNrlaN6r7XnWrdiI/nk3t4k2ew2l4UMe8z2k8MRlVX85N -Fz5+u50s79UuPb/SgXF2eR5WlMaI9IfnsTrTQ5+Z9VQHWSYqMEEHNHLQ39Qxq0fThyFS2qm/uvgx -wVVYWaxfrYGV4ZHGAcrWyUnqE1ONk+oX6b5z6T7dXf1b+ujqrx/9Nolsqli9J1/PTBetHAfk55eq -ZmNFfatiQk59qEt8e/mOPolcwAh5YwniNTMX40v9wdWSYZzjoPGyujipXxZxjggyZtinvmt9n74z -dCXdO73UnPHlrO4vPHd1M1neuYA/aG5Qxj8nvIXTivtXKs8trtLfs1/0vPC2KVFzx+Sb9PsYPeKy -Uv86+VnziM1n0nGan6wM6mjgUAZ1kAIAJum6BaxIz9MfJmrDifqDYUAlhN9Cx5evNMcs0Xd+ed+y -BYCyvf7R903n0n/dGql+W+VjizFwmSbIpO6fzEHeZ3PM0SMQBRYRDKv0fHVdj9sV842+q7AEMtY8 -3y65NVhJ7sjjo7ASEVndpLmUZ9O0xVwy+6I00gUL6ZEFc/nQ3NQuD1xVt+rLZd0vwPGRSgKa7l1e -TE6YUxp9Krx1zPzqm9LlOhEdm/S39W/XyfWTIuYnkwvl86C+enen5/UlfZrFwrncP87EZ55ey8mj -3CJuJJvlmPb4EKA7kzFj4l7KSNbg1cnP9XdJpCxabWpO49HwSvdqXJe1C02a832R20znWImbfqGM -yZtyId2muJXJd31pp3OqX2ORhvvQxxksFYXos3V5pTcwVAE+87wRW6Wxoakvyd+e53cq29xReFz3 -bRykMh5JTz10n/bZM5RBPSlT981KrvL08Ue+lM0XqQwbj3qer77zPPXK2kGdDHP9YfVO45f5BJ7i -AoaUm3EotasuIeXpWIAVjaDulFH/+WPySVgZtvqbNOR3c1WHezyRPsnJu5dBPltcWxN3/J5Ov4p0 -358Z94N0zX/R5581WUnp3f8lRaBKnmoSZwV4r8mLNy6zn9QoFP8f90bs57+cSwEsNLh1U+0+lfKc -n3+ePPx+rQUBM66eufw4Of2vz0qvV0vT28k9ZFhkcfnwYbIQYOc/i3mouIdvmkX0e/75zEju/T81 -GCkTpapJciEleooS++VUukz5X2nBYZ2oB8k08IzMsoK2ED6Z1qRB6GUaKEUGwaggn0wwkDB1xEpz -+uQmKW/I3ielY8WidJUtHlLZZA05Y9IUHtYHP0IalR4Fbyu7GrumDAaWDuayC8U71Ba7qUJMGaHM -NbF8IH8Rh6tE0oQpdbCJm/rRDl79MHkuVFcmaT5DJKWEINsrLSjoqFoS1SRHE0j9GiybBcAODZy+ -M3KqdJUURvWoMlBkmvyol5G1vsuxAhywsQVfnzyod5IHEwxliBSaqF0e6hOrn5QH2IttV3zvxeu7 -lWNBPVmMqrzK5UGxwgF5GVHHz40msMAkHyYJ5x+S9+pMhJZBfp3JiYUL+Am96iFpHbCCZAsrswAZ -6VUa6qDJh4mdz4Z1UeYJSCZo2nKJskI2FFmPTyOpyEwKvsLXT41ZaWyvJFOI+YrXd5BhtaESGQEf -I8e0FWXFQsasoNJqqhsL6dn/c27GCZu0IS6kR+Z/azHfkDLqpsq4+CCxkHZ0IJMW5fq9VM968aUv -Uz9nIbJKE2jFeGOSBkv6MXqXiQNiaIuj0viwR+u+e1ZPLjbZuwytTBE82vf13PQKc5TpEllaFiKL -Xq/p5dlk/lWk48vJZCp5P8iIsGQyy40EzBm8xlYdrQ9QZfOVfIHLxhELT/qa/tQYNB3m48tIAzJD -F+lvFry5NZyOmQwJykXP2qj6YWWkbb5A72pOKgfSPhee6PWVyp9/kRVX+uVRzHiJYUfynWmOmWpO -oH5TLZQnX6ZSIyLv13+bjCHtp8LaDEe0SvJgHXRvuhUhDuCJbNXGEyujPgjFLPtfKtWBMtS3RUZm -v3xS3SRz1eHhr1sNHT1opODDpNKC4VT9weYmlblQ4TPpBNqF7rxXR1nmixiMHGCFHm1cEuh3QhLS -jjxYnD9ZEOs7+gj6zphapvOw8iVOa+4ZgCBibrwIGf2MfqehAJR0OYsOFluMYcaQSJUZVdK46kNs -qnmgxkoVx7BIeaozat0MbRSD+hcPmCudzQW/39RW30LeT8q0cs4np79eSO3Jro9xUHNPJeY0kxxO -lT/98eGm5gen0huzz5eCQf3nmuPmk9zRmcIffgi2FdOo2m3DXDrxs2R+oT5I/lT+Tn0WFa2UIu0n -k0ubK6U9GA7Wj1aTG+k8iPtzC33WAgpwvWGdivHNnKC6XX2TTtLhO+dK9Jh3Tt1fIFvKxzOgLq/+ -jPhIi04kjfWg9Fk6huKyN2JmkfdukpJZv5JuNfbJPf6mCzeNy8aKP0P/hPi7C4030V1s+GxVISOe -Zx4hTypPJlbzujzGDIsFv1B1Vg9lYG8BuFInsfKVEZZ5h4gy6Pa0w5Pyu2kH+Xl6fWnpk+DI47u+ -a57nizT3GJwGTANpbYFHZqkdZkjVd7VQ6kL99Qx5kgcytvldMhZHnWhdNjnHWuVjNT265a/tiXuq -wFSD8+RXVRDlwcSr/5citEteC/ylFfB/apBpEsBSwjw1+6zXQ0ymuj9jYKOMUHi//WXWi6WUzexr -Wq0LgKkIz+lXkXYGH5O5iO/s+6XSX2vyFJHBMriqLeqPspRA6Geff9ECQuX+Wytj5b36KkWmgWiK -9W8N7//8rJ/aBLj4s0Dea5HVi1A0FJ2J7xiIfGd/619WgKRkAMnKgdxXn/X5UUKH8GIxgbTTR3jo -I1OerBd/JpIBOcNaSxlYRMjtkkm97hR1ealjgy3KmbkW8s2V6/OuzsFAQQCpI1W22qonWwjt5Buk -Wfnr7AC78jJ4huZRvnVeOrF+XTOode8rhetHc2m/hmYQ6bbkMFG7KgYrE6P6TYXFl3KZuCCwueW7 -qy18B9HEOpYGMiRo5a4ZyMPHGP0SeWgCW+nMAysDfc/iUfUw4VLeR8ipMvsGUMm6BfFn0jXLmL79 -rDxYKCEPSPOnJA9vdoKhrrIro/qTWea7LspnAbBUnkkmloyNNudqE1jx+VrP636lbr36pG+YU+hr -VHfoghBAaFlsgRV4JBG6Raf6W3Vl8kq+fhXYMDaZ+BhzEDcesrcV1E0/woI+bH0bi8qZCA4Wsl9F -2lXmEkvzUwhskeZ9+0d/TZa/n9ROGnqlhx5UF2RSA2cK2Ag7f4MR7WZcIDN7SPkiV8mmAif6JY9/ -1d96s2P94laybFeoEzcGLjil9vLBxCwrogjD1Ej7YnIvQsL381+lS36RLmEe+FP6yhYveuMg0l7X -PRWSzVn2jQ1F+il1LMhwj7dNJm4ht46mC3wZ/xD3XO5+3+ujzysWg8BTc6ieyx9MWCrVVAvJExEz -/BHu/7iS5XZRk0IZXVCxj/++nlQ/XdqbVnT4o+aPSkQKQngiOTyqXpWwPlWfQwfd/0ZHRB7K47/U -DzVGF9+yNyFes6xPmlVXpJ8yrQwZfirNTRibTn6phxaEHLLInGzGJLPE17BoItI/IllavC5FIu9l -8WUMzfSmhbfP9SKe7xKzdjLOIgndUzOaJ5g18siImC1C9VOxeJI7gOk8+g+rR9d5ttBNncze3gCG -8meeUf3Q7ZXmKHtrx0UbzCLVc0FevL5pUV6p709lSV+qnSxUZxpb00weS+lE5vZTLcBsETHmsrFF -Qv7RB5U7w6KvtzC2mMGwY/kIa958KP+ZDAEPerOy+B35iszPfppU/6W3LuLDK8lhCYuFjIGRdAEL -dzN4pPrMlO9nWe3PWGxJ1+CodfmZSUjqBT6BVFCHU90TUZ+KkzB1PiitvZRqj+WhdhoRYE4Xofvz -j3q+RJWlzavuTlhvTq0xYMNqszlV8sUlic+kcUI/NZfAGuN6MyuNrSfDpTZk2uZVy1Lp+G1vuNRA -t5KbJV7fmaucGuSfuc8P3zuh9rcAxnEoRukh3E5kza9bz7j1Gcs7Rh3P05/J3mDZ/MYgcqt3UwZS -Ur2sDGtMnTf5Qcy9DPuOpCgLpbF2pDq7J4XlqbyaemUEvFmMMLj0vLWbMug35EdfpAItbHyhYSs8 -3Xc3Gtu8S8dhTIpTcsHNztJbG5rhMd6H+kvh3jPibnnaRk9VZqhjpg06M1XcdAZtNQsqJSafNhpO -D8fS+MRvUQOIjktPAhNbW4KTBifP4KekCZviLdXPsrT/xADWIPqHlLtel518/VnWjDPxD722Enln -uW+vjXgo9QMsIzyEXHl1hg8Y1l0IweLPe9VX1n+Uu5TAI1Z3tbuqK/T0srYmBYBV2l5TYfLWL3OD -URtZCZsSrcuv/9GH9Ku24CkNxJwi7DnlhQUU4vE5CTy9Pm1e51leUvhmgU+AuNKgreauomf1qt4X -3y2umLVF7SA/k3FSX8wlWPg4fpeyT73jKaEd1dtXBuWhjNIg9nwpjaxNoKkMr4F9lz5gfcWHjMUJ -riD2veph2SUs7e+EfdaKZjM0+TXyYLUDrmoQ/cDl4aJwQVBfZryZyrJXxLz5UCImW+qLxZ+JDhLF -JOjuSu4yQn7mvpXqmKptcqB8iDEKjDdNuGe4rHhbwbrSNrWgEOrm2uV4UQ+IMlY18jJM9KV4TY2V -EkJYqSeW49S/LZ1vmHvWf7OxTDk2RrK6UwRYQdqoEHUCdzBA2YOBuU/oOxaTvmGH52wTDelqOU9l -oTbS9UnWO+SwUJtNhlk/sNcSre/4irZTJu2kDpB22gkOfpGONxX+OHnbxJJlaVikn+zRJ+ulXqzS -c6730EU25sCszmyqieZUb+7Msvq/cvX7Q6tU3VtqIXqK4QLLndwGaPLi74fJFAuhyBuTbms0/Kid -jYs07hp4vFEd+ihv10Z/t8aU6yjaSV0cc++XtlCnjj2F+TO8CXTrGLh5g5v7PI8S946voj5pcSPM -IOy4OOA6yWR+Jiso7pYLkYSZCDUY42qB0cX21YlgzYQrrijoKbPW/6bZQHmspt8nS+mz2X+rPozv -a/rUc/SnervD5kDeis4h1xq3D5QhQ5OVIaLOggHDwKnems608H34QzJ30g5O5lqgRaes1/f/os+n -dquZSHwGdibfpCcdQoNAN3Ji7v2uTx48whgEP17DMz5Jq/rWiz995hWXlecFuRCpLJVijOvmR/1Q -HvoKToEO/qs2TDQX3xuR1DPpe/q/YYUYNcaXvHnQG7q5DHEQbd6uQdxJ/yBDybxCz1Jub+9visOt -rJJONpca2qW2LuTOKuB/1InvU7uNy+WLcIvmQftbHdWLButZ3ZGNtKsd55p/b9X3bm+u9d188pO+ -u+TNvfTUvRZft3c3cqE5nZyL26Ca/1adruiDwGki1VhyN44MOtPHyzR2zQKiwv8t9wks7cZ9dOWW -5/zZ5m/pzydX+3PXQ8jLL/72wdyR9skt1TUn2JY8NZJmuDqy79fQSbk+8SqQbdMdsn7R2UX0Ja49 -doF11o5200wotKMTzB+LFbuN8LrawcOpkBw+L3fBM3rWfO5TQU15WcHInLF2g6sFlywA0nU1X5L8 -ra/qTw9a0K4y/YcyfUHeup8Rd6WyV2hadz74LNUDgLeb37aaEeG2yR3lgUIQWTb3Ba1Sbf6H4KFc -NbF/hoVQlpQgSlKDfIYrCXnxD5uDLOKMrHWOHwNagwmljdVgqV3IC+02nn2VpeUnff9nbWWxhqpc -LDH2QUpmgaJFEajPs1HD0njfNmCsmnJ9wM9TK1TfwPgMSCW1yUjkX75SKxQeF6s8e32nzOo3apaf -ve78qkJFwsyCLWut+Q/b6OeVpHBiMpnXrhfmQmGv6loX8Cpp5ROPjV2ViRUCgsfgxw/Y/D1pP/g9 -z6b5RsQtvdF+mshW0QmYfOxTN8jm3yrjT5XRJFMiw073Iblgi2UWFwhzUSCTzpH4tFySMAgMTp4H -Q2RH1vqyry2UjRVCfWGF71r9hrvGyha6yiPbIL3C7UFWPXbpV3LV+CEPlYE8sDJDYM+x7PAbC3dS -sM1O8awMn4iSxcTqiWxZhGnMNW5HPPL/b+/tYSVJkvTA14cRug9Y4Z3WI6zwGihhV6BQIk8sAj0A -V6zRTjmhFQoUSe2OJ3FFEqCwLVCgOCWSwAzAEigMxRK5QgPzhBW6NT4CPKBLGFyffRb2+bPwjAj3 -zIjIly/zy5nqlxn+Z/6Zubm5ubmHy/UQeuJGBh64ofyMj2/fWn/Qlc/wcKKMx7b77DB83CPAfgXN -Nqk6Tr6YnP74BGd8/xIT/o8m5/AWQI4KtlanJZVm4D2FJ9D7YXTmsCUswtFP0Ae5gEfdDNR7G2vu -YbaQBd/RMk/Yr83zhRCCr+F5t/Hsi3JbNLmn0NJoJH4JK6mEEVh9cc6Ccw62uf3cA+djwoZ+Y+Hr -CxHUASPBvv+EEB+jDecn8MEYscUpzjaU+NE5uTI+DL49qw/eIIYxsE2UQ9+xdW/44OzM8Bl0ix/3 -sAw/W1s//2hK+ycLl8GizUOhFj6kh9nMgzOri5Zr6kuN+SNJ11DOx+HEB/MVaEtyUnKF7LqDBrIL -rHzxnOrBFIA+2vxQmohtZrez8NzCz6BGvvTr3IbCHi6L4YDxBq83zoPg/A/SwX9LHyAzvMEFWwR8 -5d47+4Es9sdHEUOUgo9fmQfMz2GZS9WnJzw3QnDO6glntExf/GwLU4SF2PHBovawk4KQmdIJGJce -ew3m29kO6A3McVA3CDWzee9ncwr9HGFbI2SBB8Qnpq9D1F3QRo+/5HkXxOqi/0b4EPqBzgOjQDfG -xue3lg/Tqe+mBPNsV88/fk5pGOfYlS51PzPIWje+gE4UdWEZ5Nz1SYTYfGn9/NnmQYQA0kH/M86n -IUTO6sIOVcSYHHYxblzCIurrf4wKbVyBIUYr5u7PFnOOsCUsfiEXX5pt8JXpkZ+wm2A2wFcmC84P -GONwGNjC7ycsHuCMyrfQoE8cY4bZ1zbf/xWcVbBDnmyXxBxp97aziQ2mh29sAWJy8fj493c/2dxq -Jrytk6BLgJvx2xZpvhmMvvHWkrpnMU9+dt1kDPpkTKan3fMOIJu2G+Q27A73kofX/SvjZ4nK8DwA -3uTJPfGgBd8HXTV44vl7kBmvEwOKZ3uKEwxyEyM/Fp6DMkOVGOjx8Th4PAs59LAVpKNPkL+hB4OA -2R/3zEOY8DvaQHkvg39hjHobyIcP88dP7Nq6pz7a9N+pDW8vytTefo+fRxvjMVP6hvzIgzpBkzMQ -+VEfGGrPvGjQ5vH8lgdM8H5gUEe6txH9dhiiDLzroBfjmroSvHd221Y/nBsmxzgAT9szej7+E/p5 -uLjD2kX7qVuD4Y64ZWhVy/Ngiu9nM26ecABh6cPtkjjogoH6E1bHUIT/+H+/+/Vv4SEfhGv0oQcT -D2H0QgDmmmJR95LihLUNXAt9QTjEPdt1A25oxsUa+aA8H2xb0xQvaMJghrIf+hr/MlkgAQr5r4zm -L2YsIHgAoYwYR5g7VdPvI9H+QTECAxyUgsJF1UxzzyryhDBUjDmAPuR49Lw8C2vCBrZj5HHiM6Ba -P3wScbDinxufIRxLPEe2g5nenvkVS0FMnqyXJWhIjaZ9SxU0OX8Qx2r9wAr1CwJWVWarKBz6Gmk2 -ZzH+EyMGkz3pCX58Rr3gBwxF7C64pWVEvDPFjGKADlVMrKEOulPph3Kwk/xm/5APZwEQm46BOBoS -1pgrOcv0X3H9l33lYqA0CN5YOsphYvZFsX28XoRjmSD9Yys4OWaxy4TYa/R5inlVr7AgNe+Zkw6j -necASLPLTMgWvkNfGW5f2rjGQXUsjt256LG4RhNItUn3K3gKESuPAYSVJWN7YQd4aEvIJPnlC0Er -iy1+TOR2cu5LhM8Qc7RtOsANRSwYUR5jE+2BJqRz2xXzBJQvnAoYHwhfmFvdYnxgob/0iUnvHnWY -44C7RK5rfUs7eIW+dEA+aspl357AWQHDYq8P9DcMTt/zP5bIRFQMe4+fNmPyszlTDow05DEsRvHa -XgWYGXMAGGe7Fl+bNQinli+eDUu360z2MT3dg58wiil/PnkG7SE3Pn+50RTKqmzjP9PsnnYY7TbI -sTsC43zohv3XeErvu4cZFCe0ec8hVsac+7gCxC9KYPMItcQH+j7GyHCdNvoA2duIkSPdgTrtgd+X -TSvBHtEm8l3AMCQsOsMNOHQihu9wyYG5ETCuuHDO9UNnkeyAk1wD6+7thOQT4soxDsCTrPunDKgp -CGCIOz+G60x+wu5B2pEZCA59F+V9RmBIgvX93rdHsGtvCwgfhJ2TkA9T2xXwHdZvLELSwnOsLxje -ow2SA8yDEMgNZGBG7wI8D8/5o3naPxgDRjsBSZFZ8+WQ61KoTITOeKiMk4DQGBc6/3UYKjNELfin -NoSLkWp08N52zkM00N2wHWyvIWwGihTNonWAB+VteWjE+7gEeCaAHmdu+TEGRnHmlt8XBPbPFz3Q -82gDNEYbPhhRT+QBkB4bb+klRMXawAEOLhLc9sYz72y0YV/hvMMuoB++zf1AHrbB+RS/QTP6EWV8 -Nxl0OVHRV8h7tOGLAPwe+OE31tS7a3j+XyNc75/+jekbs2GLmTljpwFHwAg7EaRU+iM87iZ8ttTE -CvmrmFTHQ4Xcz3/BNAwXcGbI7Z5tMw6+foAXww6U5PAYb98GJg6OWsf+6v8274rFeg/hLM9xZ1Mt -eQ78B/GlEGxr8ituM/kgjUEALHFYxQ6z3sMjjzhJm/x+/tpW7d77VHv+7o+tsK2WDmymSIvzIlPk -PT8rPMDBOEMa66G/soGLqBoLk3FeR32ffctuaPboT/TdvcTl4Fx0yCY3eIgO6x0aN1TAqekmp5ju -ccWuDZ/L+CBztoXRFIdTYUhhXoXd0zoQVlHg3jjeVINzHfbAyeEkPcpv6tC8RpTlGFLPOfgAFfjk -GTTBAEC8OPiBuFab1CgGfoZgtr0lDkUNIAahI/iJxSkMbN7GguJQcEXmDoRviDmF19EmUScfC1N8 -wT9AT95AjGNnzKNU/jiMw4HnB0g4k6DzhiusZj5MMhn9bKEFX4IYeOjgaXcjKJWDPCA8CTRCqcAz -j8PU2K232O7PuBnH3FHYRSvhQz672D+bkIfDilY/DQhbJPiChx4I7MxBCSPMCHJkhoGfvcDBchyA -jetdP2OhhfZBD84eYGGMev3gntXBMwmOpZVFbCvsdciV4zqFFfo6yMTi1O8yiQnH8plH0D27mMC9 -W2WfZhgfRSd0GhMBtd/PTJw6wgyWJPQwzQgF7a6E0YcwAAC+U0lEQVQVgcORn1qUvArr3yerzXa1 -/EwP+DulT1C2atI93sbCz/CyQm9iYRXhV05ZiDauxxt2T20nFwf7amVt9f5o4Rs4b/01wivIJ9QR -ysI9u9ilRZy3hUve/TcTNjhPDGuQ9SO2VeHNNflFyBdfZnOPxb3R/mSy//h3Zoihe3YjyIPFe9// -hFAf86rDj2Ce3p+/NwLQd7uw4cHCp379T0zGcc7rJ3uOzwmQH8UhyBxkxnbCcMs3xqkb6TjfwVA3 -11dQJsEr6CuMoZo/+Xe2MQwv8Aq39zy502s4w1Y+ZYwtdNbq9oOudlEFbD2/NQZj3OOMUVP8TWPo -s+1qfGkGJG7vubedwaf/YCFK/2Hgx4Nt431l3v2vjR8/mZz8jLlx7rY49B96xuaRr2w3FEb717ao -9wiBOVuqYgJspXsY7TAOD8aoPYd68lP8GPuV5ZXqytaPLzy8fQAAIcqDbRhQyEO/wyi8fLIMGwJv -YQlm+QMAhrFXa98nxuYgr5Ynlrblr+/2JDIzNqM2ovyBYcXyNS6gCePRKh8U9VAzocBPereLF9/y -uj43OovxngjKEPpjt/KHfsWulZcv82xgVUJpIr8reC4OcodRHRpBGpiHRUKVjp8eWop+WR7MGRNZ -nh+FENo89oQLWHzxNBTHZzDcUYNV9GiKzPxl/YrFKvnahBbebf8kG5oEkH6XRxPunxEOgivzsHqE -98tXmOkThOGFBK5jkBz6DlfK4XASlOODnZ78EnF+8ck4fTaj49EG7lubAH5tW/c/mbL9+b+ZRwRS -XpozcENGnHR4LnGV1KSewcxSTbxzQk4hgdEBzysugfWr6ew37V/8xfYoL9p3ozEYE7xwBmXLFIq1 -Vg6Y5OgR5d2qtvj6CRgf9AN9QJ2QGBhCARye1TZF4O05sHeINiDY8BaD1rwgQ1nsG2Jl5eExaDhx -42DQRBYyDukwslAEh0Y9PMF+G8+w5Tkt3ezLSHLix4yBhMfgB1zauLElhdZ4TBavS4MhSsWNrhDH -3A/3ZFkCBiu7ivo9/Mn+4fDxP7V/iBnl1ZZOHTI1ZgVkAd5+4BJlhgmg4OBYQa4QmGt9+bXdgFCw -ovBkXJawsnyUY3iuQTfGBLxepDuTi7yQDcohdiz8iizbokWMr+HrB1JBtRnzD8AZtziZAeFnD4gh -d0JQNw8/jtSAZfTQJaQHZlm0MOxxmxCewdMLgwONGhzD7g9ojOfAEOEC0BXmXf55ESvgYQtCrEvQ -BpqeEScqURgbAA1x2phMn4on/1lBmJnp5PiuNug8GJuZX0Obn01//eRXzKLMHBFVue6fIRO4tQrh -t7ncM9nTtYF+XmVbizLSsNhDSHLeWUWdxpvhDKR5KelRxXPYBLiDGm4dW4BhkvJFXw5ZDLx+sp1T -GM4IY/n13xjtOFOFNNCBnR6b3H76T3bmwGKLv8auI+qwNJDlfgR49Gm0/1ebR/IOVPDtMyZKm2OG -EChTEtkYBfnGC79NBh+XTWujWHrWiJ198RuOME7gCcbwwC1kdT1e18Drxgze4CpAnJEPiCZ2VKBL -ED2K33knEf3HP8xP2GWzQ5g+7vmBbNh4Hm53CnqRBt0HzzTChEzHfWmHYYdDpOBnCAWqGeKPpunH -lbEWHvo1PO1YROHqzXxlJvHhmMFfD1UdqsOB4mF3z2yJv4fSgg6w3UXQQKdea6xB9gCLLRY+2c78 -1xbGAGM8mRWL2P9su3M//cewKeoxCjrhBIDT4a0tMn3+sQVGsSOoBELPlZYoEPFOA1em0eeDPHyA -MnGrDOejNI15LhcT+w+wySEmJXwk+oEB6aEtKGPPUAa7p4C4hMeAdqTZP+hcXidZe7B9nER5hrHA -VnAeWRugyX8P8uQXe2QakYGe9nLjC/IEj51MtGHE4TvI8nEXQgLaSBPb8NV3wiHvECC/99vqQx4f -s1ZpOZNAOi2NuxDFgWxlPK7POhcXlxR24QsWtn9jV8xAuEy34zIVkNr8DGI94Jg+Jcbdt3dNoXos -ID4N+6IYLDBUbCBD4IGbV89JFtVAcdl/fCVrAW/3NlN8/rV59xGThdzRHMUYxRG98LWvoPBBGdhb -ptzN2IXR/tniqGFow8a7xyrZ6sKrB750ZT90cHjZEmizLWcwxLYJccWYf3DQCLfa2Kr9s8W2wYuD -20E+k5iDCckq45tewRxuh9KgIqkIHocSxx6pu525SLLnnjcGB7yEfpUlhMiPMA14G5Z+9RwGPGI5 -6cG1GHLEwMMQ9BsF0MWQKW/BZOGz9Qde/p9xGwoUGWga9QOWQzzzgWP/cR0R3hjrltdNxQ7MvHw0 -xCvF3MOfJgrk88GLvM/8DOaNF/Gex/4D91qENXjsufFmiL+2pDCo/TYiSPZBP+yZuxxAMMiLv8zn -4VlpSGBAexdQOYqY1HH1T3cFnhdD0UYCPIbA2Kr5Etv/iPMnP9BLGCaIV0doi8dSAkfLizI28X3G -uQUcasNv3oziPwwoyGjoxXknDDoTMgNF7wacefVgTGKhDB7gakvbPcDHd7b8jlzgQuTjb41VsGAw -dlDY+gP+wWj/xowqLG7caA+5shAz3HLjC1rYMhhSvDnEByAm9oH1cJvhAPhnTNio/2dc72CTunka -H+FSMwPBdzsgY+4hB28sIycb0OZXiVgCvFiwdP2KRGQETeSl/XZZQpcnjBaODVfcltHvEY5rNXHG -YwqrMuGhEVQP/CErAVh4gBH3jJ1JXGuJm7TubWHiw8pwgiGIw3mDHTUQiNh/qAXsFIKswdSL/gxd -iLzA0nLYn5/hMsaEhgV4Sw+XOo74QplAET/Q6Mwa6HCyBwz8u+uzANs7hBuOAIrp21EAbuqLG28o -kxgLufHJ076geLDts503gaMFC76vYDwiHNSu1EVpGNB+Bzrow3WROBRsC0AsCv0wqh1U/myL3KdH -K2thjr82HQk9SX64YYmzRRjHuIXMw2OMR1hseaw4dLn9wWUFfzRj3W4YQXjSvfHwS7SBM1Lw4GPh -+fWwowKs/L0A/obW8JVClLGIgAFsmT77RQf2NUIlh4sY0gc4UEUlLApchedQKjPT+8ACSwdRSeeR -VdRxaNr5DYPCvnu4DHiKG8osEfMeFmLAxgbnl7giknrXdyEgkEGDzX0/W7w2bt75CjfuGD9+dn4M -t7/hQDrwGmQn9LJP3oOMuZS5mIFfdibGw2PoaY82Rh7yNI859sNZOEqrD00MbaPrc5HjYXrKohkE -BU7BB8fO+Gd/8ZbSJzcyrW+26vQzedipiGGAEgihwVmdH0G7XxRhiTZG/eaaA51rBVAWugy7Nn9t -/fQQMvv+MW6UiTE26ALGpgMmtD3oB94ig/Z9Yet7ZPlWGeQn/4c8o1tlcP4J/PMqoYQMz6VbZYpx -DNzBP4wPK+ex6wAh9AOBwe+8CMgebM+PiS7K00vOkJP6xhdvI9ordkQYw6UN0gR4MOjQSMgZypcd -hQG/wfhgnfY994N00UB3R5zJgLMjyjDkh7fSZPumYGWN+FxqZVy523/KjkDIGoz29+aVxC6hydnP -j6bkPIrBPi39Tput2jka3ypDoqO9xT9UEK7YJ3JS6G1A4+UZnh0yhG2xoBcTIF7Q5L9xyARetP/D -rFQs/n373RSBGdVQCs8f1ASl6cN2CLVBeAiuF8Tqp3rhBw7N+OCGR8xvyrDstnUOo/1LMwoeP9j9 -sjBWkGduW419jT6U6CBn2DDZeidgZHCSm9G3TvQwVod/QzeGDwwaGOt2R7HXhQN8+AAXCB2Y6JOf -/cN3GCTIA+MXV/LhphqnMYQ5VV3aRXsZT7+b255B0/kLOVAfBmQwFdB7qIL9xnPgiTrIEoxNeGuh -lMBzNyoHnvB+YPc8MS4bxpiH+GAmR98sn10t5qERaJKH2lB+Ltwm8wPfgRVxHHRu8MMS67w1Jvid -+UFZxjNg4Vdykh8xZRR+WJrfvIN/qeJsiHLOBt/odXOa7d+cjPikEvX55Apg7a+HplhBW/h6g45V -B89dJvDPKsVcSawQIsCDsGgCbdowGq7/s0z/OOQK5bFAh9yAtq9h7dtflEf/eFd/xEBicXSPWGSv -G2+itLH31zYpoqy/SwH9j10htAcjNd7fUK7/8jMgaBONQ16szYwr2seHuPMvFnwu4/bAryjEmAR8 -UYcrAPue5QL15N+sG8/d8240++sHQcpn25n8r1ar3TRi1xQ+2K1UTpY18/g70yV+NZ016f3EuImB -YvXj8C6MviccVqP3EAsU3wGLcZhpmdNH0fWT/7Cv7Cfwcs+R/fP7+KNmkM5xjjKgEX9xHgU882tf -Oz5sj2cQkh0LI/DHu4/mQbc7v+16XuzI+gt7zAMK3QzZxzBBGMOv8bI/00F44d5ny/cjXrQDwx6T -7tef7h7Mmw5++Mcw/dFCKPy6QoTFoaw9/uk/w2inkThkxf3qjx/+aKz+8u7XOCDptxuZ4WZteGin -Hcp/goPI5NznKbvmESEW9zhohsUB5jGYVGb449DZjygPxxJuy8E2t4d9ZeG1Rl02BsgnP5BfpH2y -vo1uZEPf7BlvH6Mu5rEMXyxYustqqhnN+5i1f7WsM60eE+FYGjn0jZaf/uPH4W32htVfGz9+tjM2 -6OePmEuxKLJ6vsKLuXC2JeYaP2AKPY9dSYiRlTVkxi+nyu1jIYc5GldGx3Oca/v8ZAdicOgViz0c -TsWB5eAHvmMR+BNkGB9iCx2DOYI73xXgw0YxxuEg7vejbfcvLAbe7uM3Pe9rH6vzaytg+2HPRl7N -QNALrH3s2BcYhNghhUMIht1/Ms+7nzOrZOJAEKiomVD/npKcnMc7ljJ9Yd/Ndpr8WBoMZBq3ngdl -M40oj8dYAOBv/GZ9KO8JaIN5qzz0vBcaog0a8vVNL+DLiOTURn2bD2Qec9BBN+NBvHNhyAC+RMW1 -3MfCqYTF+DiKfvhhWfvpOwTsKwTavvu1sPbxceMr1OEayL8xo/0bO0DNW8rc4YWMeYDOsOWAtiHf -6nvc/aUFeOkIvBJG6JfG1GG70Zb2rnAgrDZZxTVufpoWK0xbmePgqJ8+Rx2Gy6/9kEgInh1y/dHS -n6D08Ploz3G92uA2tQFsytTbME8aDpWgDVwlFvevYtA+weiCl9vHjRWC9xM3Bbib0fLby6A++z3M -Q53ND7rjhnkYTYQefPPj8/YAhiluLaG8Q6FCcRTFbeluXEBw7Lkb6/aF20oeYgOPXtyMAaJwgt+v -j7R88OygDTiQXVBDO7kxjN/2L02MB32ikYmJN8afv/mVbzhF97CdA/pxrzf6iDYgk/BSsW7cPBRe -SL8tBR/cPOP3axu95lXHYSH3WronKuhC35yliJXGLof1B5OQXxkGOgI4xGS3eALyYPhg8RiTm1Nc -+GF1sh+c2KAs0T4NXjc8E25YCD5CeGI2g37GizasL0Mc/NBWeaMo+IEBDCOGoU+wCxAyAJ4X70QM -U+OT6yjftbG2/BrAuKOd4xhNg5+QG3wHLvAuonlg5dveuEko6AbPwxYZgJ744MYPn9QrrHCbkV9W -jLudrQ24oHhgGtWgX2AFlBFw8JeDAZ9oA0Y7Qnto7IFIG2sYj8AA3iznPvqL3QmTES+PA7WgG3Vj -/ON+aZS1RYnvLPiiYJCb8qZdtslxw8Us5cRptDh6A87bgFyiDDBESA1vx2nJFRr1NqwMjETbQfkS -Hj3Uj7K4yej7v7cumzEJJwBEHm+B9jdHG3NtFwg3aOEtnn6pBDyU2BOEDvrFaPtPuEL3WUeUt2SC -TuI4y8iNE2JB4zQAbhzeBp/Qf4/7NKJCBobDjCE/0Fe+G5TGNklDH8CbAz008QyPEGtuf3+Ne8+N -DnjJ8bZdHwNWPUIonv7ODr7YW0thzGFn4ye8FdVfSAde2ZgyoxHDGFd1Qq5+/OMzP77EYteq+snG -kIdj1PxHXy0+/9G2dB/+iTVp7ybAnPTTf8bbQK0Nlx8LrUEstN04gmsoByTMWP2PZkT6uS0zaM3Y -/Pori3n3F+ZhJ9iKWj88djsfuARkcHC7596+0yOP59iNxa4VHULkB7E1Wsu168AHehn9CU+mjzZf -FIYywRijvsVBLRNWT8IcxZtuAJy/w4GTVjTm/It6Uvs/2zzwk82bX5s98hVCC21e/dH4MVwWYASZ -dev33vsZFIQ3YUflZ5tWHmxIhSI2RwCM7Fl+wLtuxv2vTb9jdx0LIYfN3n7um9V2k9xnk78H7JDw -zaam28GPn+2ljsNYDWPLdV/IKbpo/4wqqwe8xS0xz/1+BA52/7aPT0Br2H5lh3B/xPkjO8fgfiu/ -0jfMhh5dgoHgb2ozPP7aQAMEfw/jHY8h+GhjqGh8qwx+P+P/nOfEW2U8tMVoLx5sqxu3oKBpdKwc -9gzcPMzE0uzNsj7BlPLAEgMK9QGkyA/nn4ehWJ14hN+wM7BoQhuoz8sEL9Bh3g5FQ7i0EW064FZZ -WSQAD+hY8hN6CHrC2vAiwWD3xNs/TLawDdzBZmWgl5yG+A0a6IxkG7TFiNUgMIOj1NugYos2WKc7 -VaF/kG7/sEv3N++N5/FSHMgAxmOXzHDATf9db7i7cV2sIp+0YJQ/YjIGhpBxGDR+fgSDbzDMf0Yn -GPZhf5/MW4UbP54/Nqh95QJ5iS048AyYIDyCbQxN2Cobrxw3ZW/1IlTvZzOMH+06qaFNpFvdiDHz -T9Dgq95KMS3hBcAxkPAiC8bVonIf91B8CHWJCZm8NeXkIS/xGd4IahXhEerDyX1MXG4YRGfwDDf9 -0HuPNlkFFip8JbnX6SMiaIg6lvqAuhAaZPNg8Uj45JEKGe88dCT4d9AGjG54NWyyG+oISfR67Bkg -xWLAbxCxtCDRscMCh5DbX18wuAfMnlfzxlI3StdR2YgfUQ8WRpP8MMPOQz0GmssbWjP2OGmZ78ws -fYlymR8mQ+WazMDQ+f0h+sRBao++xDgpuAcoeI4bX/iJhZeHrABbvIgqdJTT6lmjj2gv8jeVAW5e -waIK/ygv5J0vBONttTkswvNFB1AMCxZ4nkuekJEsO8hu9f1kB1VxbsAuWXPy/SYjx8D6YPbts/ai -7AYAGC9u5BC4ob4in3hc+AG6Uz5UAVpMpvwQbKljIt+ScEUf/EVXRTYptENBkPSzGYturHj/rD1M -EsEr99aGQTBsceNjzEI5LA4L2TGWPHQj+L1E25ZpQcP4qkA+BJZGE7BEqESZa0NuXS5wtDVkl+MH -hidl10MHGwR7NXa9L0JS4FjBjRm+sB4MJ+oOHFx9/N5wtBDE0dwR1eM6xjE/oNst0egGL+DQab6e -Hm2YEf6VjVG/co+6DPa1yf7jB7uBxifl54/LNYwTyAB2CeAJzhngcUc97ItntN8uW2ks5vQyBpC3 -AhCQopgbyUP/CkgQK+hljC8afD7m7D9+Fip4hrnFdfVQt0d1wtj1VWZkG/Uy/SD7gZUZ71/ZlOry -zIP4To8tpHBGw6+8RVNZ/omf8Wtukerjzwx7m89BGsrjU2wH1yUICzW84yWFpNDHYaU+/NYpdwAG -H1w0PtuV6jZ+bUyCh7hmEt34iLewAi/IdkD/oxn4P9oCziMpfKd/kM0SzTuH1dRznHf4J7ZNDkMS -OzboH+aqgKUckbH2D17A5FdFRqiMl+StMkNDh7fKALM0qcIQBencccTOAuLKGWf+hdlVuDO92ESw -vk2nIbSVB1QBIQx8N9CR135zHmKICepDGj6+OI383HV2GhzMwYiH3gQdbu3jNwpamwxjgdx6nciC -AWDfYSx/YeWGRuKv1YE89MS7PrY64TBBnV4maEKd3qZ9sNBAui9ULANo9v6i/pAZ0IWfMNp9kQyj -PZJ9xw9tWHnYPBhXCIt5sN0hGO1+4xJ0W5C5wZ/VhrszDYOW2KEzfAZCnX/GqRBM85UMZDMt+Ovx -YqNroBI/3CuUflsZD22NsBi/ltYVPZC1AUhjJurz38nDVdyTp6x+0C4VOvpB+vGdC5HRc6N9rOuT -MAQH0TfeosD62E7N5FjMDB4xJIZg+W8K2YJkeL2JhCJ8qS+5H94Xq5dVs40RBlW7pGuyD5Y3Y4Z6 -XNF20D7VrQN+pHqm+FR4MdEe6TrgR/DQy1bliFXdp5ofmW/ElP2pJxq0gbaIS5aJGqsD2ZrhfeZF -4WXuD3lc8afejZqTy9ys5fEbNFwvIkQmlBx+Uv5qHDlmuuShwmdujIzaOFK+slzVsknPjNFadJbr -Eij74ePnDtICe5giQvfVupKGXe8YnmHxyY8L5pV8T+q6kJOp8bokp0vEATfIjOvzWCSgLtZnfx0/ -S4dH8mDuYN0H/ECCzQc51CSPU5bLz3ArTJzh8HkjhwM4jcFDli1zXMg2zonlvtbzXBkDIY/O8wqc -OX6kfo51eJLtOX3kZSMfu1B4eKQOJr02xsv1yGUuDV66vnvGqsh/9s2BpCl+OEZ4YeKz3eBjajR+ -KDMVP6bm9AmdBXqwoPpsdoEb4E4HbvAY6hupc8OUtuyXc2cOKhZO/uR5HBiKX9rWDmhFSFAsoJwm -3HJTHCM1WMPvLF+2F4hKkk2YyyTh8k5aySLTNExhqEZv/UVH4JvZUbyv3Q1s5LU0N/jtL8BAGRjI -HmJEisKLGW8SfjZ+UxueH23gr1VLYzbX5e1FmRInZTR5Hoqx/c7GtS84AnXSCL7zuy9AqjKju+Ut -L1hf5Ce1h2oJq8f5Bx7AwbEiTfiL39YpbN1hkeYHLmKSnpP1Htmp8mTDPdA6oZZavnJHWd1UntxU -GTwz7bfa8PTnidNryWVa9R/b7TkmnMKcLcocW0fOP1W2ftaT51gMmf9Y2qfaeUl+HIPNXnmPwf4Y -rE7lTRlv1ZjsobO3zd58PW3O5Wm1saTXWjqvbrPV1pp+tMoutd2jC7boSwuvHh3eqqOFw9Tclcu0 -6m+l9+LUIwvHjON6ru2go9hBS2Njic5jsZhqp1VHK73B79prPgtpDz+m2nIvbBDpgMKIs98eXWCL -EzP+cDMO3uQKG3a4j2L4Pby+hlwYKv/KfmMfE0d9UQU2H/DqMWwE4EKOp9gJIylwqn9GghuZlvnL -/82S7KHXi38DHYNXHMYyku0vkkZ5QDqMeCvrZ+yc0MGA5xti3ei3f34+jW1Yvq/M8+wrc2AR8wHa -8JXKf0evfKdtWIzaf+4TjaDB27P8AMidcaAjVsQMM6MX3GlkG8hr38s5MGuDOJQ20I+guThk8Az1 -RAgwrxxHeKf3MS2dEMYDHBh2hi0T/MNtgviU3Qu0jf6ii7E4xO/j5KpMpmG427Lhq6/+bNX8f2S4 -/goBISAEhIAQEAJCQAgciUAYaW7E+RV3g803WGpukQ/PYNRb+s9xIBZmuZ+NsN/+fqNolvYdbo5x -29aK/WyHHTwKA7sTyOje62ejEmX8BjU3kOMfDPNwjLuhDBt5ICT+gN7UV3z33zDu8T0M6VIJfqcq -0Gj+7W0kj7zfcBQZ8OdPMHJTGZJCumDY/2w/SAeqYhvshxv+KIi+IoOV+QoVRDseu4+f1tB/DzxQ -h7cRlXjfnn96X50uMCM85s7Hqo0RNshv6eAFmFT4HZ1CNd4OMMCioup3wLjw53+xes2l/7/G4dSn -n/9sa7z/1wrYQ32EgBAQAkJACAgBIfBsPAqLIxFwj6p5XsP5OuVd/QzPrB1ipIEekS/wuY8aK+l+ -UAgGeUqvf0fJUgY32nxlB6h/Ci+1XZFtVyTFgVTL7KE6yfhly1wI+KrB/gODkzcW8XfuFPP7/bdh -xMLwRXs4V4EPjd+wZYcb0rAKwR+/wmtoy9c3aDNoZv6yOIk8NNjZhv9OZRymMOBpnAMHnr3zNrga -GJr2344VfsPIDkCQBvJyG8XA58IH/QBPF7aCPg54OH9iTUDIG3+/uHv67+Zgp+HuublP0lmFsgkB -ISAEhIAQEAJCQAjMIGCWGY0zj5KJ+JbyF6bXs/Xm5p7/nLfohjx1mYYFyCsInQa47IOW0pQ9iGtM -vSN+btaelbOFEcpCuj1TXSbyR9Kw9sB/cGAz4IkrVAtY3mb8Al24+civjg7c4gBvuXJ4lB/ZwkD2 -sjVNVvG9XcGWoUG/ynWMhDn1g7gECcPlD2wjeOf0RQbHJ7Bhp/wAe5TJ73CgLV/Sj7PaBxt9+Kw/ -nFo4oC9CQAgIASEgBISAEBACiwjgRUywjv2K3sq4LAWTAejP6t9TLUzkKUaz5XcjuTYY8XC44aZ8 -8iP/nlcfyGUP3Qif6WVd3jPmNqJ8uRYt02Vteb34D+4+rj6oJrfLd8Qg28NEmTq/V4cFA/oEOlIb -TiYMedLAtml1T2Dl7SZD3q8vNf6iXi484la1aiNlBrz24/WGu72cx+9RteufnnDbIl5ZjRf24J/t -zvjK0IFgx0OwsAjDlVaxIvG32uHFHmWlY/k+2B2ruJrJnns6rtOzK+a8BuTFs7jj1Vc93qalWr1P -tkpyOsATvM4e6SgTPPN2UZdf/XTEx+sZ+F7kH3VgVcbnqI59Z342w3JsN6f7BbVRN2klad6G/SBG -meRSJx5y5TnTpyn6nd5UbqmNkPWp8eT01f2qySAufJ7bQhrHNrFoseZofgSGaAsf8GyO7oIJZKsi -BDLl5ZPMkub8bAoP8AvjHzTgO/VGwSbJUsarxook1W9mnMIMOLm3If5lsSfuHKK1bMW4HmidyEQs -ohzeFupjEXkpLz4mMZbRflUHnpMf5GemIfPHnyd+1PKUeTIao+DzEWM944U6czsxRgc9FwKL/gEH -plE/1ViaPAz5Ei01X4+hc4rXxz7L7ddjgTJT9wPyC3mnPEzJ6RRvZmgb9DnG0qDDa4xcZDhH4G5w -uxqy5uckPyAt3j97ZZaP52UZuH8f89DE/OD1ID1/MIfElaBlngoR9Ww+xySPo6elsVjLVpZffD+Q -/ShPnUFdAn6AZscwlctzRq27/bf9x41HlmGjbSEaYWX88PEd/XOssq4o9T9j4dETc/wwjJyf2Sgj -Sd+HLoHtwfEXZDuPyQ/mzzol8HRVhvqt459guwTp7+JO+E94sVY8fLB+PITOcvG0tMdj7Qby3flt -CvWj/Xtrk8pTbZiGAhmArJjA3zkP+cW0qoz/NKD5kjzWW34jQ8i0y8KQ3T/MQ6OUZT0PjfYAzqtI -Yysbssyf66zbLP1lfUaXt5HrTG1wRwBtUoZLOywToTfsj6dTEEPucxvse+k3eYD6Up158cN+lzZA -tyk+yCAWEe/sHncY76OdioqtJ/xcbbhj4Ny/f2vKy4iDMOLjbzPDPZYm/Hj7na083r7H28bsw0ne -foN3TzYIXeEhvxud9ttlyQT6O/tp5aEE7r+z39bGE4TeGTW062+u+37Ic4ffaNPauLftiPv3Btpb -+21A+u7EW0vHOHEjwV7egEF4H297OwY8H/UxQKKuIneuDFC9NYg0dAt5OdDdmIk0xwp54tn3QQRo -RL68uEO1vgCJtKxouWBAJ3lV/VJ/Mv15HqOhSkVHQUY/2Ab558aZPc8GKLeAfEKPfvnkbf+8Tfy1 -H3A24ON58CXwwfcyeJY6UKdZ+UKfgz9kQJtlIgvMi4EAWSP29pd9Bw3Ow8Qj8rBebGeeogj6hWfA -xkTP+UWD1OkJOp3EBDxlphj5loYJycmIOrwvCau8SHTDuhOvAyMNmIdcYUw6P4NhZYFKWTaayB8f -T4ExGq/k7h7jl4aY3RH9ZG9B9ro50XLRUuwgKODoow/M6I/Pa0Fffg1DPc7YfedV4ERlSrmjrHZC -5X11WqBvgEsUtEeu96CTYnJ9coMuFLbzwnQW/kBpZyeGdXJwPQTP3DBGhciLZ5XM9NJ6aj62yy3o -LJfef/AE+in1H92GzOCleFzQcpwzf5bdFm0+Xt4NhlpA9smwfLKXHg26w55/hxftQL8HcPeGozl2 -3JmDLBP8MJMsjGqMOxhfJmJchNY0gQXWxlszpjCXg5VP7yGTw3wDI9XnG5AIWXZC7bflcW4abg8+ -56ERPMHEbRVBD1jbT3+bFUE0zjmk5jnlzg3b6G9N78i5EmNnQGLsCPC3Vg80uD7id/wFfvxg7CO9 -tLnANMfqbcUPy/+39qZa9H1go7EN+mLAfnCUDYPeIw/ADxjAc/yI5j3sGR5pn7cHfj/5s4Efbk8X -fhj/rL2PUEa18Q6ikNfzD/rt3TsILx58uPtoWDi59sbnt6jUbJmPxSFhtBq9b43fMOafnvCyr16F -SxwDFGDwwSYKd2hamzPsXUB/XVLRt6ka6nQ+cq91ZKQOnWvVs1UT46iN6Hf2YE/RwGpyO5ku0pSN -8Jy3npuRVverBzm04wpg7oP+jDyMz1ixCEQDdqzLIHSbKYHaWdVDy0KeQ8Od8hh4z5ZlPsgfdBVo -Le61B1+hunDDe2IdfbRBhpdOfzLBdZn9Oxt0UHyw003pPtgY+oRXJv/LYeA//At7BmViK1I0xXlz -mFeskI3eYTFuA9XbsDnEM9rvYJjrRRuNjwbiow34By88KNYnPLOJwJUxBmP2GtZ9j2KOBerAqo0L -ZfeyogD6Gs/dg7EwsLnqy/V6pwfyBqMd7UDZoWOhAB0Ee1YWDQO+3j6wyoIzQDP+uECFcs51sE0a -0JgM+TY6V5ZBKI2lkMdSOfKjz6Sh1AdWRXl6YLggQWF6UyDgxJQxcKBzThZrfjgmBg6VspdDu8AS -OITSHqExw6PCtil+WAWQk+L5C36gH5wDCu4BvosG+hK4A8NiQNqz0TYf6g8iyTtiWbAKuUB/URe9 -ty2sRguAwANluRg0o2UYG1A49pyLsBDtw63A6Kh71q0seBCeCkxsMOruzQjCEP/0ycY15Za7EjSG -3dCosAbfykvdgG3k8T4As0qug92DkRByxb45mcDK/vlCCrqjwpjl85jxNqw+pyV4GMUejJ4HM1we -YYR8bwyDdxBGhD3ziR36CAaGyfS9OR/MFBjMdei37Kkjthw/NC6m6Jno8smPDvqPfsZ4yxM1F6Gk -hzrJt385fkJQD7aWJ6jLOofJhh10PXjsOthk5RHGk+HpmMEJ853lwW+8bPIDPJVmRFkeTw8v4Vvy -A44iew5HEMp8dH4Yp0wGnmAs2j/MBXnhjB2ioQ0z2mGwmwGKuene9Nm9zTNOA+Yvk6FHW4Q+/ktM -Wvb/f2Gk+OLN+A7eYrL+hPxWCYx90wlv/4XVGUZzeVEh8KfDh97uggfljvwoCukZUI5lnx/tsU++ -kY/jjPoDj3MbPn4w3kL4PD03ngQAWbgQKTJjOGEsYd4EVv/S+GG8c34AY2DDMWB/7797Z+gAczPS -/zZwd/XzzA8fK7XnHXVgx93mtnehm1yPWB1YEsN+KPzweo3Uf230up1htFAfUf9WuBAe9PzR5290 -JoDwxOcckDGMZeDk01nRTS5q0/PsqIrgk9F/99G8c+wrcPCVSf5kyurK+TvnGdM6JggyhEZgP2CO -jTkIY7UYtfEM1fgzZ3rIBBVUlsEqv88Z0YfsZaeSZYhNMbiRP+qjji2/U90o78Z49DW3wX6UNkA8 -86MQxiR/x/hwRkc+h5t9g+3CuQ+4hK1Vt1FYFHlYHnT5eEq0o+qi222RhrkUnnfwAV2nPVCzrpIE -/zklX/b40HCvZWKqstxgGv+T7ZJQDF5kKBPEMBBGC7uSFwSTAZxoaSmHhMUKpuykjAb+QBR3flxM -vO6QTSgKePJtoPuE8PTOlDvdwBO9OMCEA4J5KdjxN9qag24kN1kg0E42junFgmGbDd5cMdryCTb6 -uCQMUzqBbdgk5Man12f/snE+rMpGk10hgWl8wBVWTSPzUajpfXYDKzKz77nslDzOyWjWLwXkhMsc -Q9jXio1lEYVybmxHBtCcxZHyReyBQRmcFVEHNM7QxwHri45oLxvaGQPyfharJBxZNnN/KUNcdCKt -CoEpHmeWm5tzMAGOlCTlygpmxyO97uQL6YcSJJ2O5RzjJp5nXrKOTO8cVgW7PJBIRyIgvMM+Hm2n -j2EScAq6LjHj78m9tOGV4ULFRcLqSR7JQj2q90kqtxOpmZwjYFjM6n2dqDjROirvZIH2oBNhKjHX -dZM0M2bh5XaDGaFGf2s6mN7Tf22GtykkeN4fwonwZF5QGO4wqh9tgoVj55PJmk8F5Icb7ta7t/YX -Rpzp9keLN30ygxvGou/uImyGYU3IbDLv3nJ0DwsD/DMvkC88zTAHDcMH8hvGZx5HSMJiDXradnDH -oQbB05EjJ55lw2QKyFq35jwj2T5mgLAS0hUK2euDvDcmEvAdRjuyG07OD1uswGiHtxq75I//MnZK -ivMljedoFliRH87AKc878mZ9EXi4LepMjnqLw+AZoAPpbkJkHRvc9wbDodKhOsfO4djUCP2c669l -3fthsk1Pe+IjnIpYaDx/kJkV1BXxd84TcjlZJoCCLZV3gcJ5OQgqFBfGNvJgLCSlzjIlntQzPC/m -UMYN3SxTeBb9ceM3ftOwpVFWOhx6soTjRBvuyAx9mezEcfgO2IXxRuMZv4N3LOPzUEV32SEAnmmu -Yj9Kv9lXCmHqe1k0BE+8HbIuYQhWQSfhLz3vMeQS0+e/zujNYrh7XCoUJDAnER01H64Yx4XKXBzV -uny7EquFL5eDwI2tAp+PfdIcGPMc2zbUhVV44VGku/wgxtBHWhpZI6UXYFvfDxa/hSRrN7x97uHp -wYeTCQdUHtjoQvYyTuGc26ACAw0HYzlUVBhZHjKUvbi5D/geGmhSj03qixj8rtOyYp+RqA6ZOcji -K1Z7SpZjC9G3gqmh6xIDP9x7OWUIleyVjHF7mZMU8/kCPdFwoPWr9unxqkFk+BCy5wWJF+/Eq8gN -26z64DgFrZHl3sMx2MYEZ8E2x2qBOfQAMpSIE+nSMM31+bzHyc8KFa/eIOv39tvHYSavE5ID6EaL -wBazEo5hKBXZmloREKteOeYWKPtV+lSvaiZN5cNWMMR9PsmT0eRo7aVwIh/1WbDj2Opd/jFfpKop -C7UHOYtxhCjMEu56y/odw7rohIDSOe3ew0HWvcl6Eiz8SIWiwWFXFTtBw1w3nLFKdRQePvNuesjw -KXZrUwfd2YSyBYxlHh2KyIk8nRkDgZNXCpLyQhl0uz7EWLXvJawvCwMYAVmpyCLdjj1shmogdw5J -Gu8DP0Af5urUVojDMijoWOLHMQjGWEN4DRxmiBJ4KDqsoyLXayGPxYOYykGUHYtpo91zenvIw3I9 -SrHOs1CG8k2xpIgWMc3zAh5aXSPjerC3BiKZTlpDEPLY57ZEns8pf/hbQslqfGvGZ7qCplzeaZzr -d86fxIP9KoM6tUm6SPfBwI++F+9vwFHTMalL7SHDyM3z/jz/dMiYY4cdH7DhufJnj3ts9w7C1Fmh -5w3NiUoxAG317Z+JDrgI2NbZncUnutxgSzG2vvE7L64nKYCMu/fFejFHI8aRtcHDJO5BMdA8LIcD -hMqedXCyxECc3IeH4UNroYENsg27pwOQ7k2whx5iEQ2OJpuZjhCQQuMEoFS8aIceJORHCNAMmYv9 -mBI6hoAgLR9SZP2gs8Rj9zBxgrA8WLgwgjwipnWmI9iWvo8QqVmO5P5kfsQh58KPLPNla25ahktb -NVagMw473Zl3b8SPI4aTjyHG5U+Vo0HsiiUsHGI1x3Pfwm8QgfEL/rr8xEBBDHM9kH2BH0yhZxxh -Afb8Lca20Qfv6fMHYwCD0p5xbNDa8rYi5wjP1EaJoU6K3GUxFDcXLJR/tuH8wMwZfWL8bkOuhhja -TgVo2R6wjY82uernfcVzcHdW7aqD4V+9ZY6RM6PT54Epg2OpnkILGWYP+DWHjE3pEn82kRATEowg -hJeUHBSjEJ3BuPJYqIFCZGTm4DP44SxIut3z+m8s9m2nlZ53hLh4HL0VDvFCKI3HVNM4jfpt/9aF -1cNEsEPrshvyBa+i54MRiyGAOQ7Z7D9uV6LdY5izUV6OHdCKDWWOBVbvehc0YuxP8WWGX8EXLMbh -fS8Or1zFlMxOPEPY0rPn3Qhi6N/i+AG9sAGMf9h5Mb3jXbPvj3Do+G5XkoFZOMOKhXrCZRZhy5iL -oZMBcTEH+jXTXw8b9CiD3jpz0zXD6t9TZE7kKeMzdFUpRiEP2a2Nav6msV/KueIYnAvlsGdFSymD -PJF/ZFsRe4RLc/AFHfjjMoY26q3tYZg97+iCeQG+G9ExAbC8D8ipfofc1204WVDsLAPaabSj3eh3 -2SGIfpff7OsEb2B3uD2F+Q2B4x0f6BFUCTs26ZCDUBk/RMZBvFQzhcFiAxGH7s5ReMP9gCEs7MiA -OsLIeMSk6bIyAOGxoCHQeaqf6o7zCnFyYewjdtQPm8ZgQ2u0zSBLrI9XcKId707uE/vg4NgPHNaZ -i02HQpgbN6meZ9rR0GTC8NgVOojuGYwVItk48cGFpqAcsMBAP20Lf04m0I+DtAYNeUDX+LnSwoCJ -BCqoLqkMQgrzrZ4IRxk8FSapc/V4P8CvBeGn982z1PxIKGR+oE6/zSCxr2N8DTNH4Mh2iyxhcEDs -F4h1HJkv4VKzJhtaabGGcyQLLD+ctOcy88AajfN6C5vxkShfeB7yFzA7sjCQYMDg4Am2l11mobCS -kiUNaIMxqGRVDhvx0K1BvocP5ATPQEPU621CHjCYgzbuxrj84h/GxnC4bEmuRrQs8D4bvoP/l0Zl -0mazA3GmYipqX0jFFusxY2mB3lES6g+9vDyIFiqkNxb00SkxN26y8q2NGPbPd9GGMUCTYkDSI6IH -1RB4jtU05pshzXdEM+albq/G+/xo8vhsvPvA81ZoDwzkxXwR3UesOz6YwxAr70McYojYdlywwI9h -8oQ5ESThfBWywclQkdXLpq587POBnKQHfnd3ImKUN/TOAV8SeMQ9cEL/ELLtO5+woZNtMzftTfbF -yjo+ViFvFiq3zVEvkwfBfu+GtQsj3cOe3OFmH9hohn++EWYRP8Tkhx3yCfMmdnqx9sLB1yw3c5Vg -kc+0GnvqbXfiYTUKYcEhjaoygDe6WSYr/LrSWphZ10IZFqkNrPI7BNmF2+opRnH89oGR8vA3b5Vh -CIwPj9Q5lqGOZox6aQMGd24D5dkO6IC+ptEf/WQbrJODkF5vry8roPjOvnr6QhtF6UQ//HfK72Rk -g56DgbqUWKFgBhLVWD0Ix4O8QtZsDpq1JxOUfs4mdoGy6ITh/s3QEFIYq0ea54SWAxlxbXC0ueJm -kPRUIUvHgONkhFPpiJWLmMTnEkSZ7gIAFatW9N8N6KRUfXU9hMr4B20g9tT+9/Ddez8c5OsQbovO -9SdWfU9Lh0q5u1DHok5NVphl8A/doAfVtTgJAKHPNA8aviKOvylA+O0GegxUGjTOq2flW64nm+or -ihaBnAEj0+HYkdmcHVM50ODeT/uLw1J+gwZoBE1zYNf9tMz09rIv4DMPTtbVsG6fczFJVsBlPUN7 -KvPDjcdBHsuHix8S3eJHXjCxEjdyrSA975CpFB5H+RxdvZn7lnc35qAjP9h374Y99EXnAt5IY4jN -VL6MmXvnrD7gBCOEhjWqp8GM78AdPEfEgJ25+mQxyohZdkWTw5LoceItE74DlbGvaEcffWEbjJ7z -ViH9e9BoebkgCNY6xlzYBI3uLABO7q1bwKoeh0tZsbsCaao9QzDc/ADx/K7R4ujw3aQjCOkcagfZ -iNfapqj6l+rhxDi1xgQd6DOM6nzFn8uZI2y8xoFFS4dXzXQ7xLmuCum+nnd5mPDWUeUyxtot7EAF -RUED4rZhTKF4LSfwnvthetAVf7OzAnoLhrzP3U92yBa31Jhn+K09q4mlAXIM72is1GWm5iDfZbJ/ -2BUqNwMlBnFRXsLjQm+zbhrjNd18DiycHwYSbs/hB1MR6LQ258NPI3Pih4PGEFJbwHlZd6IkJrhK -wDWQtvtheR8RCms39uCx+d4HOh5NGBGaUOuNNCf5uhUXVrisIYxvOPSKWuAY5fnTKfoHz7x9fKFZ -W8TRr4GgYVfGbxeCkrIPQyZmeb6kmBLAo/KtMqABskredw72yvY8RkwPjZkoTR1wTGWkox4vnd0Y -N5ULpe9TdE2OT1dUwVzI2vPX2S55KKvxH3OBy8346uDJciQNi0iawinj2ONO/rfkABWUPDEafOLP -oAyWgD+B7Y2/UIxuBGLj0QYettXzoCxjAIsIWlyY5JHfBhkOf9m22H0s8X3QQlFX4JX7ejGIMcjd -zzbu1BALHtwqW50NaaLColFCHMpNIan/ND6SshiOpFshKkoaUqCfhgzz5wm1hEdE+aQ4ysFUKGc3 -Th3e+Y/rporBNHpIcy5NgzcUsdcdPCxupx7hnaPIYxuDZm8D3+2vG/IzHSH56IfjFH9d1sDXQdbK -bTh4PuJHVMAbRsC/4jG071wQUR7Ji0Gko434kSc196rYP+ev5fsAujAZYpYIAAbxP2QS+0S6i4yx -UfvroWgoahXkkBUeLF0UX+CCOoBX3XxghvK+gLV/CJNBPl90Rnusv0wAQbTPbrgxxf6Y0TIcOKSS -qokCHtGHPDZqSIAD82VxpazyoPDcCjHLsreDPqIfh9AfwFYNj4MmQiyxNf9khxE9O3VJHF70K2yB -AXYh2Y9F/iT56Mm3ZZ4lfTHBvgNjlnhRNrN+ZPkp3YI0LvJRKeQTsh169tFDTEz3Y1eyjHUrE7vf -w40/GG8xV3Dy9fLxg3EzE7pkMLqG8xfcAS+6JPr0AJ8R2rHwTj+UieeYx7Jn34dKjE86HFB1XHns -EMwZ3It8nGDMSC9E4dF1nWlQgYZah3mRyOP6yiF4PiPjdzREu3kHK4kndr7dUcd5hAsrDG3qQxjt -OBzM8VoIme/wcN+91es7ZbjpJ/SI8+d5GcvhPIxlzP9DJ/1WH7Ny8vR4IKsobPVBrj5aubfmofVb -PS3shscnPuJmozDI3yKkoUQKwCNvR6ZtF9Gho7z3jEWf22J1k4x3j6mf/UwpyFo51YVzGTAk5c+G -ePGIJxkrO+sxoY882sAtZIMy5eMM9RsQPCBK2fE8Ma+MwvGCvzTa2Kbnj7GPryxTbBUay6HAZ/PT -bsQ8Rr2A7xwLMQ+ANobwjG6VsYzuhGGZRJNDbXQwv+cJrEaLnDTwQD+Mdt7S547X4NkSK/M8NZHv -8FaZHiEc5XGt5Qr30TzdDpBfnRWZrENQjB5zjjvWfYVrA5+DMRgE3fzoCmiIV/MwGHxxLwwMdABm -/3fliavB7JldRVXujU1xwVAquEbK8ffQESh34A1C7Rfu/I3VPWguHurWmHC60gTjCg8TM5gBZQPh -DjycYZEf/eA/N9Dth19xN8jB6ES9X/8Yk0BkLXcl+zwTg8FpjToA6TGrY4chJMNDm6LjjC/Ez+Jt -ijaoqItnPMq4kTooWv/kiSJEoPwp+NqXOChWFjHcQkN92Rtb11H/Jj84GlyG8A9KfIofgD/4FfLl -tDNWGs9ynCX5QcMe6fnmnxE/gs+uL0IOnIcxUqlT6kmcuCAdN3a4vEZ5pzE67duu0ScuUFoLtYwX -txSHYTD+OM/tPx5SEkwchurwcRkL2QMm7CPS3IgG5oOhhV20Rxujgzer/kCeqgnF64g6gT0Xtq7/ -kqLjc9IfzR70BfWF7i0RLMSR43SCskla0QXIhvNgkBt4ZB/toeuZf43zJJYJ3j+ETeRYe79bEHyk -LAwYHdDLCBs3XKOdLvo2ysRxQl6S5/hdsAbvEy/qpsErLugpu7WMTeycAK9H3HFueh1nJD6Z5ee3 -xrhTBlYzDDZ7BocNtprtthjf0YG9VvA2tw7aghf273x2ccwf4aFPL/k7QCuw9hcH3htPEa+N+QVT -TMR+DGekzEOG+vAyRGvjyXZxfecYxh36HdfxuqEKGHCzDOoui0zkqUD1K+JiPNUebZffAK8sbBL1 -ji/So06+iJA7scSdcoWiRS+jzTSAaEi4HkO9MJ5TWzXPMB59fBs/MEfbzWx+QBNNwPPNHXXfhbW6 -/K50fDomKOrjkLth0TbYSSCtwIR87mxDInb8B4J9h4u6vWZ2wSLGoBXBAgQ3QL3HlZU+b5gxb/R/ -LIeWh7j3d/SUki3W5idrH+E1s5+R7Ieics97Mt4HdVKpAyq1+aoHBuUGGmWQ1fmfjFIandl5ST4V -JwTLhB7Pt8iwPoSQlZtonDFAKYgHyGiT5ZFMw5fPAkPaIEwvUwcxTnUM3B7aGOXH3BWi5iIHPUGa -fJVh/0gTZCfyRzeHhUnqa/EghOS5IHJiIaapryQLTTpLrH4s1pL8PJOexu8cqzlMJ9LXG+4GjF/l -hThWbBGaJA5yYt4obD+FQhjuzo3B5XgPinm4Qgv5hxHq53qos5Duq+/AG3fAB0NcqXNVhhUxFCsH -Eg5nok5vw+iC8e7G1vDGM+o8KBnSPIfd6DkNCVSQFR6Np7JiTcKDCvzEPgXQ/tIw5YDKypH5uJrl -+MRzjonS75BFtutNNARi5I5INNFbjyowMZCmLDxUik4btE4IqA9M9DGEmTyjrs59oDGIumi8c0cB -bdPD38OQmh/efWj4oO2AHxSszI/gD6HziTgp5MIPTGr4F4RxG9qNXTyLNv1rYJEx5PNUtdfE9vC8 -xJOjzpQxYwUaXFeEPCxNHhnD7F07wDZoL0ovMR3jk3h63+0/XCgWnkf5wIYL4QKLK7HAhQbEyKYP -ma1lEIWQxPZZIZ+TF+ib45jycsud7fj+dw3+gpChPl7DWfg05PdwChN2XOPGEBnXJemear/P/W+H -8yaP5nV3/chxkZt1qIFpyNExNC6Q353kYzgYxEVo0aMBsO/CBA+p+wYkng3CfHCdstsDNxw+flWj -fWA447aXmBvKnft+7sLCV2wCxD/Q8okOF18sumb3BZTfvw7K4OyBI2lisXCIDQz8waNb2kBRzD8R -eoMW/DvyYJ7CSwcdukHwvJm4+s6vRfSM9gj1QjYyX0GgG+YcMImiA36ELmEW8qrkY0ORgeMsHg8T -YYxP0EADKePitFNnxVicEyCw3A1b4wdfwoTqfS4PA8eqcPmHPYCFgNvTHPidkonyzhOEvMROB/UI -uA2bw/k93PFfxNEWa34OLlvElGu3/tEB+0fow1gfXq70OBjtxM75Ovwbf2Ist7oy0nHUkfbQPe/D -mH8yfO5xGLo0QaVWiEitMC3nqfNV5YfBMCy6GTNe5sVsDA+L4XJY2XV8lCmAJOMXz+hlH3nHY4Ln -3D+8Let5Pjs4KMpJhwBkAxs0sH+RznoBmPcj0e0g5j7FoqG8UAllgu4COMuHLuNOBOupMaCD0duP -cTMYsEUGh4MSMPLhaAh6alugJTuN9NWGu3uYbNVarquJQTxa+WIA+uSLAVNGzLCt5vyImB+mhfIZ -0oNhPoFj0gxlUC1VhxAcDtjUBg0jHFqBIucHAzJ783qB9P5mJWTfXXA5yT3LjlfJSa8e+1Tk9XOU -8bSkQNEcn3HHaERCCN3BSnymUxnXAf7kzgie1F5vDs66r95EdCLjOeU1x7My8NhODAB29xieoNlZ -foCuxBPSWbDM2FhF3EmY40cxaolXZHR+RHniUGLCIfOVkqXnNjfvHuxQ7CS7xg/NwYDhgh+/j8GK -7fkikjSlzvqiK5Rhxiq3QcM6T0g0mCtRGzzP1gkaDpRryu8oP+U3ZIKGOvOQTPzF24XrMw2ggePc -20E9qW8+BhO+Fa2TP12dTPCPmU0P4RAcT8QX/VbjAJ1DT/ScEUk5Ju499G2ZJ+NVqTZvZsnmAgYI -q2LfvC814xaIdVEcPOOfQqe7XqZ+pCrnAhK3ljFGFEUpym6Q2TzkNtwQCtFntKc6og0/IAvDn/1O -bTziLdzYMSoGciguLDYgEwjb4TzmXYu5r4YAOHHBWXvcR/JfGdJFf2RFhTlowNEJq3VYHhvI5vII -vqa/COtDvqq5Wc7hYCZ2B2MhF+uXcXYsyvAyK+4snyKzMNBDtgZqE954X0IJxRkqn+W76wgH/QAf -et5r2FAfvOqPviVTdQ1rl55F4ZQdDuMwPO/lFrvaFk/sLC0HexdhnMozqjsmsjwP57AVF5402WVd -P/qeJ0QrMpWvPMt1GjG8N94dfsnQHi10XEDtXyzK6vop7/VcxFtlSv/YXqKxboe/Z/tKuSNNKeNB -Weurd8sWZ+gfZKRHTo4cG6sNd1dMo4OFMYAOXjmcZ99EJRVzMexbPZiYgEEDb8OIiaC2YYf4uRj3 -U4Oi1ayXiT5MesXSsJ/a+jwY+Y0G59pwg2Kp7JT6SflLHxbqaHr9MLE26K+Fda5d70+D5tmmQhZm -y3fQmeteIgP0T/V58nmW0Q5+1PUuDfSTsZqQgSmZXKrfu9KL6eAJPbQiOsrPygT53SF7LflsjveW -bMXEjZjgpQPtqGbpMOwq+W92oi/DUbKd5Yi6qJLxUyaq8LAu+R7cUMJCaMrIcfVs6S1+NEUHbUR/ -Zowp97xP0sC5MMoTljrvHN6kbVEmWuOnkT41vk+VQSxWKgfaAby0D/okcTLXYIxjnFXJnPezH2KS -Z23MZtfUvvA6kXg6NbIcFLxo+FjdvpDiKtGMvbJ4gpzRYxvp+O31pfz46WXCmC54RHlftIQBy11x -75I9qxbIZY7zqoYF7IA9O1HxAuS5/CT+EC/QwV0O0kjS2eXchncp2sRXNpmdQ7Dj2XW2yd3KrIam -HEp1fl/ExeKAi1vekJd3Db0f0e4U3exn3nko4UMTsoP85PGMLjtYfFfV0HD/xRjzi6Xh3/EfXoO3 -WLJS7lOa72ACnOvVFBhV/V60Kp/rn0o/vucqIQSEwC0j0KP7evLcMoa57y2s8hWrU5i1ynfhbHPJ -0vyw1AYdR6Pp54h5rIu+C8rU4oeTOreC6e3HAj8ufU6fPa/FxWGE/8ZhWN/NccTMkoShGb/dWsWa -dSJ9sAGZP29pwlnJq6EREhKGuhun4cmOA9rDizeRHtsu/M2LO9w4HcLUhmuasRDIZUArKLeHfmoX -v2GEpzqLgQu67MdkG7ES4M6+71ijb1wMp3Q8wjkLN+QjD0Nz3MFkZX1Fhr6jTftOmpDfFyVYCMX4 -RN9LuqVx0TJqI9ON8qgTzaMNtGX//CWh8Zka+r7jgvQZveBhnaiKcs8hhPy/Nhv9f9yt97g7fT2K -aas8z5iMv+1d/1y7ei4EhMDtIiC9sy3vW3iuTe+gNoe6TGY/Aw0dZF5GlhYWvfbBUm+W2uhp/4WQ -ck803cPTNNTuzIOrnKvIhan0UR2+UJhqk89gzGa6aLSy3Mzvuoz/bpSp00sdnW2g+oJfMq6zzTnC -F4uE3P+O33UbdflResjyXBu+RrA2ndSas4n/ByFBtWxwoTEvtxsZ7i80MNSsEBACQkAICAEhIASE -gBB4cQSaVnkfhQt2PyqQ4d4Ho3IJASEgBISAEBACQkAICIFDBCY3f/hwzhJvpU8DLcNdAigEhIAQ -EAJCQAgIASEgBDZDIFvy+L50znMqfZ4QGe6bMUkVCQEhIASEgBAQAkJACNw2AmaI+7W2FhCP6Jm3 -eBFZNs4jHQd9/WKbeCFU6zqZAFWG+21Ll3ovBISAEBACQkAICAEhsBkCZrR/tLtpP9ppYb6pGMa5 -f8ITj6sov7cXk7gj3gz7h+8q436eGBnumzFKFQkBISAEhIAQEAJCQAjcNAK4ftJe1uZWOQxzfHfD -PcW0Mx1A+bWV+Nd3S1K34f7unb3gu3pL2U0zRp0XAkJACAgBISAEhIAQuGkEHs3w/mgvZitR7AiR -gfHOD36/xT+7wB2edlxj+dF+swC+ezpCatqfLsMd19q+++7+7v07uvpzxccF1bdJOjXHEh2XQuOp -fVO5eQTE29uTjprnkoHrl4Epnrur6vq7frM9nDvQJ57fpkhcgp4/vAUGTz5ZPPsnM8b9Raz+AqX0 -ul140/1tyWG4M/bdM/PDdLOxUWGjq12Gu1c9O1YuZRAt0XEpNN7mcNu31+LtvvheYu0Hry25RCJF -06YIiOebwvkqKpvS7dL3r4J1uxB5CbxfogFvYTXjHAa6h75UHzxH3DuMaQ+TmUh3g3/KQT7O22+4 -RzkuBHyFYVsDl/S5fzuE8/hZgPh8MDqfLozOS8LsGmi5t/Hy1kK5fLw03lR3Df1VH/Dqb4z10JHi -+U2IBHj+4K9ZB98va+65CQa8QCeh2x+M7/6Geng0X4AGNXk+BO5tgD+8u79jVLY7sD+aDXdh4x10 -vk10FrmEFx3G+5Sk0uvuhvuE/kL6Byv7HoY7FN2EcR+sONpwLwaxGcMfv4+VRfMV0WdgvHX6rYXy -PPzrt3f3Qc8nHOw1Gj+Bzkug8Qww3FwTzvd3NhQebTK3xeT3MSD6znjcHFxX0eEY60/v7weeQ9k9 -8VXTV9FDdSIj4LPioN/fGs8fjec+9+AjvX69soJxbqvzt+8e7Wa9wVH4BONGPL9OnjtrP91993fv -3Sj2KRx8t/hvxI+7F/uleR+edNdFHjpeGxq+0hzs7qlPLELvrH+Txj361+GTOM1wjwOw5SDspSyD -Cx0DmE+2+sHCptB5neJ+872CH8aWa75AHcYVYspkuV+zYCBM0DcU8/i+FD10zcC/YN/Ac053ZSda -PH9Bjuzf9KNN4GYiDRdzhN0ht/v+uL9MC2DwMG9z9n6Ibxdna4aZMSwkkhJCuMd3dq3jnOHOzj3g -EOqE8nq08ujsx2Xr/TTDPXP1pVdAhZaGx0123MuMxb1bDdl39kIEEGYmo31v1F+2/vCuO89NUWKH -Tfbby7Jk99aN55gT8c+nNMw7z/P87s2rgZdAAAzHGDd2fxo8sE+u5F+CFrW5PwItG+4SGN9JA/XT -AWimtEos90RdD5Y+HyFTaltvuO/PTbUgBISAEBACQkAICAEhIAQuF4GDXSG6lLKRnp9Npbe7J8O9 -jZFyCAEhIASEgBAQAkJACAiBGQQivAXx2cN2cHjXcRgVbvT8G+n2DPHwflgVBjx/29eZEHg2LMNd -QigEhIAQEAJCQAgIASEgBNYg4NcgwRaHoY6XMEVI33BKY4jzK3GdNOjxLB7C6PdLaZYP4spwX8Mk -lRUCQkAICAEhIASEgBAQAkQABrob8DDO4zvScNVhvq/cDfYURoP0UYFpSGW4S9SEgBAQAkJACAgB -ISAEhMBqBPKNMHHAmm72bLS7QR8HcumFx+9POI0No3+ekPMa7vmFUPXJWRCar9AZbSmsRlIVCAEh -IASEgBAQAkJACFwzAtmWrO3I53smBwR2sTMRLkPjvT58mjzsbsTbb78FjwZ8eNyflu6TvLs7n+GO -i+dxdWX5RCwPfoNupJNW/PYQIVzSfM0Spr4JASEgBISAEBACQkAIbIIAbEk6iePMp9uS/GRbE/lg -a/oLfzZpfbwa8Gsh4/CpG+opLMZfRhK/kcfbj1XHRXjcAQ6BjMO1d/aKev8QMLwqll74Ajwu5a4D -+rcC94XrIf/mhGXq9qAXJlnNr0SgxXOO280UyEp6VXwbBBpKuLUtug0RquVsCLTGuXT72VhxtoZa -PJdu358VbvOG0c5Q8WJ72nM6gmtbEw5lhKds5iiGbQtjNox29LyEyGSPuz0/mOvjQeNdNPt73AEm -jHT0Ba+jR38A5nfhgf8YfaTR7oBbPqT7aiiM9/3Zft4WgAd3FqYMtbxT0nEh/3mJV2tHI8DtOxpx -Uzxd2uI7ukEVuAgE+FZsvylggiLfbQwdiGQt2i6CbauIoO6e24an7qdxIZ6vgvvFC9dhvnO6PW7+ -63nBzov36TUSgHHFqA4Y4c4He/g+bC2Ox2xrIgvKOG/CeN+k77GSywdTUW/9O79Fjt75jvb3N9wB -pr/uLk7Xknh2ANfnDO/Ce/5we+N9WrF0dKY7S8sDhopaeVrpc8RwZX6P08WRyd4KN5qwfUcltDlf -FXiKct/CC9BTRwv4FlatNlrprfbJT/xt7XAs4dzqxxIdUAx4K5rLv1VU10UDDgT6kMhuuZ4Opjwt -OnvSl7DqIWdtG+fg+Z7jnHW/TTGOtfFOnkMu3EkDPbkgo0u4vwa8e3ja6kdL9rZoYw0Nrrtj8Ezp -bup2Tnt+GK3VqYn03n6u1Xkt0lpY9aS/tK7pmR+WcAAvod/9Lu453Z70eguTteO8NYdtgfcaueqR -3ZbcTaWj3trWpKPY7dAJwxwGvkd4gG+nGFm9hCZPeykSgnCCPOxvuGMicoEO4JzIiCfKk5Qz0wD0 -v5bgRu2GQBI3Z25ozTmF6WE9lmcu7ol1nBIXBTq4KuR6hTsrZGjxtgdHOan3ykipJypynKvFEfIU -LOx7jgFj+Zx+Sl9zGy0swfM5fji/IBYr4tDI81kvWKONHrnp4Q8N8gOeJwOPxvupEzr62oP3FBa5 -n2uMyCW8W214emMMtrDOdczK9o7jvLQPuZ0Zx9kzm3fYWn2r0zHxDANkegzxbuGDe4Sj2BbjnDoT -Vbbwnkrv0RU9uLTGeUt3t3Rii4Yyb1lFXLRhQVZ/qI7dkLd/x0x1PeOj1Y+eOlp9benE1jj34beV -bl+YH1pttLBq4pDCG9whZxVm3V2P7Vr3t+pnerfszukB4r0i/LhrnC/wdAu5W8ILtibYQZ7Txe12 -aNJ3xdaM8XfUAGwxDPjGQi3fIuPynsp6HgOEY79cDUl5mlcK+xvuc33Mugzf84GBDOqEvdmCbTKd -7TkWIbhzFXmbC0Yi6ziJkDMWgvHW/CzkQT9RB4TtmInloM1GG01+RPk1NBCLuTp6seqBdApzxxID -177AkKvrwbN869Kp7bjoNmR3CYs8PvbCm3IFWmf5EWOwKb8zGYj3AdCRP6fP0ZDpPJUOKuupHRSX -B+i+kI2T2whhmZWZpO+m+roFz6kz5/rQ4kcpt2Jx7nU0jBKfODvHx6n8oDc9Gwt1XcwDXI4dZ0WX -NJTEkk7LdRzbPvvSGh89cuUezxMwGOHZgUNrYdyl/2cEgkb6xPqMIjnS7afKFStb4leT52smFuqp -DpuhpQfKrSurwDiuMMfcyNYMHXxcTY3c1hD7RwOeOzF+xtMYiLAY3irjtdlvX8CXgTnkmfnsb7gD -rLJ9EQozGyhutMc/0FwOqG6K5LgyyN2S8PcoslYdS+T79k0wJfeZZTihY/U+ZeT1QtPqR5lMFyrs -ybNED8svKpsN+NGDyRqe9/RjiQbwFDzHQJ6Ld0YbEIs1YTItnoPGVp41st1TP3FaMph7+NnKQzzn -8rVwOKYvdRusG95WelTr+Y55+Ha9U3FnvWvwPLXtFi8zLj38WGlXNEON4szYouj0yMVcBaDfJ1yr -BAfM6rrwG8/Zz1P729JHPX1Yq9t7xkePXJ2KQZ4vl2jZAqtFgQk+e6hF5W0v44M8jzm9pbum0lv8 -6uX5KW0fO86X2mjxYw19tDW5++ih2OmTD69+sOdILrsAaxrOZZNCzs2j3/671gvJFuTczzljhqT9 -DXc0TEOUg4u/2T+AiQ59sAc4rAqiATgMHcYFb4Vpj7LpaatnkMzV48o7EqfCIZDG2KyeiaaH3iVa -WuXX9LUH77X1t+jvoeEcdXg/JyZz0kee90x2PfSemue18KOnf+foyxwd5GM+fFrnrXVhT5/qPFv0 -cYs6emhvtdNKb7XRU74nT6udU3U7ytXz4Zq2Ti3Lcnti0at319LQU74nz6lY5vl6ahEySp/R/71t -79mPXhq2yLdXP/KCGG342bIYc3QSg37s9BT9TOt9S6KirmOugwRdfAFTA+P9DXc3PO0/vtKJLQTG -ZOaTvw6wpd8bmmUFhNXpFlJygXU045fTyn1LebpAKG6CJCrvVmebctGqQOkXg8DS4jwbTuL5xbBs -E0Kcn/Pb3O60Ec83gfqiKpk6y5AJFM/3ZZfja4PLIzhoa4bR7rvcsWJ2O522Jv5Guc3sLK4UMM6t -UkadoBnGteO7x7ej0dAV+VaZF78OEgSOwl9i7wDbFPCuO2YGKK59xIf3u6MvBLuxbbCvNKh2ISAE -hIAQEAJCQAgIgYtFADbwxzDO8yFav3I8eYBxOQjMTV5TTht1zTmHM4Oyv8cdHZrymmcgpw534Bnz -bLYSOjO6ak4ICAEhIASEgBAQAkJgfwTq3Sz/nQxQGOcf6WVPtuncTVcnUYwwnPC0I9wbNNDTnm+Z -4TM6pt07Hz9wXmLB634ew91pifj1OSA2Be4ktFVICAgBISAEhIAQEAJC4DUi0LI1a0N+lz6mW2X8 -Fhn8i0sKGDrjB9h5iB1E2HferuS3wi1fg3Qew30XcFSpEBACQkAICAEhIASEgBC4FATS7RLF/g7P -e75Vxi9e4VkYeNjDgPf3sNj3hUiTbLj/cv/09MvT1NujYnHAZuDBr2/ZuQTIQPuTB/sPH+uP3czF -lc0lUCgatkfg3hazGBQQyjjYrNCq7WG+sBp9XPMwj/h9YdzZhxzo9/vHh+E8lz43gcC9uSHvTbff -86rF5l22NwHL9XbSHdPPBq3br7u+0fREKEFTosttTbjM/eWisDntb3nxV2rDQ2Hsn7+UFKExYdl7 -NA/KMFTGnnsWk32rG/8bHnzllcnjfiLfVEwICAEhIASEgBAQAkJACIwRyAa5pdDT/hixM37fPDzr -EQuPwtnT3rhNUYa75E0ICAEhIASEgBAQAkJACLwCBM5nuDM4n6BgvzOvKvgm0Qyab4lW+V4BqCJR -CAgBISAEhIAQEAJC4MwIHNia1r6HpuATh0Brkja3NXGzDA6pRliM27oMjWGoDEJj/N7KsHMjvKbj -pXznM9wRuvN+oM/j8fGyAoIJ2v3+9nibFfrh2wb4rUvczyz2ak4ICAEhIATWIJDewbKmGpV9RQiI -5xfArDDMeSgU5iPeGcRPbWt6vrA1/QVaWx2gYahMqo/ygUOp/h3/ye1FmQ4SzmO4w5sOw5yvmfXr -ceyf33EZd1e6MR//CsjR000BvQDZClkplEzFM+XbgK717bEXwoqzkUGeLvFTyv9s7DhbQy2e9sjF -2YhVQ6sRAD8xn83xXbp9NcQXVwF5PkeYxvj+LCMP8NZU2pqwO99hwg1bE7+zrQmbEw5llNnyBUw1 -v8v97dYgPfEw4LMtkPXFi8e4g+D3NMBhmBux/kpa+w8AhUc9KzKkA9i33C/Yn99nb8EXLdHq3GuQ -mY5sMtzXsahlOK2rva905jkVR12SecD77CXoa0G5Lg0B6jWO5bkFusb6pXFuHT0tftKoZyvS76fj -fQm6HdRnni/N6ciHdPH8dJ7PlcQtY7A14bF2JzC+GNB4BlvzIwZesrvc1owbYGCEQpbmeHcstbX5 -WkJ10E4OlYnVBtJziExDrvf3uBOrEmLk9/1M70jEwuhYjLryM0THccLqCzyd2ZMoq6OZ+PqeOpaI -SvJTBvwo3r8qzMHe1dHI5EZDamiqr4wFm8OCOLih2bF/M0VfpmMS79jaWsOPFi7ANr/BbJLOJJen -YNWiIfOcBl1WEtmw50RwihJpym5DJrztxvho9TXL1dQZFY4f1FOfdcEzygz1xNw47aVjSnZHbeww -zun9ycZ7vWCreb7kpV3qa884Ljp3oq8jfizoxRYNTF/Sq3O6JPPjVF0zkl0XrkOKm+Mj680Tzldl -A46yXHvVsqMq64WWPJf0cHYtjY/6DY2LOu+EfuZxiu9zPKcumTurxrNtU3qgBw84/vjimkk6OrEi -H06Rvbww79HtJ/E86cXZ8WV5WrZNix8tzJu6vbLv9phLWzTSc+5GuQvFYQkk5eR63LbaODad+g23 -ypTYd3voxnroKRrrsd5YamJ/wz23DqCwLeE42n+42MHvd0kwqRRKB040Go8F91z5s+I+V5sv2c7c -Qq2Wjb1pPFVh7k0X6r802s5Fz56ep3P14RzycQ1t9PJjby9qjz7aCu+6z70YbNX+Uj2XRMs5+rtX -G+eczxcX98cwdK9BQA/pXmB31juyNbORHgdAaUjjL/7RS99Z/UtnO6/h3uqtX0YfM7l7Zuz7Hjb7 -2jrXRvHAk7q0nVrTt5beJdx76u7Js6aNtfW35ArpS21Q8bboaKX30ME8UzzOcrVlW8fQ1cKqt64W -/a30LejYoo2eOuYwyWXB26m6tuJ5i8616b18X6MHyPM1i7lWP7eQq2P62NLlPfT2yNcp/FnTdm97 -rTZa6a12aHTN5TuHbucYXmprrc2Q542l8dHCs5Xewrtn/PS00ZOnh5Zj8qDNwquwNbkzCltzz0/h -f7XKyz+Zp2On/byGO4BD7C62Bu5huWL1k9DCFgeJ5krI8dxgdTjaNWlITc/WfE+eJUHI8Ux1PoYM -cR1zikx5mZX9XNtHkNCkw2hs9W8TOhawaNKIfqzUNFlpoL2pPrOJufQexdKis5XuPFvZ11b5Ft6t -9B4cWv3oaaMnzxwteXzTuKh5jt91/HtrLEy118S7pQc6dEUL8xYNW/CjRUOrja70lVi0dHcrvaeP -PVckr+VHDx0946NFRyu9RUerfCu9RyZaNGSbZepsUq0LOgyzySZbeJ+jr602WjRugXeLHxzC4EV2 -kI6cpWFr8nDq5rt8Vn++DpL9LiEzNAjSdZBcVSzuqgyd399wB334x5giN9rtB+kGoxEmw7AZHk5F -7Fo+1NrDrNeSp2dlBXx0iOW1cLRNZ4vn2WA7xXhrU6Ac50aAfKSum2ofYzwv2M5No9rbHgHydG4c -U7dv37JqfCkEenjak+el6L+WdoFxMcJpeNqDfMvMyNYMjy5vlTl1UVXj59Ej9uFCh2dO/Lf989+I -cU9OlYt6cyoI+2gajNfylFtkYsXj3gPrBBYe6Gt5EVME7l+rEdPqVyv9WgbaLfWjh6c9eW4Js2vo -a4unrfRrwODW+rDEU/H7+qShxdNW+vUhcv4e8RYZ2powjmEMw2h3gzxte+9ta5LfJWoiDPSRxz1s -XnitL+5WGeBVACWh9vdjWo34CsR6msNm8H0E+PnlQC0KASEgBISAEBACQkAIvAIEYKDTlvTbhsJo -p2cbdieuhqwPFO91nrJesHHnfSWU+4fKkMB6CyJfu4TOIB4pH4ou8e4r421XAqTiQkAICAEhIASE -gBAQApeOgNmLHyKCA6S6kz3ZkAxjO4etmePmi6c9XwcZ5/su+zpII3Ipfqh16OHS5UX0CQEhIASE -gBAQAkJACLwgAku2ZhjL56auHNqNa2xK7DsIictX6I3vCKs6n8f93ECpPSEgBISAEBACQkAICAEh -cFYEwgovb0mNxhmi48Y5/lPfCx4ZGrfcyHA/KzPVmBAQAkJACAgBISAEhMDVInBwq0x41vOtMrgu -Er9pzF/UrTJXyxl1TAgIASEgBISAEBACQkAIZATocQ/HuiclI73ccOMnaKNgOrl6UR53BuiDzKmY -9pL+QnFIkjwhIASEgBAQAkJACAiB14vAki1ZbpSJaxj36KW/fCkZ7R4awxeJ8mQs8vCuedi8qUzj -Pvnzhcog1od3taMToD0Tl+/VxL3urbd+7gG26hQCQkAICAEhIASEgBB4nQiMbM108DP3prwvKBnX -e/a2xLbn2Pdoe+pWmQYt5zPc/UVRsQTBW6oQmD+6qgeX5cOgR9xPx7HaPUFW3UJACAgBISAEhIAQ -EAKvB4FitCdbE/ak/2RISm1rvr4rx89guBtI7w21twbehzDW7+3ve8pCemsUHgFgf/nS65GVkyiN -W4FKqFNdSSv9pEZV6EURIE/nZFs8f1H27NJ4D08Z5ih/xS4sOHulLZ630s9OsBpcjUCPbkcjdaTB -6oZVQUEAXvR38etj2JrQrbA1YZvjfnca7+5IPgN2DJfhdZDuvE501CE9zJ/DbCbI3N9wB3CcmJ54 -4TyAtIcw5vGBIXMfsT67T16x2kK7c3fHY9W2lI60+pqfY2TAw4KiwNTdnTmd9Z6CC/sx15daaOo+ -FMFeGQvmdCycW2jh3Urvwb5VRxOr9MaGU945UPOUb18m7VT8WZms4fmsbKPBhny3sGrh3cKS42d2 -jKUx2hqHS7S0+tFKb9K50Dj52BrnUxPIsXwveM+MsdX8aDE89GHRVTMerBbe1EenjK8yjlKY5RSO -PW206FyUuQ7dXvP8aH6TgIVxfA6e94yPgiUyT8jFGqy9/aTPTp7nXkC3n+qYXLQ7jrFtZvjRGurH -yNUsP4j3DmcZEbGBt6XS1kRkByI84CzOXa7n31a/j00veiYY/RATwaO/2nWwH4tNFOOCxnoHbfsb -7qMO5wl5QluV19FaD2DU57erHgtcnZ9nALK3o1Xn3CApRtaJBm2+unNqZZX0iJNY/27R3V3IKnb5 -SZNdza+T2k6VFLxn2gDGc83X/VyzWGIbU3hnZbSEbS+dU3VkHEHDlOLORvtq3OdkM+E9uapvpXcJ -3zNPJ/EOmcaYnEun3J+6i0ks53BspaP9XrmYg6Tm+awhGRWsla/ZcVyNx5qOrBPXjLEl0ejBG4qA -Ru2xxizbLvosz9KZsEYbL8HzziH1nC0Ea428ZH5M6oEOonqwWtK7mWen0oDzcPlijgOyM1ZrAFvA -ozWfuy7pwLOVhXPlHFY9tk0PP1p0LMHYkoksdz36qkXLVDoPgs5hjnTYmu/ty7sw9Nc4C2Zp4ARH -XUTlFgWo406Q/fMa7lNKmQdV2bcP9uU7+4ctj46Vx/F8pQW/UHKn8T3b4pxxQm/88Z0c6/hTjZ81 -7W5ZlgbQ2n7M8ZU478n3WonUBuVaQ72yTV7F2e5ZvDvGaI98bYHpnjLR04et8vT0oyfPEj2t8lvw -Yys81vSjhwbqlLU6q6etuTznwLvF80LbSwLRAWJ3Pxbq2mK+7iB1PssxenNHfmw1X6/BYmRrJi+A -02Z9R/c/xaIv74quaXNUNoSBjpASKoNM1q4vFOwhPfHufcdvMxSmojAqus5ruKNxD4vBl1hm4A9W -P3jGVc8Hy/TO0ERskscqbbClQmEqq5wFwUV7OQ6pZmZPHUsCgMUJY/xRV+1dit2Usko/aVst+rA4 -Pi0Rwju7Mj1GEcx0uIWV8xw0LPGDda9QNo7pnBxlrObaIFYn0pCVOr7X1XCRutozA6VExTDBk4z3 -pFcz9fNUryc653K1MG6X+OFYbYE32p+xXnIbc2PVX45x4ow+NYlPic5Wkz3xnOuLtz3Dj5Hn50T5 -9slwwVIk3nP8cLqD50u6s5W2OM5TG0s6r+U1XKKB8PV60U6Bmy9t4RibpKeTH6jj1E/P+GjxI8ve -KXQUPZHsh1E9odsXx0cDqxZdqDvfmLfbOG+Mj9Zci360+NHqa9HtC4qm6IEJILbQ7S0aOfaKrZkK -0KRxuyv0Ic5gogzOYG7meY86c31lNzPmFVz/ODIEQinRFljo53kNdxjEFPJsSNe6w4XLQERskgN6 -4uQ52/EFgwJluDpaFJBGHS3holDNKe5Weqt+70djVmA/Fw20U2aWmrgWVi1+nIGGTbBaYAr4SaUx -tRDLq+yOgTvb0iay2+JXQ/hactVKz2Pw5MVDxzjuwaolF0tQkHaqr7ov+fcqnsf4mMOqqw8b8byJ -x8JY7uFHU++1dAkqaOVZoW96eV47kpr9qjK0xlAPlj15WnQ1ZaslVw3ZbbZ/BD9nx0fU0WprLj3z -XLq9MkYnQGvJ7ql8yOVoa74bud7HYccQvU9GDKI7EBuPxdea+WaJ7uIoqDw1+WfvYt/a2d9wB4A4 -LAALHAcE3trvewPTn8Unxzkjrn2FE2ALnp+tjqXJei8BOlvn1NABAtWYnUwXbNeFQM84XmO0Xxda -19ObpV3Slh64HhRupyetcZ4dM7eDyvl7yjU3bExceEKDmeOR97e70b5igd7smTHcPerxKYtkOKNf -Q6gMCGZoCA4ClDs1AVx0ym+esTSsfgA4PqtDBprIvmyG1kB/WerU+l4IiO97Ift665VMvF7eTVHe -w8+ePNeFinojnu8rA76rbSAjDBledBjIsC1hV7qtCUMetxnGM9iaPNCL5E35M2HAFo96LCiWQmUa -SO3vcXdAjFDEqiPwnsH3AJPbbFgFcfGT+ytP1L6CrtqFgBAQAkJACAgBIfDqEYiwrI8wyCPMGnYm -HMdutEcHa1tzD8c72/KXiYaX3Zu3FQQMdiwq3JBPjedQmcYi4jyGu9OLkBkj2g/DVHFvHwBqdZLA -wT7pVOarFz91QAgIASEgBISAEBACQuBIBGpbk8XdGIYNir8pHnsPW7MY7Obe90OwYaCPXsAEZzbv -d0eecG7TaF9YUJzPcAdWJc5nghFLaUfyTdmFgBAQAkJACAgBISAEbhCBOXuyeLL3cLNnnKtbZdwD -j9j2uDLQ49wROp52AhiNUuL05/l2XsP9BuVHXRYCQkAICAEhIASEgBAQAlsgIMN9CxRVhxAQAkJA -CAgBISAEhIAQ4FuU6rh1/w1vOyDCf/j2Jx7oxO2LbfhkuLcxUg4hIASEgBAQAkJACAgBIdBGgGEv -zOlnVBHHjhCaiIXJ392O54Fa5A27fqal8xnu9dvo6hc3TL6trjrE2oZLOYSAEBACQkAICAEhIARu -EYFLsTXd+F66Vaayby/yVhkIEO7X5AdX9vACfADNNB4eQCf8Wh90fu+DBLco3eqzEBACQkAICAEh -IASuBQG8eMn6gnvc+fnAFx7Zg9rW5LWNW9ua5RBsNFAOoYZX3W9WjFtkQCfyh41f7pN/8VtlcE+7 -A8kXMNnfd6AyjHeE+dSvBcdWw/u4OH/Ti/GvRUDVj1eJQGMLrLVF9ir7LKKXEWjJhPATAkLg9SOg -cb4/D2FLwglcQsbDjqSjGGm1rYmXf8LW/H5L8miFs7EwzNnEyKbNBEFIuJqYp2f/UBmscPDG1Htc -hG9X4XzEaghvt0rGu9Np/8FLmj4a4ujHg30HoL78SJ3fEtuXrCufSQAd9eKE6UjTdfYvyalt2oYo -89DJnAJnHin4bTB/6Vqof+uJItNFnmO864VzL82x9e3ncU6PmXT7elwvuYYenmuc789BdxAb0Hh7 -KuxM2JK1rUmjuNiaiOoIW/Ot/d3MSRye9XJ/O7of10HyBUx+HSRs3XTHO36DftC5cEh1f8MdjXPi -An3+PQh1Az2M1myo7s1ij4FaiJ/3CbeVp5G+1Id6UVUb5nX6qRN6jvWaCjcq/QQPZvZlWMeacKUm -3j1YA9AVZx6WaCgL3AU6emSiJbf1QjorCaTlMQBSTlmwkc5Zfu4s26i+hVUrvaeOFta5jhYWS7Ld -kt0WHeRpvQ1K+qgD8fsUfns91PAz46OVnus4dZx36ZKQvTX8aOHd4leX7AWeq7AIQlu6/VS+t/qx -BT+aWG+hSyIc9lTd3tXP1vhgPxbmwS4sFniedfupxmFLt5dxvGTbrLBbRnq5w2aY42lLdltYL6UX -WzMcwPlO9Hr+zfWcypNeWqn/R69vRagMPTbAM+bOjnngNMP95JDzGKQO7gRSeeXaC0hvPi4a2MbT -ghf/3tK8jzN5eupYoqtepNQrK/7Ouy29/Sz5opLFzQpLXErnhL+w8muS1cSKNCzxI1qZ5RmAaqz8 -vA+Qvwml5ji36GilN5CY4zGLrcF41HTQ6S94mBqoqR9TWAAjZ8UMVk2GB86tOpb4QcXOOobBeNzH -5W4B1NwGnQd1C02ZWCCp1mWzbaQ6QO5cvlbvW1gt8SPTOis3HQQs6pIGP7x6yq59PXUiLf1EHUvy -P9efhk7sgKGVZXV61suzOjH6MddYj/z3ELpG7lB/mR/mGmvodlytR9tnUnZDByzSuRHPV83XnWAv -6YjmXDsMsU10O3lXj9OiM2M817r7aN1+giLwIpi/or9QJum2xYI0scSDU52js2wLYcj6vMS557m5 -eLND6VmBjnkgGe5Pv1iBX5ypNdjuvr8fRd7c02vekjfk87wAMjHhKQiEAY/OIQ+Y/l0aaHjLVMfq -o5BgNOap+glx8vfYM8HqK1JAwjvQtED4faTPyUxPHUsKE21ze8SFpjIw8BM0YOsHhJZFRAvsnB4d -jBd1TZeMVekcxhS0BfuniyLiOZm5WhlP5cEhZpyRmOTZk0Plcz5wnPOSQb4WdUCLjlZ6Awny1LPZ -j7ovoA95MBFBNpaMzsWmGjz1sbCkqFrpPRxv1MHtwaXx5RjM8Tz0Bca7MX9SLLxu6JQZekkD2liS -/1m5a+DgOiLGceFp8D4X9bEVPQDPj9F3pZ6WbHbwAzoRqvLkT4MG8iMfGjtoqyG7NkZ8mPiAn/m0 -dDflfxZnq3tRZ/aO8yy8tX5P9J8yzrNenoUi+jGHd4/8t2ShZx5s8QN9AY1z/MD4hrp0vT7V2Za+ -4tha0CVZJ7b6PJVO3V3m64kJs8hsLBJOaaclu1vwo0lX4I18UzzLbwKdqivL3QQ7B3/Ls9Ieps2l -iSA14rIGne8C85wAW9NVLwz4aPSd5XF+hYH9dJyt+WTjNpP/5KEttDXRmP32DMQr+O7tk0bkid+u -B9wQHuxBl3f048lMApR1JEqfTvO4N5mbMoAWrjryRD2aUOMHwM558P2EBVeTvNYE1UpHAz155gTX -B1gwYaqPfg4gmO5xUc0eTWdguSUDqVX3Wh70lO/BsifPEkxr+9nTj2b7SaHX9MC4Qx99rMT3U9je -orOVvka2SW9PG2v50YvNUjs9dK6RO287eI7DUQc8Dz0CXdBDy1yfW2Vb6efieaudHjpbfG/xq7eN -lnzO0eG6OwyN8qKVlNnbx+I85rZT22n1g/Uu4dGqo4V1i5896b15lmhZy/O1OBQ+h7E1Oc6D5+jH -XjzvwbKFVYvnPVi1+tdTR4uOufSpcVWHoKLsyNY0glo0H00PzXrO+TDI03xQHN/ZoAddkBPLCJny -Rch0w/sb7mQSCcAqbS6qAXnXCtbRAL9QAQrKnMBsLkgv1E81OyCQ+dniuXh/HVKTdd8Sz6HzxPPr -4Hke60s8b+n/60HjNnpSnC4z3RW/zyMHecxN2ZqxtnJ9Gw7ypUOg2xNNAjp3EWYIOI/h7qsbs9y5 -bedbyFhdxISF+yxxywxCWxBOcwuTWKuPWHHpc10ItHheG/jX1fvb7U2L763020Xudfbc+bmgv8Xv -18nXFtWtOVt8byG4Lh34Mq692JqM5kjOETiOd7c1k6fde1X/5rMIjWE6wn1cThBiEzuxE47u/Q13 -0ID7MQGk35cZvIHR/n2S5A/2G2m4zgcfXNcjQV8nyCotBISAEBACQkAICIFrRwD2ImzK7xBvnmxN -eN5hT+LzKax7v+/d8uA3d0bPjs84Sn682F923J7HcAd9BI4hM3VIDLzu+bCDjPazi5EaFAJCQAgI -ASEgBITAq0SAtmY+W5ltTdjD2RbdzWjPMe5xANW96pVBzsPpPMhaYtwZUjPNhfMY7qS1Fb/eSn+V -kiSihYAQEAJCQAgIASEgBHZFALZmjzG+u625FCrDtDiAmg36EiqzjNJ5DPddOaXKhYAQEAJCQAgI -ASEgBITApSOw7E3voV6Gew9KyiMEhIAQEAJCQAgIASEgBJoITFwH6WUqT3wJlYn8F3MdZLODyiAE -hIAQEAJCQAgIASEgBK4BgVaoTKTz5aQXeavMNfBBfRACQkAICAEhIASEgBAQAqsRyCEzdfjMJdwq -ww7iSki+2ao+HMBTwMzbc8BgNXCqQAgIASEgBISAEBACQuBqEKCtOfVyu7PYmnO3ykwgXN7FFHe6 -+5tTlzlxvhh33uMOegDcO6OMxrun2b+n9H5X/x13bO5+AvhqxFUdEQJCQAgIASEgBITAbSLwnXUb -97jjQzuThjDeE+S2ZYKGtubeb7B2Az086fn7iBjSdQnXQdJopyEO2vAyJiwrCCiMdtzlPgIYz5D5 -Ci91T2uUye55ejDvCrt/kxqFPF/ip6+29dbcq5KPFk975OKqALnyzlB3z43jlu6/cniusnstnqPT -PXmuEpwzdco96Xj5EkynsCVhZ8L+5N3tMLayrYkyyIN/HuWxlbE1EePOu9rdtCtu9mdjHs9H10Hm -1cUYw/097gQG7WJFg5csYYXj/8J4d496BRh++qtpz8T0czeTXxAwJSuY7BlWtJUsnbuPau8ZAfIb -f+dW9kvbe8LydSKQt2Unx7l1C3xXaODr5O8U1T2GuXh+PfxGTzjO53R71v94k7w+2yPgtmZUC4xh -98IQxxtS8e8DozySIsbXXWzMjltl3MbNh1RBs/2mowcHV2do299wr9kz5UysFxZ7OxxpEM+JTo8H -rFXHklj6IM6drjpcJvtgord1Aii9/QCtc4uDnjpaQ7CFVU/6Eo2t9qlYW3XsTYfzHDwNgjPmRR4s -/Z3l+2h/T12w9fRj0eu/IA9bYn0OuduC52v44Avw5F3J47iM89AFyHdKWz1jtEcmWli1eN+io5XO -cXoKBqSt1c+eNnrqWJo7OPGWWNWku1E3xjfpeGdpp4aCtuhs4d1Kb/G7F8uXHuc9/ezJM8tzGlgz -upvzvbcR+v9UGe/heUu3X8M4X5RNA6DGifw9q605dbMMQ2DCtiv0xBwBj7t/7C+uiqQDu+rveQ13 -V1r2H6yCcggPaCawJBArp73CZFqDtGdwtOpYVOxV4lx7XA226Gkp2LnyNBxaE8ea9otxQn5OENvC -MsvGGoXXmkCW6GA/1rbPyW6KFuK8pq3esks8bfGjJW9LfWRaTxs9eZZoaZVvYdUju632M09b8rdm -Qu2R3b3bb+mJXn7shUOWvdY4bvVlSb8zrSVfPeOoZx6Z28npwXsN1q1xzvS9sM44t/rRMz5adLZ4 -vjRf5zlsjWyt5empbffKdI/Mr9WrPeMGbdDWzLJBj3zuD+zPzW3NKaO9JpwOHeaN307LsqP2vIY7 -wam3J0oMPACM1RK3OU4dTEvMRZ1L9faktxTFXPts171w8ZmixTGKeOdTMWiVa6Wf2sfcd7bxUnhn -jFs0LPW3px8tmaPnFV7XA1riGb3yPbyZaq+nXCtPS/5birOFVav9zIeevHP0YEGaJ8w6X6vuVj96 -cADP58Zx1oOTMtFqIKUv8aynHy0sWqT0tNHLjzW0tGS3p+6ePEv6vcVz8LplgK3Fu6cPPXl66Gjp -1VZ6q41WeqsfLdlslW+2H3P5nO52mSTPV5xhavWDerOF95r+tmhopW+l25d4whh2nqGkse4LBvsP -7WW3Ne034+HX4HJAT8+tMtl7HflLqMxLH07NKzAq1XoyBXh49skyFMUb31uD5pT0FoNa6WsN2jy5 -TLXFZ2uVew82e/e1B6sWDa30c/VzDR01z+u6Wr97+sg8a+js4VcPLS0aWumXQkcPnUtGHI3VzP+c -P9d/als95Vp5Wulb8LyHp2vp6Cnfk6env1N5Wrq9xmANLa2ya9N7MNiijVYdLTp6yrfytNKXaEBZ -erL3HOdbjJ81/eydX3ra6MnT4vtUutuR9o98qG3N4i+1DJ4nCNmFnhQi2fCgj7rSQcv+HvcCDo3z -GW33aOlzQn8KAy+9DJkzxySs0Pe+nujSMbo2+pzXC3HMrfRrw+MW+tPiaSv9FjC6tj62eNoxMV8b -JFffH1+gt3Q7DMXlEIirx2nvDmJsMfQaBvzcjuvutuYEn4sdH/Hr+WaZ/ObUDozOY7jzJpn3ASTA -RCdwyhcA4t5Nfmqgr1XJ9fSrJ08Hk5XlQhDo4WdPngvpjsjoRKDF01Z6ZzPKdiEI9PCzJ8+FdEdk -dCLQ4mkrvbMZZZtBgGf1EBqDW2QeDHC/uTBszYNIj6qeTfkzcauMG+phvePGGHxwABUf/r6oW2V4 -3SNDYkArwmJg0OcYfqZnPLGV0To8KUkWAkJACAgBISAEhIAQuF0EeF8749nrs5I03s9ia2bjdub7 -xd8qA+Mbb7HiB7/Zl2LYT8jbpqug25Vn9VwICAEhIASEgBAQAleNAM8VweOeQ2LwPRv2NQib2pr1 -rTJTiC/dKrPMof1DZXL7c55zArYpcFctmuqcEBACQkAICAEhIASEwJQRPmVPns3WrG+VmWJRfatM -jou/pOsgJV5CQAgIASEgBISAEBACQuBmEEgvXprts+W5mOsgb4Yx6qgQEAJCQAgIASEgBITAbSNQ -hcrUh1Dxe+5WmY7Ik/OGytw2J9V7ISAEhIAQEAJCQAgIgatGIHvY4Ukvp1Ct1zDa0fmlFzAhj6XP -XGcpw/2qhUedEwJCQAgIASEgBISAEDgvApXxPtV4fkfTEcSdx3An/X5fe7xibO7lQu8iCzvhJ4GP -6JGyCgEhIASEgBAQAkJACNweAjAxYUfS1vx+BoLa1tz0hZf1rTJTt8yEV92vV4z0ezOWPVQmXtKE -77iXvvqcx3AHTe8MTacNlNh3gIareep4Hic0vWWVr6S9PfFTj4WAEBACQkAICAEhIAR6EIDRjpcv -+SfZmrAjp2LH75OtOZmhp9GpPKfcKpPruYRbZeBp50uXHMt4oxWM+dp4R/pTAA4gOwL1T4X2Rcv5 -CwJCtqb62Ep/UeLV+EkIkKdzO0it9JMaVaEXRaBnHMOJke8bflGC1fhqBFo8b6WvJkAVnB2Blu4u -L/4xyhRBsA973NMeQOMFn9Cp0K3wWOOFS1O2ZrHx97Y1e26Vge1rhvIDvO45/v0Qrv097gAGqxp8 -AOSnIAhgwpin8VrTdu0TGZhTPhOrKyoCbJm4Yb+8AttnJKjWzRBwfsZhk3fGyynlzTxuyFmea120 -bgbqK6iIPMf4nV2ghy4Az/V5/Qi4bscEHHNe3aMiEzHOX3+Pb7sH5OeD8fyd8f7j1HyePLBzcnHb -KK7vvduaUU2xNe3Lv7CEpbl0l3l2KVSGafULmEA/7b1lOM5juGdBfRtE5wVFMVJBLJdA9hXG/R4G -vIfpNORkKU9rdb1UNcvmFXgdg5Vjr7J35ljR9jivBoYtb9+avpLeVhukc44nPf1oYdNqo6efPXIz -R0eW8STiJXvmc/ECtDo1k76Ed28/UXVrjLT62tpZWGqjxa8eaHrq6MHqVB3EccwNxFp+apk4Vb62 -4GkPVi3M145z1N+qo4eGJbnN42xpp/NU+a95XhtpW/G8hVVup6VX9xrnpHENP1r8ZhstfrXG1lq5 -y/P5Es/Zn1ONxR59tYT3VuN8Du8sd3N6cwsaluYeYktbk79HdqZVAC88bei9bM1MZzmIivh1S/Dr -IO0DY93Xdf0nVfc33DPzHDisfiqp9e0Me/7J/jLN8+20NE2ROJP8bzXL8q18U5VnIWJ6XY/XHyuv -KSOvR5khD+lcEnLm663z2Hy9RmjPivhUZdfbxyW5II9O4fkIs/C8HtQTHhl4bYZRfNqnhXeWvx7M -T6NiKDWHVQ8f14wx0txqpxerVj1zGJU+ZJ7u5FXvkd0WL0/t5xKvc5ut+lv8aNE/p09rGpbGcGtu -6KVhNIZ34HlrXmhh3TM/tPrao0taeLbSWzT06PZsVM8t1nrwatGC8Ia8MGzlPza9NT56deaavvbQ -0NK/vXQei0+WhTlbsz4/WX6vntgranOMO5JonOO76YN8HWT5jjwMlUGeS7oOEnFGVPSZwTy4mgcy -45ROYeBSmZbgttJR96leipoutDXVXjG6Vyj9uboz7q2+tupo8aanfAvLFo0tGnqUe6uNVnqLhsLP -udg1GvQMm2hVOJPeg3erLy1+tEjbgoaeOnroaOmBFhat9B4afBHeCH1a098Wja30LfRZTxutPGsw -IB96ZLeVp5Xekiksvud4zj62DKAeuerBs0Vrq44eOlpttOpYg3evbl/q51oM6LZliNRUf4r+n5nv -Wxixny1aW1i20lt09IzRFo09dbTo6EmnrZlvZcH06vTZf8gTpyd+99TblWcpVIYV5FCZ5H13+rpu -lfnjL3f373+5f3r65d7c9vTg5+rhzsf/vEpfPIS7v9UJ0ONvjTJqUBz/oLSwPcC0j/iO1YU9eB8V -err9xt/Oj9NuxLEEvluf0NhlhYiDpE9Q7oFHbZs7TrEqAxPnbL1OXK46m+H05G8hg9wav7mYvbRO -Z55jZwmfzHeODfBdPF/mnqsGKCGM9wsb25lyjmPfSZzhOdIotBrn83x3nt87323EP+8qr/Br7KIi -OI7pVZuiT7q9G/rBBIBeB+/jPTaXyHOMY9gvMIw1n3fzt87oQ6Oy4fC764gf7UlcbsI5FjyhDYnK -P1gazpgVWzOsxWNtzbAtB1t5sJnv761ur2d45h84bPLuWP277hjSvR9hIsQ892x/P/xyd/c/7vYP -lWEfJhVYmtBgvDugQXS/vX6ykLxowdaqs5X+osSr8ZMQaPG0lX5Soyr0ogi0vE8gbq0X7EU7qMYP -EGjxU+P8+oSmxdNW+vUhcv4e5V2NHNqa7GgnirYmPfGb25qpwjqkbWTEGy2tkLcZFPc33AHm97b8 -gVGOf/y4IFsH88SWAb20VfX5xVAtCgEhIASEgBAQAkJACLQQoK35XWVr+o7nhK0JtzZvN2zVfVQ6 -jNeZ1UC9uJisN2eabnh/w70Y6rEPXGL7KiCZD8Y7PtjK8Lss9RECQkAICAEhIASEgBAQAg0EYEP6 -ORMY7BNG+8jWZL4z2ZotD7vT3Mp0d6ZQGQBFAHlrxtIWMrYaeauKpFQICAEhIASEgBAQAkJACLQQ -OMbWpCe+J6Sx1W5JD6d0y/5uOdYXaDqfx52d6vWibwpkN+LKKASEgBAQAkJACAgBIfCaEeixNfey -M/OtUcfEtTs9LYv+nB731ywAol0ICAEhIASEgBAQAkJACCwikGLcl7zuU2n5OvmFsuf3uIvlQkAI -CAEhIASEgBAQAkLg6hBYiJfv8r63Ymzkcb86kVGHhIAQEAJCQAgIASEgBK4TgfN63OvVRsa0pPEe -yJlbZ66TD+qVEBACQkAICAEhIASEwFoElt5MPLI197AzF66DrG3eOpz94mLccZvMu0Q1ruzhwQAA -me9492y4DtL+1PdvrmWoygsBISAEhIAQEAJCQAhcHwJ8kSd6VtuQtR1KO9PzbmXET9wqM3XedOqZ -LyrsP40Xdp3H4+6GecgHic3XQvr3BDK+F/Bv+D73fFDh+obXbfaoxdNW+m2idt29Fs+vm7/qnRAg -Ahrr+8pCbbQDb9iXdBRnTzwMdd737vZnp6e82QPcDV/Fuc8dRJ2qKzu0Z9o6j+FObzqAwh3tMOId -MAKKFUqA5ne4hxHPV9I2gXqFGZbChmLRVV6Hu9eVRa8QtldLMvm9pLh78rxaAG6U8KUt2zyZ03Fx -ozBdVbd7dDs7LN1+Hax3AzHslimets8bXgcOL9kL8sB1KW3NeMESbFC+3BM2Jt5syvcF4U2rMD/3 -WFRNhcIsycLFhMqAyPtAhO5/dsbBsv98j5XPzEncvQR+DyYdI7R5oNeTdhZALHK+P6biE/K+NBZc -qFzCJDaHBZ+vwYq7TvjryiN9Ms/xHel74tHqRyu9R8wupY45WregbwmHKZ7W+d2JkR6u4fne/Wn1 -dQ3tPfLUm+clcQCN4CmxmDPiMo2n4LaFPurFs5WvhfdSeqtsq+1LSEcfyPOl+WPJsN+yH3tiukXd -e8kubc0nG1DZ1iwOYNqaW4I9VVeyZWv7tdb1U+kd+uA8HveixRjnHh1j/0h8/ovvSO/oxNFswOpr -6XJ+H4SxAzDXPus4hT72c67sicw8wKF+7W+dwQ0LrESRMLdwihUrV6vHgs02luLHmvxgKNWKGLQW -vzKdc31s0dmDTd4GO0V2Wm0Uni4shMHzRflfGZ7WwrJHJlp1tHBAegsLN7KSJ2aqzpbctOjIE109 -6bX0QKtuppdx3hjDzTEIvbfi1d+O1UIdLX600nvwaI3RHtlr9WOJjpbuziGjlNFT9cBSX9lPtDGn -u9fi3TNGW/zAPOuOjD3lLr3WfnYh1ZDdHtkr47GyW1oy0Vt3D79aeK/VZ9SZi+O844KRFp29mBzk -CwaXWHaTqyfSE3MCx53/jbS9bM1jdEXJWwvMYSVnMtyj4UJPAjILe4434vM1E8kcaK1DCNxCWVKo -rTpOFrwY9G3edbTQMHS9f9jtqBTNqOYVxjLqYRtrsKQMnDrBOR0dE8MST3v60TNIF7HuYGkrC+mc -lf3g+Rp+tGgg3nNttGjMY38Nz1t876Fji3He4nkrvYl3jNFZrDrGcM/4WKKjB8st+NHCosWvnnG8 -FosWTlvo9lY/tuBHE+sNdAl22vcc496HxvjoxarF1xZea9N76GzKf8c82Oxnq45Ib+n/tXxv4enj -rGFrjsZiq1+tBpmeYuXRx9xGz+/LeXNqzEyuEKNTMNKJqa/e7B+3jUedSyD04tbK1yMwrTyt9Jbw -Lynv3H9i0+rTVHoPja08rfQeulp1rE0/Bw1udPQ0NJOHZWmkLRrOG7U1R26rH630Fgw95Vt5Wukt -Gnr51Wqnld6ig2N5ahxnmWjV09Ina9J7sWrR2MKqlb4FHVu00VPH0tjK5eu6RnObVVKHzLUwzukt -Otem99Cyto1W+XPQsIXckc6lcd7Tl1aeFl5r01vt92DVoqGnjh46JvPUtqZlymclM20HNthWtuba -UJkgbAHH83rcnWHh4c2gMz6MBwocewPxrX15Z9TvHeN9spCsKJgP4U5V00pf0bSKvgACVOhz3tVW -+guQrCZXItDDU+TZ+zzDym6o+JEItHR3Tl+923Ikbcq+PQK94zwv4renQjVmBNzoha1ZedxLeCIP -p1o2P5xqCQ9WqGfR0YN0vUCvf/fUsZBnf8MdBH/69HyLTAbTHpcQBsYVMnTDAd4KxZUo7VW81b1W -+l50qd79EGjxtJW+H2WqeS8EWjxtpe9Fl+rdD4EWT5neyrcfhap5awR6eNmTZ2u6bqU+N44DYMbQ -w45k/Docw+WGw9qw35IxOFORwoX8bEIwIRvwU1EXTkZkWojK2N9wBx3lCkh0gAcN8Tw6xxAZetop -aC9xYOBWhFz9FAJCQAgIASEgBITANSAAexc3FMKDzoO8tIPz4Wca0ojqoHG8l625xXmWCd6cx3Dn -VhIIyFsVJIhbh/nNqiyz50GhaxBW9UEICAEhIASEgBAQAreOAEMPi3GeHMRuxOOgMm83xO8AzO3N -rQ6nLtSzdFCV9vFj29o/j+HunvTWDSeWfo2x7Lc+kNR/ISAEhIAQEAJCQAjsjUDL1mTs+662Zuet -MjzfUhvzl3OrzN7cUv1CQAgIASEgBISAEBACQuAlEbjGW2VeEk+1LQSEgBAQAkJACAgBISAEzoVA -z60yPXmC3vOEypwLHLUjBISAEBACQkAICAEhIAReBIGJUJmeW2XK1bCXcqvMi4CnRoWAEBACQkAI -CAEhIASEwPUgcD6Pu6840mX4PKyar+2Zw3Wz077Xwzj1RAgIASEgBISAEBACQiAhcIytWb8AbRNb -c6MY9wWmntFwT3dmgiBe24Pv9QX1fMZ8W96NLwkXAkJACAgBISAEhIAQuC4E6AjON7XgunHalPWV -47XtubetecwLmF7ccOcLlmiIE9x36bWzcMbzTaroHN5w1b7O8nULXb3aq3vTSn/dvb9N6ls8baXf -Jmqvu9ctnrbSX3fvb5P6Fk9b6beJ2uvudQ9Pe/K8bhRelnq84LOOJ+dbVGmU09b0lzLZj++C5M2M -9oXrIDdC5zwe97dvjVxD5W/jLncY8m6Y4yL8eIYsNOzdGx+gbtTRi6uGi5m885CJrFeGF9cBEXQ0 -AlM7SzXPkQcegs2UyNFUqsCWCHAck69TdVMu6Bnasn3VdX4EyM85nrd0//kpVotrEejR7fS2Srev -RXu6PMbVW2PEkwGMN6jSAfzensPWZHg2bU23N/n+oBTGvZq6jUJlFuRkf8O9eM3tywMoqd4qhbdY -eVxRrJTwulqA7p+t3mRVcSKvyOYmUmfqAgfXrJzr9uu68k4DhPFUQy7XM9WXVjq638KqR8hbWLXa -aKX30rDE0xYWrfQWDXmynupP7SVoyd9cez1YtfK00lt97cGq1UZPHb10zI3jFg2U/1Mn2tyHqbry -ZL+mrVY/erBs1dGLdc8Y20uv9uqrtfpoCQvUjbHOPs7p9rn0Fs5M34Kna3neg3erjVZ6Dx6tOnrT -1+hc8nxJt+c8a3RKa/ysHYMt+Wb6qXq1R3Z7+N5LJ/K5XW7/gUOYXnk4j91BjM+WtuYRL2Cq++CY -XsytMonDACt/qNhgvANQJI8AXcvBifJcfc1WnYBfyvPQeBvsDqQfXWVzkoLwLgluLKhWHdpAHQtY -NfkRg24V3p08XRrAXXQezaHDAi2eNZto9bWRvkk/F9pwxd2i0bLsTYfj2EHnGrkjL1vGQ5OnSxm4 -PTw3xqhzlyannjwtIjt42oP3mkm0JTM9srdm/GWjpKeenjxzsC/1tdCx91hfW/8Gcuc4dMxhkKtZ -o3cDOpaGx7FyMVVXl+zSETpDTGt8tIZ40ZkzeBcaob/XzvldxFSZUjwMdztrtQdjHTYmPe+XtNPZ -qQ/297hnWGsPFNOANQceY9tpvOdg/lP4OFWmZYT6IqLV2AZGe1m0tNpakb60Oifmi8KyQT8XFSZ4 -37PaXUvHBm100TnDqz3keFK2Q2EuikwDyzX9LO0utOFYdPBjKzrmsGjRwfRTvWNol2WLjlsxlmeL -BtazdHZgvcZYZj97eLrUTosfPdBxO/xUnmee9bRX58njfIrnTF+r+xcN1ZA7n8MavF89xtbqkh7Z -bDCi2YfG+NhC7lqyUstFK/+pur2FRSu9RVcLq5w+p49astuioSd9ydZEeRrvHq4dv3vqPTZPTUfT -ruxr4LyGuyuyMIrpXQed2RuFMBmEy+CZA9rjxenrbMnVMxG38rTSl0jiIF4SbPR/E+XeUnor03ug -b2G1Nv010JAn8bkJHc+5ndrCpCVfe6a38O6hvZWnld6iAek9dbTytNJ7cMY4nvLqUA7yeZaeftV5 -WjS20nuxatHWaqeVvgUdW7TRU8ccFuQpeP79AmBsY21bPfK3RGuLp630Fv1r01vt98hMi4aeOlp0 -ZH7W7bV+t+rO6a2+rE3voWWLNlp19NCxKPuxWIOdmWPas61ZjPeYdzezNTsXo8CgNuQdl7Z1H4b7 -+7v7p6df/uHzP/rlV//w93d3v/pqBAky/fjnP9/hf/jY17svv/pLy/e5De8/WJbPkQ8VPVrdb+wv -yn/+4e4O6WiPRqo9uvv3lv9by/TXludLe/CHMT1zjf7qHz7fff7zXwaVd3d/aYT+6kur4+6/WRvf -tGk9Z47/khqbWj4hHdiAkeddXp0ThQ3a+pPx+B/dffXZeG38/1Uluxs0sF0VLZ6jJeYRzxdxx7j+ -6i+N5za0a321HcM2qAlj2HXcQl09crEBKa+9in+w8f3jX//57h9+tPmB4/wSxwl19xxt4ne3KP7K -xs7Ac2P5pfKcYxw2zNxYZx70/BJltpsj+2YEvz+7rTl8/vzwl3e/+muzSf9Lhw0IjGEzfmU2I2QF -ttMb0xWfYXCGrfkm6kEanCmwYX8TtuY/WB7Ypx0f2Bp/Lrbmn83WNNb/wz+y+qyOH3Mdf4raaH/G -72/s95eW9CPTI9tfZxn6ZrBfP9s/2OX3tgiJNUG/CMGg/rajR1NZfm8PAc4bVILv+I99x3OuOpD+ -+0g/sZlxsS3r2oSg4yvZe1V6PEUqIQSEgBAQAi0EpLtbCF1funj+sjwF/n8yu++bbGvadzyjOQgb -3j3H8WA3ns0Y7HdhwP8ppeMRf//Jf9g/+/uD/YUDe+LTb7ivYQnAgVEOg50LDxrtpV5LB42Zzgz4 -mvZVVggIASEgBISAEBACQuB6EfiDde0h2Zq0IWmgw16HAznbmm5Dn8vRmw36+O5/wmDHnw5SzmO4 -gy433pO85JUOvv87AB7pAPUPHdRfr/ipZ0JACAgBISAEhIAQEALHIJBtzanzBXVs+eMetmYVGgPD -HI9+b1Z6cU4n77rb7vYbnnenGfnmw7vPZ7jTeF9iAEHebfviGO4rrxAQAkJACAgBISAEhMCrQqBl -Q7bSV3e29qzbb4TBjIzxCInxtiIdXxdv+RsIO6/hvhoMVSAEhIAQEAJCQAgIASEgBK4JARry1YHV -iS7KcL8mvqsvQkAICAEhIASEgBAQAi+IwEyojB9CZdpMqEwH1TLcO0BSFiEgBISAEBACQkAICAEh -0EZg4laZcggVpe0HQut/w1tm8MieIc4dt8lcxK0y7V4qhxAQAkJACAgBISAEhIAQeOUI5IOlVSy7 -e9wR7464dnaT3nc+uJTDqfnGGNCKa3vyB+n1lZU87Lv7QYJXLiMiXwgIASEgBISAEBACt45AtjVh -Q9b2Y7Y16wtlNrc1U7y63yoDO5eG/NKtMstMPF+oDIxyXIzv+wP291u8EXWCOF+oxKX56Z78W5dF -9V8ICAEhIASEgBAQAkJgAYFsa9JYnjLI3fEdtiaq2/RWyJn72t05nePf03cWuZhbZfDGVQIEYx1G -O37jL1dEABb/PG8wBXnDzr9KQW0xqJV+laCoU0JACAiBV46AdPcrZ+DG5FMeJBcbA1tVhzeNuiM7 -HMOwJ3+T3p5KOxN//5n9gyENg3l3WzOHyyxBQC/8Mkz7e9whqNlo56qGBvqmq5x9ZWLT2r9NWyiP -Exftl+2cyDeVZ1OCVNmuCPj2Xbx84Qfj95QHwHkeh1bE713ZcbbKH8Tzs2F9KQ2B57AeuGV/sFWf -XsLyh/mXrFxKd0RHAwHnN3R3HCyc1N2WBzYP5GLzcAxxyBGgTUmjHbal25/xr7Y1nW3hPN4cwupW -GRw6DRPA7QB/2VJlpI9ewLRM0P6Ge26fiuwYkOq492PKLuVtrXzXprfaZjr7lwdzMeBwgGGQrZM+ -Ge85ZTE3ubDBVnoPYT1Yop5TaeylYW0brX606CCv8XdpMkc9a9pqle1JX8Kq1c8emWnlaaW3aCCG -rX70YHHqRJtjKT2uEXxPhloen1N6oKePx/Rzrfy36GnxrJW+Vu57y/fwvCU3S1iUiNBYhJct5CiU -57QWLUvttPBcm97idw/erf61aOylocWvvelIUcDDOE+E13ZPi5YWz5f0Uavuc+DdaqOV3sPzVp5T -2tjL1gStrv6T1738xvMw4P1WGfvpPIxFIHYQJj77G+4uZAiNGf4UL0QL+D3T64FUt9VKZ/7WINmz -D1vU3dvPLdp6LXXM8ZRYreY5BuQCGPDG0+t+Cma9PF3djw7itmhjizrmSD0XVuRpB2SrsrRkd1Xl -jcK9WC5Vs0UdqH9PmWlhuFUfLqWdFh09eL8kP0jfEp3n4NmeRuGU/XKqs6HF7y2x2louMK/mDaxC -66mezxYYS+k5xh35UoSFF4vfI088Hl9SqAwPm0KYyKwsyMT1XMLdEupWOnDvyTPHV5RlX6dOPXvd -YcCtaatFYyt9Tdu576121qb3jK+1bbTKt2goPLcBO7WVymfFM9uqcCa9h85WnlZ6i7Se8q08rfQW -DT2y29NGT57WOPeF2MQWOete422/hH720NCTZw3W5EFPHa08rfQl2eM4580Rrbpa6Uuy1RoDrbpb -6a36t+DpNdAA3Y0xPMfzrcb5JeDdw69WnlZ6j9xN5cn2I9qYWhic7cxkFSoDe85t8sqgLy9kivzf -WLpf2nIp10ESaBBVG+j4zdXRrYT8YSJvDcS5WOhTBVvlXhYB8nxxMr6VAfCyrDhb64hhbnmWNM7P -xo6zNNTS7ZSJsxCjRs6CAOyah4X4dTpm9jJaz9LJV9aIX4QCmlO88dlszZlbZRzCbNRXBj6KteYL -y3KeUBkcFmDsDugGYVM7Ajj9uyam+zXJVWsAt9JfU19Fa3uRRozE9+uTlhZPW+nXh8h196iHnz15 -rhul6+tdi6et9OtD5Lw9oqGebU1SUEeqwNb0z7nCaF7brTLAhoD+xr6TfhjzdZgInsFy5xU952W7 -WhMCQkAICAEhIASEgBB4jQj8EIZ4j62J2w5ha+KlSJt/6p3zuZ30XoN+TODpHneuYHpjhtx4j1UO -yv4hDHcufJDucUn2HOnnWghtzjBV+BIIZHG86rv/XwLci2szuP2DKQ/oiR9C+Z3rjMzF4XHlBPlc -UE9w9eGvK8fgFruHcf0ACyx/TjN0bhG+q+tzj60JGxL/iq0Z97nnsrWtuctOSPFQGzERXuJ/+N3+ -+nfqNmTDM5yBw7OXvlUmSw+M9fzJE20+oLULkFcnxuqQEBACvFpTC33JghAQAkJACACBi7E1k6e9 -hOuEge6cwqHV+M2DqngvQMMGPt3jTvGI3YZFaekItl8rbb5DMndVEV6MYB855NaifHnlf3De/mDv -+PrWHa9v7HvPwvzyeiKKehEYeA7FYwP+T9Bww60OGt+9CL6ufNhYAY/9aFQw+dF+47l4/rp4eQy1 -GOePb364e/MmuOzz+zfi+TEgvqK8Td9Lz3jf2dZ0GruuNe+5VQaWvP1zz3u6VYY7jGG3TrFwveGO -Wh86EN1Tw8JqP+A6T7kOCW9AY73rlhGpDy+8IoG/ZVJhqPtszgPPptbfmLLXlH69UjHwHHonbPZy -c8D19vmWezZMHTHO6Z351gy6QbPfMjRX3XeM8wfj77BkezQjXrr9ehkeIS11BzGV0zaDGHARtwTE -niph0tY8lStTN7T01bWN4Q6gXvQWOyPgcXm95msL88rOfeCnNdXQh5pyXRACEL7YaikDds+Re0Fd -v1lSwtvuevxBo/Ym5CB7XWWw3wTLuShz9T71ApjbQOE2egnnm9lwUyYYTbsXtzODE4895yzq6yAr -z7pXleuJ/Gd7AVPxdHaIV09/O6o56HNnmSGIQp+rQmBLmboqYK64M+C51mZXzOCqa3PnUDX2r1sG -pvgrnl8nz8HXLf2mvXLSk68nzwFXlkJlspc7V97v/d7O444V8Q8N5Lvp6ghU8jDX8LR6nGv9ycs0 -86bbT7wfAZ+xZ52B8VtKzXWOLfVKCAgBISAEhIAQEAIvggBtvq1szR6bdGRrbuH8NWPdD6QyVCY8 -E/6zfTAVuK833MPefTD793HOePdFhWVIUQ13f5gwlMEU2tF/aBjvkRcxcIMh3l4WTYfCyGB/kQGo -RoWAEBACQkAICAEhsIQA7EaYaXC+4pxi09a0PLQlvdyCrTmXnumhrfmIUxe0NVssoz2aPO9un8dv -/16FyoxulTnLdZAwyt8MNjdArY1yAxuGvX/8rnb7963FpuI+zdgfebDfwyfi1e0ezoN6ElYPPBC7 -xQKoxQOlCwEhIASEgBAQAkJACLwsAk1bM2xJ2ppmfNLWfIDx6Xe8h+GIrA/fWrobowef5/zFVO3s -ew6V4Y0xVhQve3qTjfr0nQ74Dl/yeo+7d8NaGu7scsPbcBiM7rKgwAEyS8fdmghrMQIfvv3W/tn6 -5fH5DsdHX/0QQNRjgCLGBSsm1mWrn7II8PZeqeVOuObIb6V3io+yXRACPTxNOuWCKBcpaxBo8bSV -vqZtlT0/Aq1xns9nvNLp6/ygvoIWW+O4lf4KungRJJYxg0sKYAKGfegOYlxAYnYlzEjYmr+BvQhb -Es9gtA89GGxN+w+96bQ1fx9WM8Nj4CAuZiYaPmXAJs+6G+1THx5eZbr93f06yAM6AlB6063zj97h -xlKCV//4AmW40s9DcPC1AIm0KzmZxjXLnCy00i9iFImILgRymFhRBFXJ57XvafqhixBlOisCLZ5m -uThlTjhrZ9RYFwLPvqj5cZwvSRHfu2C96EytcdxKv+jOXTBxoxuHMp1T4TFMjwHnNrLl8zqGiJFH -Ospz2PZJ4zOfqI/vxWaf8MY7aZGh44jnRh73Q8YOBvegwdxodxztt9M8Y8AXezzFupeq6Wlfb7T7 -9odTMb+QQJ416V2izubzSjyHPXGrB5WdJDx5Bpnra45hmqK6ld7T05YkttpopbdpaPG8N70lN01K -Mhvy4nvpHQPNSnOGHqzW8qNFUC8NC7pg9Da1jr3DCZJaPB000hnGeaYt85z6e0oPtCAepW+J92lY -D+S06Gils47TadiK55uNc+h2bI/Xh+BO72JwfiPdTo/lUfJW65ulzrR43kpvE9bieW/6STzHeI64 -65E5sXTkjvN9+1jepFZbdoC28Gylt/FeP863oIEax8C0aySH85WDCnJv+sjWfLYZB5MqGJAPndrz -ZyneztZ8RrOX2X13u+9muDvB9dZQNszn5IOnhrPRyrp6ZEp5hMAlIwCdNR1O90w18py0ULvkjt84 -bZyrpnZKW+uoG4fumO4P08Zqq/iYJsd51/uVTm9bJScRqE2JXWFaGue7NqzKRwiUcLUpJ3BMrrQ1 -a+g2GcNz10GisfpWGRJgZb6xtI65fzvDfWSkI57oOS79wQKL3OueT/cydqgGjXlqUC32yLcxYstp -WDWd9ul50VIrTyu9m7KaSVOemQ5GTrfXM4G18rTSe3raqmNtepuGFr/WpjcpmOJh5jXTIdYtOBYb -6yncytNKb/W2p3wrTyu9RcPyjhpLn4Xv4CkXa/X4znJx8+McXFnL9xZH23LRrmFB9moeMsxzrshL -8/zk9p9H0PJIbPGzlb7FOF/m6Cp+YzxnDOfGOUU75+25ivCg+y281qa38W6P0XPQMNA5OgPpu9YR -Xs0LUio7Mu9TDfbos2Ff/CfJ1jz9EpQcKgO6EMOOv7xVJqXzGkjPQ4/7WW6VCWa78c6wmCQAeN7c -HkqWP/pEu7yWgQrsHjG7yDwthdlKv8hOiahFBHp42pNHML8uBFo8baW/rt6K2hY/W+lC8PUh0OJp -K/319fjlKC7e9MoZXFNE23hkrQ/Gva+2Skj5jBMYtqaXtfSTHGpLK7MUElMM+rmDq4dQF4/7r371 -l7+s4gQE02+ASR4N0v1v7SFO8/rqx8Dwv2Hg08NewLUv3wRgVp8fTMVn5J2kNc8VQWuFt6pnKnzx -CJx1M/Ti0RCBQkAICAEhIASuEoFka5b+lZ0PS4QtWWzNsEuR0WxNxMI/WEz8YFNaxjewHcyOnLE1 -cTvNg7+9syfCY2o7pfKs/x63xdCgTd51f2S/ywuYJm6V+dVXxUan4Y4Hv3iFv68D06OPDsRAPPrw -A4xr/wyxK0MoDH4fGtG+FYVrH1EwAChbHFGLHyjw9KgTReC9n9k6HQz6ABQM+OEba+KN82ugMm6y -QT7883q3OxQRZOvPSyPA8KlBMmfl5aXJVPsbIpAW8XJkbYjrpVaVb3pwdR7j/KRQg0vtpOg6QMD4 -64cO7VNYLZ5fp6AkvtJ+8xn9jWn4b2BwDrbeYF1S60/Ymp4UdmTYkiNbM4z3bGsO6Sg35QA269Uv -WaGtaXngc473CA3WcraZ7bv3ZcrjbI9/kzqKQ+v5twu6pdOMod2KEKBnu9yN95Nj3FFXOWNXZs+G -57u4z9GvKu/B7zkgKbfhZWWdPQui6xR59UoI3C4COsh7u7xXz4WAEHj9CMDb6vbftNP4oIPIOvU2 -VM8YdmUxLyfCadbYmjC2L+BzsuF+QHvL9cXL7hmjPtX5ktYZ+sI6ew80ldioC0BeJOyDQOfY36dx -1SoEhIAQEAKbISCH3GZQXn5FC0akywGM8IOA9cNuFVtzoccjO7TD3iy25pEoulc9lcm/3ZM+kf5Y -PzxscwPD3cDsXYXwrVSLhnYHiLkfrLOEw0wDi+Q3/+bIuo/kkbKfH4FxFJTx99/w1V3np0UtngcB -53k6vP5D6B/N8efB/9ytPE/nOPsU+8jGc9fp5yZG7Z0NAeevxyA/DCaGeH427M/akNlwLb+v0wNR -KDZkg8KufEfag6gzR430gFS/n6Xn979rv9RlveHu4UFdsPd0c6M8SaUzpscAvzQqN+rsbVeDeDMg -gO02GO0Rr/aDZvTrlQsO5H8Onhv3/zA8EM+vlOVFccftDphzxPMrZXZ0K3ju/tV/jsEt3X7dDB94 -bCu1+W5egq3ZY0T+PjJNedqzM33Osd5xVG+94X710qQOCgEhIASEgBAQAkJACAiBBgK/SfG6NM6n -nOgvGyojNgoBISAEhIAQEAJCYCMERmeVOlyQGzWraoTApggshcZMedzdmLf/NKJ45HHflEuqTAgI -ASEgBISAEBACQkAI7IOADPd9cFWtQkAICAEhIASEgBAQAreKwOXeKnOrHFG/hYAQEAJCQAgIASEg -BIQAEUgnWHtukcnAIf9ZbpURt4SAEBACQkAICAEhIASEwK0jwOvR5+5vJz66VebWJUX9FwJCQAgI -ASEgBISAEHhRBPKtMiSERnz9AqYpQjuul1eM+4tyWI0LASEgBISAEBACQkAIXB0CtVe99sKjw/Wz -Byv0uPwSJhnuVycp6pAQEAJCQAgIASEgBITAiyLQegnqVAw8roNsfGS4txBSuhAQAkJACAgBISAE -hIAQWIOAQmXWoKeyQkAICAEhIASEgBAQAkJgJwTmDqCyuanrIu9ahe7u5HHfiV+qVggIASEgBISA -EBACQuCGEPh9XAeJiJf6UGrrphm9OfWGBEVdFQJCQAgIASEgBISAEHhZBPKtMnSe994qg/yP8ri/ -LAPVuhAQAkJACAgBISAEhIAQ2AgBhcpsBKSqEQJCQAgIASEgBISAEBACjsDSm1OnHOsKlZHgCAEh -IASEgBAQAkJACAiBF0Bg6vApjfmpWx8VKvMCTFKTQkAICAEhIASEgBAQAkKghUA7nH2yBoXKtIBV -uhAQAkJACAgBISAEhIAQaCIQt8ogn0JlmmgpgxAQAkJACAgBISAEhIAQuFoE5HG/WtaqY0JACAgB -ISAEhIAQEALnQ+CNNRVe91aM+1S6roM8H6vUkhAQAkJACAgBISAEhIAQcAQUKiNBEAJCQAgIASEg -BISAEBACt4uAQmVul/fquRAQAkJACAgBISAEhMAeCLRCZeo2dR3kHlxQnUJACAgBISAEhIAQEAJC -YAKB30d8O8JkGCpDAz6HzugFTBIfISAEhIAQEAJCQAgIASHwgghMvVhp6tkKEhUqswI8FRUCQkAI -CAEhIASEgBAQAgMCulVGkiAEhIAQEAJCQAgIASEgBF4XAku3yqAnU+n/ru2el8f9dYmBqBUCQkAI -CAEhIASEgBB4zQhMxbijPw/273G5YzLcXzPjRbsQEAJCQAgIASEgBITA5SGgW2UujyeiSAgIASEg -BISAEBACQkAIHCDQjnoZF0H+o0Jl3jz84m9pfWP/qdz0ePwn/Ofb5zb+xFe6XhKvjPYfbJ+BZHp3 -jPA/3P3Jvn1zSZSKls0QMN6++Wf+huHHCdndrBlVdFEIvAGvf3i4e/Pm4e4Pf4jrty6KQhGzOQKP -P9g89HD36JORPreAwBuzRcDuN3+ycX6JNsctMOGMfYQF98PDg0eL8HOR4x22pumib3EO1T6ukfDd -dJSbm8N/7EO7M36/sd91OrL8KeenvQrbxqzZCbtcoTJnFEo1JQSEgBAQAkJACAgBIXDNCFQGOwz4 -bKB/kwz4YrN7hgBl2dksw/2aZUd9EwJCQAgIASEgBISAEDgzAslznp3wsOCL1z0Z+O51z8b7PLky -3M/MSjUnBISAEBACQkAICAEhcK0I0HPO/m0bri3D/VrlRv0SAkJACAgBISAEhIAQeCUI1Ab/NNky -3F8JO0WmEBACQkAICAEhIASEwKUjMBfjDrrpfWdYDP5GDHyJcV/unwz3S+e/6BMCQkAICAEhIASE -gBB4JQhM3CrjjxYOrZb0dqy7DPdXIgYiUwgIASEgBISAEBACQuDSEcjXj+f49uxtjz7k6JhvdKvM -pXNW9AkBISAEhIAQEAJCQAhcJQLJKi8XxtizfB0k++3PFON+lWKgTgkBISAEhIAQEAJCQAhcKgL1 -C5Xs95/ohdd1kJfKNdElBISAEBACQkAICAEhcHMI5FAZdL7+PQeIPO43JyrqsBAQAkJACAgBISAE -hMAlIDATKuOG/MStMgqVuQSmiQYhIASEgBAQAkJACAiB20GgPoSKUJna8169lGn05tTlN6jqVpnb -kST1VAgIASEgBISAEBACQmBXBLI3feJWGdwew5h3/x7E6FaZXbmiyoWAEBACQkAICAEhIASEwAQC -ldc83ypTDqrSgG/f3Z4bkMddAicEhIAQEAJCQAgIASEgBDZBoHGrjLdRhcrgtxv07QOqMtw3YZIq -EQJCQAgIASEgBISAEBACpyLQNtpRswz3U/FVOSEgBISAEBACQkAICAEhMEKA1z8mz3sOldGtMpIX -ISAEhIAQEAJCQAgIASFwCQgce6tMvnWmHe8uj/sl8Fg0CAEhIASEgBAQAkJACAiBBgIy3CUiQkAI -CAEhIASEgBAQAkJgEwTmQmUidOYbSz84iGrPdB3kJuirEiEgBISAEBACQkAICAEh0IlA41YZT67v -d9etMp3gKpsQEAJCQAgIASEgBISAENgLAXrgW/XrVpkWQkoXAkJACAgBISAEhIAQEAIbIlCHyljV -rVtl0HoJlVkmRTHuG7JKVQkBISAEhIAQEAJCQAgIgWUE+rzrU3XIcJdsCQEhIASEgBAQAkJACAiB -TRCYuw7S3erPLfghVfwMI74cWF1+g6oM902YpEqEgBAQAkJACAgBISAEhMDSrTJmpPNWmT8lr7s/ -4+98cPUQTRnukjAhIASEgBAQAkJACAgBIbAJAtnjTm86K6687Ax+d6N92dPOGmS4b8IkVSIEhIAQ -EAJCQAgIASEgBIBAfd0jjPL6dpne22bGiMpwl4QJASEgBISAEBACQkAICIFNEGi8gMkNeHrXk0Gv -W2U2QV+VCAEhIASEgBAQAkJACAiBTgQaoTJeSx3H3n/LjDzunWxQNiEgBISAEBACQkAICAEh0EZg -wngvoTJhpBfHvG6VaeOpHEJACAgBISAEhIAQEAJCYHMEJl7ANGojwmTKgdRIVKjM5pxQhUJACAgB -ISAEhIAQEAJCYAGBKgwGVz3iw+seeR2kh8vQ+55vm9F1kBIvISAEhIAQEAJCQAgIASFwJgTS9Y7F -s04D3q14+5c887X3fYFKxbifiYVqRggIASEgBISAEBACQuDWEMihM3NXQPbd4Q7kZLjfmvyov0JA -CAgBISAEhIAQEAI7ITAR417s8vrQalwH2W+3y3DfiWuqVggIASEgBISAEBACQuDmEODVjjkUBiBU -Bn2Offcilv6tffnDshUvj/vNCZQ6LASEgBAQAkJACAgBIbAPAhMvYPKGKm/71K0y/y7nm6ZOhvs+ -XFOtQkAICAEhIASEgBAQAreOwOTtkJVX3W+a6XsJUzHcf/jh8Zdvf2Me+t+/ubv74YcDmL+5e7T/ -vbn7NlK+se8l32H2F2HTww9G0+Pj3R/efOt0vrHvv//GnuFzITS+CDBX3eg3dw/f/MGY/ebuwWT3 -hwdjNHgtfl8113+wcf3Nm8ey8agxftXs9s49PGAj+fEOev4HDnCN86tm/L/9Z3d3/8bG+e/zHC6e -Xy/PbWzDbntj8/nwsfFuuv4H2qQXwnvamrA7QOmj0ex6CXSazD5/qoOoDI0pGZgef5Fueo7z2cM3 -j2bbHNrl8rhf7xBQz4SAEBACQkAICAEhIAReEwLmi1z6yHB/TcwUrUJACAgBISAEhIAQEAKvDwFs -HVlky9qPDPe1CKq8EBACQkAICAEhIASEgBBYQmADox3Vy3CXmAkBISAEhIAQEAJCQAgIgVeAgAz3 -V8AkkSgEhIAQEAJCQAgIASEgBGS4SwaEgBAQAkJACAgBISAEhMAlIIBrERcOqMpwvwQmiQYhIASE -gBAQAkJACAgBIaBbZSQDQkAICAEhIASEgBAQAkLg9SMgj/vr56F6IASEgBAQAkJACAgBIXDJCOg6 -yEvmjmgTAkJACAgBISAEhIAQEAKBgK6DlCgIASEgBISAEBACQkAICIHbQUChMrfDa/VUCAgBISAE -hIAQEAJC4JIR0K0yl8wd0SYEhIAQEAJCQAgIASEgBAIB3SojURACQkAICAEhIASEgBAQAq8fAYXK -vH4eqgdCQAgIASEgBISAEBACl4yAbpW5ZO6INiEgBISAEBACQkAICAEhEAjoVhmJghAQAkJACAgB -ISAEhIAQuB0EFCpzO7xWT4WAEBACQkAICAEhIAQuGQHdKnPJ3BFtQkAICAEhIASEgBAQAkKgDwF5 -3PtwUi4hIASEgBAQAkJACAgBIbAvAroOcl98VbsQEAJCQAgIASEgBISAEDgHAvK4nwNltSEEhIAQ -EAJCQAgIASEgBFYiIMN9JYAqLgSEgBAQAkJACAgBISAEzoGADPdzoKw2hIAQEAJCQAgIASEgBITA -SgRkuK8EUMWFgBAQAkJACAgBISAEhMAmCOg6yE1gVCVCQAgIASEgBISAEBACQmBfBHSrzL74qnYh -IASEgBAQAkJACAgBIXAOBBQqcw6U1YYQEAJCQAgIASEgBISAEFiJgAz3lQCquBAQAkJACAgBISAE -hIAQ6Ebg95bzN925RxlluJ+Gm0oJASEgBISAEBACQkAICIHjETjRaEdDMtyPh1slhIAQEAJCQAgI -ASEgBITA9gjoVpntMVWNQkAICAEhIASEgBAQAkLg3AjI435uxNWeEBACQkAICAEhIASEgBCYQkDX -QUouhIAQEAJCQAgIASEgBITA60dAHvfXz0P1QAgIASEgBISAEBACQuAGEAjD/f0vd3/x4Ze/+J/W -479Ar78+6PrXX397h3h5fv74R2Q+zPeSmP2FdeBrI4t0/s9vv737i3//wUj6o/17/5Kkqe3dEPhw -94c/vr/7P43xfwHZ/XBZMrlbt2+84r8w/fO1sXpgt3h+C+KA8f1HjnPx/BZY7lO38xxTuHh+9Tx/ -f/c/7/7nt8+22ocPl8l72ppkiNuav4GtaXORyyo/eIYP+zT1O9mm7y3dbfChzB9gZ2N6K3a52ep3 -/49ulbn6kaAOCgEhIASEgBAQAkJACJwJgWyg4zv+wUDPDmQ+A0mR/wPSWXaeVIXKnImNakYICAEh -IASEgBAQAkLgVhHIxvoEBvC4u92+HCEiw/1W5Uf9FgJCQAgIASEgBISAENgZgZ5Q7T5vOwiV4b4z -u1S9EBACQkAICAEhIASEwK0gUMe0T/WbhnodQoO8y555Ge63IkfqpxAQAkJACAgBISAEhMDOCNQx -7QuHVN1+r2Pcs1F/SKoM953Zp+qFgBAQAkJACAgBISAEbgWBiVtksoH+3n64rV7FtJcYd3ncb0VS -1E8hIASEgBAQAkJACAiBF0WgvhmGBnoQRaPdf6bY9vaFMl5CHvcXZa4aFwJCQAgIASEgBISAELh+ -BOpDqlO/29a7DPfrlxT1UAgIASEgBISAEBACQmBXBMzoRhjM+98NrTB2HV71CJVxU91//s6SU+y7 -lXtvvz/YXe4t012G+65MVOVCQAgIASEgBISAEBAC14/AcENM8aPDiI8PbPT38ZtP+btkgvEeZvtg -1E9fIynD/folST0UAkJACAgBISAEhIAQ2B2BZ4M7m97Jhl9NgQz31RCqAiEgBISAEBACQkAICAEh -MEagjpY5xOfQs65QGUmREBACQkAICAEhIASEgBDYHYEhvGV0cczGbcrjvjGgqk4ICAEhIASEgBAQ -AkLgFhEYPOh+FjXd9DiOVq+97Mv3ttcoynC/RblSn4WAEBACQkAICAEhIAR2Q4A3yLgHPi6cGRrL -ZvyhSd8iSIZ7CyGlCwEhIASEgBAQAkJACAiBC0BAhvsFMEEkCAEhIASEgBAQAkJACFwPAjnOvdwq -41ExOTQm3eUevngdTr0eGVBPhIAQEAJCQAgIASEgBF4DAskCL6Z6jp8pfZi+r32ui/K4vwbmi0Yh -IASEgBAQAkJACAiBC0fg+VaZOsZ9+n1KinG/cIaKPCEgBISAEBACQkAICIHrRGD6VpmZl6AaBOMb -ZdJFNLPwyON+nZKjXgkBISAEhIAQEAJCQAi8EAKjqJiRfT56p+rIeG/Ft6MrMtxfiKFqVggIASEg -BISAEBACQuD6ETj0q+c+K8b9+iVAPRQCQkAICAEhIASEgBC4OQTkcb85lqvDQkAICAEhIASEgBAQ -AnsioOsg90RXdQsBISAEhIAQEAJCQAgIgVUIpFtlUnxMeXPqwXWQulVmFdwqLASEgBAQAkJACAgB -ISAETkNg+laZ8gImr1QvYDoNW5USAkJACAgBISAEhIAQEAKvCAHFuL8iZolUISAEhIAQEAJCQAgI -gctHoH4B07PXPYfHKFSmk5Pji3k6C1XZtqjjtJZVSggIASEgBISAEBACQuA1IlDbj8fZkzfqcT/u -zsxpsdiijtcocKJZCAgBISAEhIAQEAJCYAmB+VtlcqnxK5f05tQ5RGlz97yias86JPNCQAgIASEg -BISAEBACV4LA860yOIPK8JgLu1XmOBf/fpxp0RHphul7Q/KDn+q1z1HGe9TxO6vD/ndaHfshcMs1 -j9nYkoVbRuoa+j7w99mbIX5fA1eX+wBtC63LD0e8dj6vl/fG4w+YsGvvpHh+nTwPK3dWnV+Cnr8M -vXN6qEyMp7EyfTlxAh1ZpZdfoNMGv8ONh/FvbHgPArOkDrBa8sJh+A+/rN4hYfhEBVIr55MDyp9v -L12YTJ4Phdtqycc6eR2nfzTmrlsGRuMcqtadL5VNd90Q3FzvpNtvi+Xgt4/pkTIfAkfw7BJszWxn -znNn0EwxNc04h7P2On4xEIb7F9bO734ZJkSscidIqmZGKM5kq764hI0M9WxHg07zkBfvOD11pN77 -+/7udwXl6a68t3y/jaQRPKgfdVhiq44XB+kKCZjl+xX2VV1K6+O0SJbRfv2SoXF+/Tyueyie3xbP -ye+sz93MHKz2RcfquZA6oC01/B52phuJ4ShmWioE29GyeZ7nz3gGoxPyebcp2+Vmq9/9q7uTPe4N -O/dcODbbIZ2/c9s63HQB3m+fEXIgxyu9cdUf4M4NHw+ApxHv+ANL58ZyHU1ilWE9ArLi1mP42moQ -z18bx9bRK36vw++1lhbfXyvnVtH9WmzNVZ08svDJhvuR7VxA9mejfUQMpGJYAi1/aORPKQ9ztf/u -UpaErX4oXQgIASEgBISAEBACQuACETAj87fLhy9PNtwZ2w3Pc4/duzc6hY4Uqz4cXhsA+G3lTi8e -c099bx70D3fwys9/hnAalBuX9eIDzl9YHZcAxt5gq34hIASEgBAQAkJACGyEQNOGuwBbk7bfEGCx -fC7ydFjMmByFdRzWdLLh7sZw9OLAkD2d4lUlf2udnbKbnU63yukuzxZ6hMBYnsV+oHw54TpdPmOy -qiMqLASEgBAQAkJACAiBG0JgyoZzu+yV2JrnYtUqw/1cRG7SjjN+yqW+vCVR2i5WfZ2/s/wmnVAl -QkAICAEhIASEgBAQAteJwI6hMtcJmHolBISAEBACQkAICAEhcG4EpiImGlEj5ybxDO3tHCpzhh6o -CSEgBISAEBACQkAICAEhIAQMgdsJlRG7rxcBLtMv5bDF9SJ9WT0T3y+LH+eg5vbcb+dA9bLb0Di/ -bP6Iuo0RUKjMxoCquotEAC+/wpU/doCYB6Yvkk4RtRkCw+1Nw8Fyrdc2g/XiK3K7Xcb7xfNpSwJl -t2+Jpuq6BgTkcb8GLqoPQkAICIFrRyAWa3hnhhZr187s3D++/PCW+qy+3i4CinG/Xd6r50JACAiB -K0RARvsVMlVdEgJCIBDYMVQmK8+5lw7l+zdxwXo+MTyVNgpziPzM19XGWsbP3AOPahWCsRbcyyx/ -Frm6zK7fNFU130f66KaRuf7OH/BeK4GrZbp4/fpZu6WtCXk40PXZ1jS45t6heb454hwed8aa1u82 -GkKOLR4R/0nCE28w/Z290bS8hcp3wuzNpClG+XfxsiTfFs1t8Nr0aG+yjdWyapWP+qO72ldDeoEV -uFLnG3WH1+zigf8/y+cFki6SViDg5yHK7vvA86JnZMStQPa1FJV+fy2cWkPn8zmYZ90+GG6VTbKm -EZU9LwKcr9N0ja8+X4/OvjyP8dFcbuX9XZy0Nd1oH4w9zAHDp9IP2dacfBfQeSFAa+tj3OOtpHj5 -69Dt4b/+O4emxftsp95diiLgh2H//OHcykr42+vEW0ytBXznAmEL7Mo7dz8M9Kf+bFG96rg8BJ7l -kcJH3m8pWJfX75umiEynEvbfg0I/cDTcNFDX2/nJeeh6u3t7PRs5+551O4yG2pd4e+C83h6Px+2z -HfghG5AHNunIrHSbcc7WxKJu5NfBHJFszUtB7mTDPS9ugNMvbrM/3+oB73n9oV0M8HPoSbbvJ4HJ -BTG58i2o1RbHFqCSrl/QodLWFjWrjktEIHzsVSiUdlgukVdb0fTbL8b8ha56D8WvtdpWEF94PcOo -l2Pmwtm0hjyzEdyzXn0wr7uRJ+t9DbpnLZttTc7Xz7amGdoTsS3FZLQCX1S7qIuze8PWPE/HTT5/ -u2yDnGy4T+8oP5vgx8SEkxnd5hI56YNzh08WBF09tgPAl1ElZBRGGxQ5wie0hXoZfBEVQmBzBCYm -96bDaHMiVKEQEALbIHCarVm3PRfPfkDjXrbmJBhmCTfszpMN923AH2ohC4oRbkSX3ey6IS6WX7tB -3XM57Wvv45ZCslNdbrybF2ZwwpjxvrcnpsXTVvpOONxktQVrel93dAQseRjE813F7yDWOcfJ+vdu -l1EfndLtfTidOVfxzG7M7tKNnnHck+fMuNx2cwiKnvnAJpg6zLo7YDt63E+hfbS6mRBgf5QOC8y2 -UeLUdhqBJfbnlF6qzGtDAKETv/tlODPhxvvWE/lrA+QW6I0wO/ds5MDJXbbwbgHQS+5jnIeCiY4p -o+y3b2+zOwrlrNQlY3LltHF8j7qZwqM0zq9aALo86XAQz1rtAY/bmpf33ojzedyJJGL9qdwCm6JH -DSSPlW99dgRy7nrAFklHp/cojp48RzesAlMIuPHuOz02UM2I/y1m+D3wb9XZShf7tkEAW5+hk/w4 -DhX4Hh6xFk9b6dv0+GZr+a3Fi5YbpPwQ2wDFrjHuLZ620m+WW9t03NfjYZV9UU4iIuB5m/ona+nh -aU+eHUm8iapHtubYubtsa044gne0Ned5cUmhMsUL0faS+zgbzoodfmhPeXzykOxjYYsB4fXNNXwT -In97nUyGGmPe3Xi3f/K8X6k4kOfUGTicWq4B3UaVXClyr7ZbHNtwsTW9bK+2lyL8ZINa0F0PAgu2 -Zm1TfoCxCZ3gva/C5mBrxhxRbM0t7MwNkN7E494KgSGdPTuIg6PTPCS4BnLKcsczWzXnO7i33spo -7Z5sgLuquAAESuwr4tjiFHeJkoIQ7OF9vYB+3zoJfm9vemfEreNxK/3Pxvut9Fn9FAJXi8DC/Nxv -aw4XDM3amjADhu2bgLHteF6P99li3KNTPDg6R3kDTRrtBzGCOQ41Abn5sSLfPh8WDfLKrBe/S6+h -iGMcQhnojTjIvUJlLh2UW6DP1dXwwreB5eS53pB87ewvh9GvvaPqXznKMHld4IV4TsWmNgKZVcWp -utbWtGbLLXLZ85xsTffdhdF+Xmdue3Fwssc9L3YcgHZbzqGpayL9WXWbh3tAcxhDfS98vkF/y0EI -4x2dITO3rLsto8pxTgRqXnvbO8dBnrN/amsSAb/HPStrLdJelaSs3Qgb8V/6/VXxvpfY/K6X3jLK -d5kI5PH+fF6hTeuUrVnKp3E/sjWx+z56OxNMgk7jtk1SZ47WqmSLN6e6Nd5Jz1K2qTrysy3aOIbM -c7d3DG3KO0JgsMFWnPwWr29PosTzV8rzFeM891j8f0X8X2E4ic+viM8dpK7l51x5Pl9bf0cX2lna -8n6yx73duHIIgfMg0BPPdh5K1Mq5EBh4vpERdy6i1c46BDyUcSNH0TpKVPrMCFyEPXXmPqu5V44A -7e86PD799nksxeEUb39D4GW4v3LZEPkDAse8qVeYXQECHuakz80hIKbfHsvF85vj+evucBU6XjnQ -4x6M0sX6d0/fZbj3oKQ8QkAICAEhIASEgBAQAkLghRGQ4f7CDFDzQkAICAEhIASEgBAQAkKgBwEZ -7j0oKY8QEAL7I1C9ptx3yOtt8siTQ6MmX8TWqmshfentySRndOlUHLLI4dclPdF/1Es8Kvoy+MMt -XOOLcZg+FTJW9+cgT41FhflB+cyXVPbgZSVT/OuRork49om2RtXl9KW2FSffwwXlEQJC4EIRkOF+ -oYwRWULgVhHAq8oRFugvVquMLLw8yd+xkK+PjZcu5BexueEcb8QbbvOaOqk/vORtqC4ddGU5MiC9 -oKPkizz87S91ilu8vC3QNHTCFx/HvsRjRD/JJ635atz8wgnccjn5Yqnn91IMKIyxOGgrYVvoTkX8 -UHDwZbjm2PiV+sqXYJzyYrzRIiwNgPKytHh5x1Q/2Q8UW2rbSZfxfqvqRf0WAq8egZMNd50XefW8 -VweEwGUhELeG/BK25ZRnuLyVOimgqXfajd+SORjPo4+3ZS9bQ4Uw2nN6eg02Xok91BUGKirhNUbx -Iicawm5Txn+ev4+b7X2RR6bfbxoI+n73y1BDoQltxqKDhuuhUfvBTO14rffE+oV1lbcHsi32ucLn -F6NhMH4HbH4Bw6qrh49+Od6CN/13du2Cr4MAg93Bz8XE76zd/NtxsUzEAXR5mer0V7mFyjJO7upc -1qgQNULgZhCYuiHu1dmaSzdlQHm10ju4fbLhLodFB7rKIgSEwFUgUAzpVm/aV/C2alhMP3g5yGAz -uwUKL7IvemorelTjzgSe2LshtGja3H/eVBhoz+smeuK9JJLjtqHBuCc4FVHFOsACRFeKnsgyFRMC -t41A2okdA9GwjlvGeweqJxvuqNvb72jkXFkYVzpub3i1+SXReS481I4QuAQEihrbahDCu1sN9lPM -0Wl9MY9YcZTUBeP3cxjKtF+919teUzCaBpYwRFp4v3vfZL2XfJzCjyVacn0HfCvA5lyzs+ppXc47 -AqfVoFJCQAg0EJjSyf7sEm3NOYXe49VeabyfbriHG2Tw8FzGp1bVZbvaXC+XROdloCUqhMB5EGD4 -M+K9j7pvv1aAByEq56DfFv6/GN1fzLRlSuYX0y8Moy9hJ8yOMBtXPqea7UNFXoeHfSz0mbNeeJ7P -gU7dxtBX0Hokr3uJTTP71OLg2Zm0Du+DfjkLhzo1l/QyS/mEwHEITNtwlzfuFp3trrAnQmLKNuB6 -t4Yb7v/qX/2rX/6v3/oMdHf3RZ+3aFu1eBxz53JP0XSJdG7TW9UiBF4HAgwF/6LHeA2v8WAj2YI7 -rKQvwnBm2EQ2YJEH2b+ADvMPMv9yR5X22/KceA2V/fa3v0R8di6XnhdbLWsRK2vl3gddTGEfQQlp -xDPEgx9++rQS6cfhT/9UxZ7jyyMhe9xL5i8MB+tnEPHbfJg1ETZuYlgdEJ/nMgOuhZSE69D/ZwK/ -mGlnTmLZly8W5h+vE/A7rsFpo4Hfnc6QE2NR2XyYWjSV9npkcty1uS7ouRAQAisROF1brmz4yOI1 -naP5Z25lX3QiS7vB/dxyUWp8ZGmcaEIvwlZHarfH/VllH9lDZRcCQkAIAIE5r/UcOpbf9Q6MMPsH -471PD7V8ouP0Z7IOy3naiO6JPEEUUpxO/N2Q41y0LMA0kzRBSRcPTqOedDq/AFs1L62GpDBjib45 -9NdxBX3jvLq6H6pACAiBq0KgS61u2OP/H8h6gPkQx45qAAAAAElFTkSuQmCC +7P0tnFvHtreLKvd3gHSZ2JWgwtywoQ0dFkOHHcMstnPZ2uxdL9ub3WyWwBy2DB0WQxs2dLMISoeJ +XQld3+f5zyr1bFnSnOqW86mZtFutOWdVjY8aNcaoUWP8H//7f//vwf/6//y//p+D4Wo8GAz+f/xc +rgsGLhi4YODPi4ENQ5+NB5OX14MNn1f/vhkMlqvBYMj33ss/H+/gW38xGIy9WS/uL8v9Mfd8sX17 +FzNps3ksV/5eN5/9NRo1X+ZzR1vtthnytp17fTIm29l24IeH9rEZDGeTwfjVNUPcDFY/vAvO7sG7 +KvjaB4e31gC2oX9XkIpjvxsxziFfCMcowN9B4Z8+H3yJG/Cd2+WZNd+dgqs2fir+12VMuzgdtQA8 +Rtd7OL/8ccHABQMXDPyuGPh/DDbj1f/+f//f/9//43cdxqXzCwYuGLhg4LNioGjVRY8eX08Hw+tJ +UUxR7Ph/82ExWL29RcFEebyaDsbXV4PhpLzA2FZvl4PN7aIZ5SeKHu3nO/4p+uDk+WwweDLlu6aN +8Rej6K6DxXywercYbJa+c1xj9O7oBWO9oi2vj7RFO9obm9s5vfEExslg2Ci62hcr+tv8uhqsbuYY +Ht19dKI9qEOxZwxjYSrGwgY41m9uWUOGg/GL68FwzBjm4NB+1defzfhuDKz8PV/yDO8KBzCPNQ6A +ZfN+meelxfgp9wLbGl0eXAFL+uiJq8BRcO84gzONgQ8F3wXQIfgaP7sajBn3agWe3s4Hm3kx6DqR +cXnggoELBi4Y+GNgoCjuK5aB6jb6YwzsMooLBi4YuGCgNwZ2vd7rFUogSuJwjW6LcjZuHuDPwfRq +PBii4G3mjRd8eD0ezKfjwfLH99xHFqJzz/DW6xFevUG526CADnfkY1EUR1cYAdcoiiioaxXVjZ76 +TdPHsyd8z1/vbgcj2h88pc3JZLB67Q5AaW+f/o4BMZqNBpPrJ4PRtyjG6uYqmJNGSV/9iOJ5czsY +q4i+uMIgaJTQKX2sn6Ls8/2GPtaH+mh71Ef0hcovrkTGRi94POzNNXoyGUxeAAcGzwjlXENn+PzZ +YD7hDfqcMP7xqyco2uDzPxkH+JuhuI/EiebKdBRcboQfRXzN81PaWn8JktMXyvqXwPF8F47rwYrG +N69R4GOEdHBCue8vuxrMZjGbVhhlwzmfsGxG0GSqAYLSPsBogDs+pWtvhrs8eMHABQMXDPy2GIjM +4rp43H9bvF96u2DggoHPgYHq9T7mgED/28xRBFEyh2jD6/VysHz7AQV5NpjhOVYmrt68j6d28BJl +lWdWPo/y14R9tAbuZzy38eLqXR7j71ZhXNKGCjxe39lzFFr6+PD6HQowf//388FsOhnc3qLkL3nm +2KWOnvaKwl6U9oT+aFCoRC8mKKko8R9Wg/kb+phNB0/+8yXKfNPH4FAfevs1ZJo14P5lv7wqrFHa +X+GhBj8aBvM3GBwo4pNvpxgm08H8/c1gdXszGK/YodiG7mwGI8Y6dLxDdwRQ5lHOV+B5gxGkMj37 +7vlg+h345pr/15uMf/o1cDCkwDGdDZ786zlwjAe37/myC1ctCPTib8DZ5CXvYVO1o3PE5xqlfQkc +a57TnrtcFwxcMHDBwJ8NA58q7hfH+5+NhpfxXjDw58BAVXx3ZUyXN7ULOpRXvakDdNm1CmtcrXte +op+1HvGVYS+Nor5GmVwuNoPxf18Npi+v8GTfoNzTQPE6b7i396p94nleoU1vfL72acgNMd6GxKgo +bojXHm20CroAKfc1EtAyNQBUROMlNvzjw3KwuEEBzk6BCi3GAv3i5G76d9yJVTnSD2gaTfF4G4O+ +0spolPR7l/Hmgy/YMSBEBm/75sNmsNSDrwFDRNHSQB3DdAxtx1oRtKaN0WDsDoQa8i1fQosGBmEn +3Ei8CFuC6MvFuDcLDRngYOdAOBJYtBMSX0NhOjGYXQbgwjhrzhbcXRmi3naNHqN6vH0q7x3j3cva +2UmeywMXDFwwcCIG9sio+4p7BI9C+3JdMHDBwAUDZ8SAWpLhJvtkzGbHm923W9sinGKsgokyit8Z +xb3IsITG3MWpH2xShXdZFF4PqCok2wqYn3cVPL8zygJP++wrQjzQU+fq6nraWwpn5C364/jlUzzS +KrpsdOK5HqgAex1SGjUw1MZ/RgH1M5708TPUWY2An25R1IsCnMOdazzthrM8bc7X2gdhNWuV+Hb7 +wRVjIXxHXC3ZVQiu8ox02dWUeVbF150JlG6V6u3FeYANXvucH31SkMMvDwMLpsrx/CcMDPHhWDUQ +njFGvfDsOBjGopd99e5DQosSr881JsYlcHjuAOV+7bkCvPXBaT3I2pc36nM9WKB3k3vXR42seoUA +vZu7PHjBwAUDFwwcx4COjk/XikZxRyaPniB02P4cKv0fKiQvNLhg4IKBCwb2YUDPtcqkep7e2paM +2eCRXaPIxRN7SJndbbMIM8M4orQvOBxJ+8ZWD2c0gid8RD8qiAP73iP8Gm2xdKheWj3BflXHsW88 +Ue5RkGk7+i4x8uMpoR55qaUwB0Zi3afEcY+J6WYMVQ/thFNPup7voYoh7ZZxqCwHljiTm8h0P0+J +Ec8Y8F7rHd/gVR7iNa+GyAhFe0hsucrx6ldizbk/4mCo8f1jQlpGHKZVUV6ng+byEGnsH+EwcLzQ +J49s4+BVrPHBE48fL7wO7X+9JY4fpTxjI7bcvonZH6Owi+8Rurs7Bdk5cIyBxTj0IXCwBqHcB46f +CMURjuxAnOsCkVoXo8Yo6nU5TAxEzykExvb6iJG0erfkXoPLy9rZC6OXhy4YuGCgDwYSF8nO5Yey +Q1reKR53PCPEFeYQkieh3E7su63bp/PLMxcMXDDw98WAcdim4POQp7oNHuS2grPUAa1H19jqPldV +pMx2wiFHFdFkhfF7YtNV5qMMotAPVNyPXd42AYzKWJeztOq0VeHTs32jAtworPcunvHxhH8wttUT +DoDOrnMQc4Onfr0swB5THmuce7thI1HqOH13hUfcbDXvGcBTgeBHRVmF2MvQIGPOr+0br73P4vVP +RsSXKO0q08FVCW9p9WU2nCi3wnYIN3rly25CbCMPm4oOle2E3OTIK3RYE6qOMo87ffJczz9hSRgO +azz/Fa0bPfvAMXyuYs2LGF+DIXjKgd9zKu99mGzPM4wnvNWyAleLbPFk3dSAzGnd1uHeB/Z0ee2C +gQsGLhiIU8hDTZEzrTWmFSozwjPCIqAHwbReF8X9wjYXDFwwcA4MoLgnBtu4aqMfjDEuWV6iGZox +xft9PKDRDtHr9KybmQRP+6bKK73nhmCsEHJ6dh37OfS9orSO8OQLwkmKmaChKI/dbfgG5RlldckB +T2PFe10dctjzn2vOjK7+Tf71XzkAy8HPGQdK58SYa1Qkm4q7Elco7YTomAmmKvMDYtdXK40p48rr +JREOaOklomgAHkYo62sy6QQhGAobwo3WrwnjkTZ6/9Vff2Zg5YzA+oYYdxVwvp9w6FVcjtnhTfWQ +soWwNovlL++yezIFjskrmpc3PLfQ9wp9Oh7uMtB2X28ZaiuNT3m17NSsFqUxD+AaGhTAqynSd9CX +5y4YuGDggoEdDGQDD0cBu3z6R9piq1Hcx+bYxfuB8FmyCK7f/spTdQvzgs4LBi4YuGDgfBhAynza +WB+l3beMaVcR1dOO0rQk1SKRGiXcwrhovLY3KIizL5IuMcYAISTbcBmln9puvQx/0KvhFQOitnX3 +yAbt3/zgE7LE6NRYkcM83mTbrk21wyfU29q6m8/VZ22/S7GsXVdJbdtq1v7s8ezbdnM4tUllGQ94 +nO/NuIMrjKUNKSQNnfd7Q1U8pLu+JbwIj/yaHdfEy7cWCBXo8ZyUlISBRPE3kB9P+vhlE560/InG +ovE31tTKvOkYKFecOZhhpMw5tWqc+3DUhDIN6cvnV3jyK0gNPGrz3OLX2vAZtgNM2zmENiMGFDQU +g+2OKgc+kd4yQfL1an1svrIhOm3vWnQ26iseAoa39hkRjpsdlPDh5bpg4IKBCwbOggHXGGQv61cc +NK027x1OzTGjKtM+EXhnGcmlkQsGLhi4YOA+Bvoo7epbKHfjZ8RpE2qzxHtsSr+auvATlEYhVIjd +d6+aHz1hIUZ4WDGUA5XmFTdOefVjk199SAhJlH0eSlXRF3RNPvUxcdwr0zN64NK7ekIYS84F8du/ +1zcMVOOCfmIf0FeUfkNSHBOZYRq3fY8rucf1TrsbilfbuH3+tjDRyD6EI2eSMD7cYdCTz5W4e5TX +8XMVbuPFCSXSG7w1cGrfjLV6qAVXHOfdZptCA2VF2yNyxU+THpMx0OfUA7laABgISYcJrnxtg+Nn +Q2iQB2uHpL8cc38FvabPwd0NuLPgEf+Nn7j9iyJMiNOIcU8wAvxtCFEifbZafQ8c7T4i3jHsRoQk +Bc0V1cAWW4rxmi3HVDg5AHwu73jF3wOGfHnlgoELBi4Y+AQDkV3xtHxy69N0kD6TA1GX64KBCwYu +GPiDYADFaDRGc6eA0RLvcaO0o4rtKv35G/lVD53W4VcPuHHI6LcbQmpM1ZhiQATZL1FS1+/YaYxi +P0UBVdNDyVQZxhtt3xvCT1RMc6HcDp+hHHoe6BbFld8qsXpkU2wJ97F9GLs/sRATivSKcJHVLx8a +r22XsVI9zLap9mnoC82ZH31t/HiUdsZh2kVDYiLjUZwxDNZmybF9D6JyyNNqrXtx5Tv74ui3OPtI +dhjytNPWVIPpKxReM8MAkzndVXwnsyti7D1o2nh8VLpN/zgiSfqKKq4JoZnxt8o0OAgsXHOMpI2h +NCrrGCTrGtrDwBsDAByJ6mrk9MIXxgwGwxTFfG0OfgyD5irrGeN0BCMq466J2Vq+tUgVt7vabk+B +Y8+e0s4fZFpdhnHBwAUDf2AMHHCgXwow/YFpdhnaBQMXDLQwYOjFe1MTHlFEuxCGIhhP8i9NkPmq +KNhJPVgV2V/ng8X3/F2z3JAGZfXubf5OmsWS5cRsOKsFmU+4lZzmyaPefF79QthI6SMxIGaASTGn +vnEyBRBCR1akgFyNDM/Z6UM49GITq96EnKwGCxViH3T4Ks059LvHwOnCk/d14OC+twrrQgMh4TcY +A8KhMaAxkvAY86LrvfaHZyi2tPDQKaExa7PDaHBcl0OrGSbjLsbEyI0IctP74/mEkZ74AkeGmCTx +fS8MCPPB55yDeCke9br4QePFTw4bepqisufGR9/eL89dMHDBwAUDvwUGLor7b4HlSx8XDFww8GgM +6NkdoDTmOtW7WZ73QOX6tgl1ubtQUFVSfYY+Vgs879VDn4fss75TnuMbD1w2ut/9e4mzr4bAtpPi +9bWPPmOv4/XM0b3aGk3/TR87/aqLpsqosZEq3aXzPv0dog7tpDjSsqR3rN5r20fJXv1s7nrHwd+J +tVQR141dxiCexDk/dyp4eV44LL6k4p+r4Jb+VnNo4GXYTp/xV3xhMMzdjQnOyrv1HrRdwz/m+99e +fdo+hJvL9xcMXDBwwcDvgIGL4v47IP3S5QUDFww8AgMnKVuHDuuoSBfFd7e9e0q742wp+nv7brV1 +D6z293eK7OmQ19DFXYOjtFTH5O+MvRXqeBKuDoxs227FxU4oZUXxob7yPWM/ZEjsvpe/HxOueYCu +W/BaSv3pxLi8ccHABQMXDPyuGLgo7r8r+i+dXzBwwcBJGDhFEY07nH92QyK2Z3gOKId9z/jcG8uu +slz/fowCyvB79dHCYPv5E3BlYaij1yGc7FOy9yrexzzne3B0wtg/Gfcx+j3aKDiJWy8PXzBwwcDf +CQO7Z6seCnvHofmL4n4CYmthxVpYpHm12SIm4UKu/c94aOv+4rR9zrW5tUjFKZU95R3vWrt6IFvT +qSZY+yzP7/tuCx7Pt/s5AezLoxcM/LkwkHmxGSzfG8bRfL5cezAgWrRrjIH/uQmF2aY03Kc4H/KY +H0JvbaPGyFTPfDUSDv3dHuo9b/8ODLX9Ase95Av1O18xNr/PdQyO2t7umGvb7f5qMqPdnYhjbNiG +pY61jaeHGjL2eYiWtf1D+GnDfKj/QzzRB9+XZy4Y+CthgLmQ6WB64ZLh60Hg3aypO3f8DM5Fce+L +2SKghqRhMz10vZIdzoWvCMixlfM+zULXLIotK+rQemRWBlOj7V41M5ApmrdyvbRniffaZfI5u1Zd +kWbNZrxBlZO5WRoOCfG+OLg8d8HAnwUDzMu1xYUu12EMmDvdxO0pktRkuhk9IUOO53b3yYoDQsvU +lK0KTk1/2eigEZX2KtN2lN7cV0Tl8G652gpsaePefR8r40jOdy/6j/xT9tVxl++SdrIvD4gPZW8V +pvJQle3BVRlca4z3xrCjqGc8hvt7teV6HWNkcwtXpijVu9LGPZ/Tx0NlN+MOPPUYgWMpaBuxRoRU +yaBU8FrviwbpyriP9m/7wvDQ8fWlzeW5Cwb+DBhwrpmZ7MuWTHvIuPWjHBFcF8W9B1Krd/wJqcau +v5oMppbiRiirZC/ZY74hs8MNeaCV+c9fzAZPzNmcjMXV2TEcvKdQzI3FWyhiovf9mUVMSDH3jvzK +Sw5zVTKPyRv9nMqDs+SRbiQsvvLBrwjX29s5RoM5oseDD7xz86YpIf+M9GdPySP9loNZ9nFF7uZr +qxcqrxGqZo6bL8aDd2agYBFoDrs9cgu/B94uj1wwcMHAHxADiBWVMgtPWVipucoqkbSVVgFF46xK +J8rkiNSXQ9OfvyMt5W3R/HjLCqiTr0mx+eXOQoPcWb6xTC4y8Dn9PNs5a6DD4wOqO1ljJlRQtUBg +quoyjrEFlHycxWtJqsuM0+8Uu7xnBknbXb1hnHzR5PbnWdpb/8ygfcbvrnmOTD9Lx3wos04FhWfH +Ty12Qj/F2PD3HJk5+oAsZgyTCkO9T/OOb/2GNJMMavIV76rIetkMfW9+sYIt9y2i8hVwmE7Ty/FX +WB2yuKCIyoRx37t8znbe8HTNctTFUlUxxwgbXpnNyJz1xYiAzBonwxd3NFm9M9NSg7dqgJn/f/yc +sZr1h+xAuV/t4Lo0cc9KwIFFfqm4fKTO0gXe5f4FA38eDFRPa9123B35PU/s9oB/lLdDr3Crv+Je +jPI/D8JOG2lXGMkTynR/84/rwRME1QeU4zkp6Wbke3718tlgRi7oxYqS4+wzX1Fs5WuU5jhTNghI +pNmIOuB6wlWcFW5WFnz5LaXJLV7CgvSaxUfhqPY+w1p7gfL/jH6odcjzw4Gi/J2FW0ZUJWQBuSYn +8uB/bgfvX//KK18MniLsX3zNyvNawTscfPXyisVwMrghjdyG6ohjxvOSAiqz6e3gpx8pKc5C0AVv +O5TnNEz++Z/ei5s7XeXPD+AFgr8HBo4oUHqDvW2RpgH1lFTIFyiYU3fvrpVaKHuvdfciUhAts29R +3FEC52Oy3JhKUSVOIacn+UVjBGw9uWZLJ+2m+fZ9TuNgiqPC583jTjmpxk54jdPiLasTQ/C+qTZX +fKdCe4UMW8+aNlzDpq94yAG/NtXjZjB7zh8Ixs0vKo/eJ088yq/VWtdvLfLEd9/OyHqDYq1SaX8H +8BHj46WKPrn6UXIXeDvGKOJjDA59MPMfGTOo8P7ICrKksly/R64/ZfcVWcxq0KTDRHaPv240d1OF +jlkzVsMlt7hfPN7jl8391eslqStJf6lBhCNo9T88g6Giwjy6Ln2o8EsPaLQQ7z/12DWtckp0aTBR +pXbp2DTCxAHIVGkPPcbUHpCMGHGhNwaINJT+01c8CA41FmZX4JG8+MvXwF13OeoM4jGNnc2KnRrT +kvaVk32f+3vM1AuUf1UMlEJ1h73nzE3DKNredefGEaVdVPVW3G2r7uL91XB8zEFQFVi93E8V3AD/ +I/mZ36KEP7laoUg/QcmeDd48+TC4xQv+Ec/OLUJ7osBFiVZJnkO8RLBAn2s84y+o1mg7SwT3MxR9 +cyX/9MN7hN/HvDNPURceLyEw71iQbsmjnDAZtrazve21Z+CO5Slt3jCGd28+DOakpRvy4rP/RT8U +gnnz+gMyvFtqbmP2/2rE7oDnIC9cvEh/M074k4N7bIrDy6ZHX1lQSlnDtUARXf0bJXlDpdN/TgYT +nBFzFDWV+uErNGT1chTaKUpfImB+KB5aZZJKvP3pNdcrrpecD8M13l6UQ6+VcggZqldZL3sUWW/x +rjJyysLlWOLZ9VapouozS5Vg7unVjvccRXSDnFNJToEpdj2nQ5wRKPCTb6mqO6RxRaT2AbD68Wi4 +jDrsMzRdxr74ETwwhg1wa7Ck+iyyfkW/FuBScVdpX/6wwN5A2f9f4Oo5uPoXzyCnxy+Bg/zx85/m +8Z5r1Mw2KPc/AKhtfIuyzFZtPPXvNoPFx9Vg9k2zcKsUaziouKcP6DH5hj5oc7pCOX6Dcqzi3CGL +EuLCOyrtjikKtZcbGYxnihEmXhffN7RRSRdvGkz272dpucBI0qAbvYKaLxqDY45hFXo7Bv1QGF6r +542RoCGg0dQrbOYiT//kAuYy/HsYuCdvYW7DMTY6OEhri9E7+NLtPwVR0cijrLulh7XuYXqK/LEd +1wjmHlc/xZ0+nqL0XaF0lt57NP1neaRRgj+Q3zehJweuFQLNsBa95U/xQBiSoqL+4/dv8cpQaTH5 +kznjZRsUX3nx4hoFejR4x3NvqTKo88mQluco7YbI/PTj28GCNl+8uiZs5jp+qJ9/eDv4QLjLgkXq +ikXuxatneGNwMvG+3no99PZlMcG6EDn6zQIDwUIp0PwdcIzejwipMVwGDxkLpv3X5cut5WOX26jX +z64xMBq8KMz/PleBGUVAnGV7XcxhQDULU8FEt93z90HZBdI/HgZUilTM9WYnfv3AlbzmeHX1sH9E +fkQXR/ksU36EQqZSKv/PUeLWKHEzlPjZ13iIP46ixKkU6lFWKY+XVoWP8BCVXL3QNrV8i/BDBE1Q ++kfMI5W75S8W0eKzYtOxUr3WcA77UqmP0uhoHL9rYPEWp5qT4X7uGFTQ+G0kiFcU8OLX0OvfZAsQ +IUfw4O0yt1O1dfmxDMrthII7c9nT79ACXuDK/ld8HtusP4rVYoz4nOExqw2GELJ0AB4HrAuBxWdo +cxjDxIJiq8GttQnEF/d8ZtuHxbdqHxFEPVkNo2PyJYr4r4z1bdlt8F1QozEhrVY/YvAYVgSK3BEZ +f4tT6hk59fGei0MV+Bgw1AqYT3FSsZ5pxIw0OFpe9xgFwDFjx2OxxuBgjezyCxlbb9XhLrL0hPby +2AUDvx8GigHKhhhOBebLVl1SGXvHvH/TKOU6PAi1HuDM2LrTF3hn3/zMn0z8V6/w6moce79xXhy7 +uhV35yVe4Od4cVVGG/HXbLP+Fa4RkHhI6jVhJjcIHRcvr+pxrr9vKXjyI+W/v+XesxdPUtb8hpLa +7yib/e41ISnEjQ+xnIx3952vv+IZ8LZkRblBeG7IBPPi1VfB4w3ZLj7gRdLrcvVUZX5MeMsT+sdr +D/GXFhBByX+hp8P1gOIlyZCxgvDQt3G4399bGWnV8fANsfQK3Zf/ejH46hvCcWCat8D18w/vmsNb +42ac+y49/u4sPGPb+FsMNdeieoDsr0DrYzA0fG1oE4UfqUipkbYC717iZIrCkcWmor7vIvpXR9wF +vj8kBvT6blCWLXy0T1hHfpdD8O7ITZ7p+EFpIwRlg0NiSTjI8AmhFnpaVSiVjSqjV7gYXrKb+A0/ +73EY4DXeEPM+fIo3PnEYtGsyHz3nzhH+jnLuZ51O3re9n9W2m2d0TqnI5mD+P5HH9KO3f0PbPj56 +xr9F/zakRUV4TMz4RsUSGeruQHYOit/FEJUITt9pH8o8RKmqeDuOsrBtkwBUg4HvV3j1bXaKHNCb +PXjahMSsftFA8EYDn2uKl46UjV41/ywN50+emyLzB8iUxU+8X+LyDU1JBzRtH/lNCJJ9LN5ahZZO +esidrBqO2zHt+F08/OqOh44eDbbtwVLJUWDET9/IvSkKvOE00MbdlntXoUfa15EUy0krgF+H9A6b +kN5PkaeGXuWwwuW6YODPjwHnqJWfM3W+YC6YX/cGAWx2GJ0HVNiO4u5ZnUwVHrzFG6/H3fvqfERw +3HkHj+OkW3Ev73+hHNlq680pfq9Gsdve+NNRAJEUWTNUanW4AFS02UlNiMs1nqiXz/FCgIjXK8Jc +tsKKcBcOn1bVuMjhxuApss/4yedfs336ZES4DV4oXEeNfBWrensYiw1/ch33lqu7q3zfcIgVn3Hi +2r90u5YdgunoLWEyKPUM5Hh8u3GPjMYhMGo2oP90NO0/4FBlu9BWCuxNH1eUkG3bf2W09Efg5ck/ +KgZ6KHjboTPdR7qOdfjgQV3gITeMY6iXQj6nrRnyTqXdQ6Dba5/e5ZS6WxzulgbbqTItArfIw91x ++nd7Z6vOM3+rt+qtLWm9Ft+zK4ZTKx5tLj35epmnLxh7iSX/LORxLfy6GYex6vFqHxLNwrO7aQks +Qxw/7ijsjeNREVa555nEv9uH8e391/U7sHf6d72OYcH/et/dPUiIk5e086fCgmEy+aI8cwyR9nEK +v7kGVSPvsxDo0ugFA58JA43K8KnKW+SkGfyiwrmLVqt8u/P3AQV95mEhtsO8vEd0xTZ/+IL7c+7N +mHQ9dK5uxd0BKXiYzNWId3Lf4smZf1gMPnZrgp8Jg+dptirY77V43H49oJDV+P4lh0R/Iq7y5t0k +YS7P+fGA6Y8c+swW4toW7xppvNYtwcjHMZ6u2Zco7LjOpwk/4nk9RhJ4n6Cv73cKR8XhF5CKrW08 +ZP/DAdrrdxgY/3g+ePEdLjUW5jdsb2/Y9t0LJ7ne9RJl56EIb3nwr3s1s/BLdiVmHq4L2XYYoC5k +7cVXBxsW9icHtf66iLpA9ifDQDJhVm/vgbFH3yreGD26G8JTaqx15JC8r0Mibmb1bjX4RumKh7s4 +k23+E9HU/qIq4+3vWjvGW/HowVCypxBhMhgpf9pT0XcNoSEMZ4xHy/j2MdloNgvCQbg3xl2//tiE +f2w40D8zvA2nSLzgZ7wSvuPOg3H5GAiG9hhaEuW9x5XdUnVwdguSLcaDoxXX5X37cCdjBWzTr/jS +c73shCR+/IyXRo4HZFfNEYZ7V9Z6t//xzLsTcpar7oLgfMxZhvr3WRq/NHLBwG+AgaoHOCfZsfpk +1yhzGUFlmEwNS1ZHviUs5hnfj1HOlW162ueGMJfL+1Hu2XaLk4OfI37absXdcfBTFbimvc3gAwcf +33AAZ+ngzDf4J73q2kDU5UEIxPuE1FrGqK/Yrnz3868JifF6wiEplfcbvPFvRfymmgL7m5MWNxg9 +PxN2Y3aGNe6iO6WxBxKr51eCaCfsEHfGGDUMVhgRN+9+HbyFQSakmPzHfz4bPP/m2eAdMZVLt2/2 +XCrztqdn/t3PwqdX6C985cQ3YU1frwevrjHA+rqNQJ9b3KZoq1vbf2EsXUD7M2JAr+0ps1dZEsdD +C9iygKhcLo1nJ3PI2Jh3fAAnXcXx03lchueauGqmFYuiITKJ+daLpbhzR/G9qW0JMUQex+uNxzgx ++UVQxWmMgrt4bjYU/jjgiDlp/DsPq1BvfsTIYDzT/wAnZoF5y0M7spjImuaA7vb9u08eGl1iKA3Z +6TBbjYds713LxpDSDzT6Dzzv4kBnwaG0lrsAtXc96j2+09seZcNwmbJTMJSe4KmG+Hh/TWjMmv42 +GBfjF9wxTjeGGvd210r9TexYH98PLoOgH8O4ojdcrgsG/mwYUEbBujmvY6hhOXO6neTOY/SuKOrt +y5feISTGpsLimQ8o7bup+z6g7EdxN7du9ZjvR1Avxb39ahR3Bo/sGsxRAA3NaFKm/LmvQ16Fils9 +4y9J/Zj4QISqivvcuD9gnxKjMtkaL42JoxL8yZqBxzsOdQwv87B7EGp6vSDVGFlmahxSa+HMmGoG +mYLeusu8xXi8WaUn3r0mhv45MfgfEMoedNWjMvdAF9eMbVeV0+O+IWJWeWCp9/+Uhf/PSP5NQ6vl +NQALbleOzAqjRFAxchEVtZ07IX9G5FzG/KfHQB+ldfeZyst+XzYPcxjedUY5T4pGM7ygw9+7kkUm +gm8P1na97z6yq7dV34/fu/n1ouRmJ3tLstB4qKt6z/MnCq8FhFSYy7WqPhPGO65Cru/StOt7quEf +rbErOxPi8UWTF32I+IiSO6UzZLuX60XOnxGDPyaWW9mQdJTgLjK+GkMigIdHeOuT5YUzVjWjTnOy +qVHSPQSc1JTAu3QbInLqCGeqGLMuuePiDskGHG2zyrhjgdI8I35+yvmFzSx5ZEhbyXOsET7nLsCA +XWXzzo/ZhdRJl50LR8SaZZKGXI5B3EorQob0gajkh64dfJd89NkR4uciO48Q83LrD4eBohY12bh2 +hIYGsRPP2PZ92WFU5mfl3q5iL6CcoxyM8UxYLyKHbNpelPuYOFlxr6+PPJyT0pyHw0v+cEh/xIDW +ekBctzj4lMwwFNKYfYm0Rmm+MTOAeda9wLe5fWckAFZOXyP03vHOLdld6mI1YgFK7lwPg1ba19/0 +MUSQXvGOBsEQZfIZB0UtBKK3/4ZDYx6WvGKbePXtl4PJZIJniXRkCNRkJ5AkQzIAsZX8cv6EoiQT +MgKRExkeeP/2A9Zi92mt6K8HDrA+AoV/uFc3ZXfkQWek6vZ/H+XoDwf5ZUB/awyocMG/KmSJFzfU +ROUNz69e1nsGe1VgLdik4l4Uzy3++GqkGNRr6/YxC874GuP/pqQutC/e0Xue+HS9EdekGCTEJIWJ +DDWxCJTtGrJhvnYUzhkpKfXM+l0OrhbHSJwZzZDv1rWi/DXqbuOZjyIdOdaD0opm0x7ioU+hKFJi +Zkw6psUHYjXZboTRtrX3d9bsKLpkZMn4DFUl+06y8Vh0j925EcvDkAOpSYmJAm7RqeE/14OZh1RV +jFlDxE+qmdY+HHs1RgTs8Dp+D8jsnADPyuhIimOZHjNFs/jfePk5bc5w8Ey+KzEy9Lf4d7ODqLGw +YU2bMH6zBI0pKmU1Xb3zy5/U/O8sIek+NIsPcC9xEp2cCrIPbXqQ7/LIBQO/JQY8S7h/p13Z4T3n +dHXFt0amYqUc0+vNDv/eK3KOn91Qip2HH6y4/5aI+j37Smy7DgJj28nM8vQriiuRKvHpaDb4Ail9 +i2D+hVRfHwydIUbc9I0zkD9nkdu8R9hBoymLwBxpOScn5Lv3VD01F3EBaoGQfUsbHyVmPc2vhwXD +6IN5g32Of6YU5jDTw5vXTWrHqXnlCYtxDCuI/I70au8Yh8VJkLw5PPslp5gtIKKAfUv+dt/duPV8 +EZi/J0td+r5g4PfHgIqtJST0HClSLBbHd2sKBt1t+/IRWTVAwc7V+GlQcnnOr6p31SrPKNs6m3Lg +0gddvGpb1SurzwAF1R1HjYZtxhtEn2kRdS5M/V6Zi9Kul1/vcwr8qMTrGyl+hzWOqSZWlB/fJz98 +vRfxVnKMWw8j1yHPLg+b9cawlYnB8ijbU4s78dH+V8bc03cySllIryjy4iHpM81jTqy4h0gFO2df +GI+OGT3YOTdATvYByq8FmnLf8YCzqZlxuLU25M50zxpRwlL78Dm+N1f6Fo4+nGOb0CxGhJlqbNfs +QtIMfGxQ0lecB0i2HpV2QosSllPTPJLBLqM0e1AxsFJ8qfKBY4iCAnWstMvalXuX3cc+1Lk881fF +gE5RD+C/fNHMuTpP2vBaOdkT/l/uiTeM4OK+86gjlOyiuPdgoqrovnt7m3zv022usOY86RyhqBy7 +e44UkeazrQtG2V00VeMHF6C6DpJ95pYDvnM8L5J56eFYvnMxffcLijbpIWtO5SVbL1ZJXSJcf/rp +A8bB3VrkGIwZNN2jC8HbN+/pH499a7Fyh9P0hhelvQfBL49cMPBXxkAWCJRGFMK5inm9ilyqXmoV +yHmVV0kliwzBgbEiFEPRkhSAKrjKH/Oyq9CrtPpd3dizL0UefVk8afdSZsWTmwabdStX2ZL249KQ +RCqkRm7yfd55wzsomLmvd4p0yLmKcZH7ZpxRia07BQdpSvYs0/DONThaDxXDIGcFjMsWFw6h2Cbu +Tlgcql5mG0voToWDP6MMRx9XMCPry5jvwWkDBffunNZkEH5tMSsPiW7hOGSAtGErOFiZErTk0t/i +Js488GdxLcdaaWXYX2nbUBbTecZY8juH1K4HEP5p8JAc/bHmmrZ67XAcpMPlxgUDf3IM6BDxPMgh +xd1JQ5rVvVfmrYqawqAK4/2PXhT3E/hEpdgYwGXrUEGRkXcKsXiPAl1CZ5RlRdBZKdy8nmXdjJBL +IQ++28aMlu/mPGw3vrJ9vnHq4OlZJhyqXu0x1AOmttl65AQoL49eMHDBwF8eA8idKKDt9aHIqQp7 +lLUdZT4KmsWI6rNRzO+3FY/ysbba91UKS6Gzg4uZN3bGsR1bFX7tNpWhtlkF4M5YDtE2bRZ/y71n +bE8FfBcXFrDyu9r+vnfruIQzu6F7em+Pr91Gxe12EfgUrwf5tE2X9kOlr3v42UOvGAqG17QXmV08 +Vrq3n/nLT5wLgBcMHMGAitxH5VUx6PeFt3WEwfTB70Vx74Ol8kyjgBMOsyPA9q0L+zzbx1JN7hvG +Kc/vjuHiWT+BsH/3R3cVkr8qPi5wfkrZLqV2r3A7wCAPaWuf0rhXGHYovJ8IwAcy8TEYunDRBX8f +WA/10Vb4+/TTBX5XG133u9p/6P2/yxwVP22j76H4+rO897ehq4DyY9zhJ5fK/IH49RPDzC6K+5+F +8X/HcR6TL+eYj11tdN3/HVHzl+g6cay6Dj+NZPhLwFeBMO64CQ1oa0F/KRADTOKr60nNv0DGr6MU +sniQ5Ow+c//nJbSHcUvWsb/6PM0cJYNOnJJ/A3m0HsG8f3HeVeamQv1fXO5GwNRQuxTSKPHqNXYu +Mnk+eML5nxE/OZ6DZ/6KUsqeR7FsJqdPkiSQY4pHz6eeR3Hfp9ntftelfe1bS9tW/67HYZ8H4tB3 +fb0Hfd+vsB3SaE+BpS4nXbDuu1+/28VtF657LmHtNKMBdQeP99KQ9sXxTt8Z6pHx3k91yh7UHzXb +zS7N9+FjH3/1pMVneczxcGhuSOl1TlP3ywrRxdvtgZ6JDzthPzQP64vexwEyJPeu19rDfoY+HOPZ +PnC2++0aQycQZ3rAcZgshKqYG3KFJxVh19XFu6ZNbc+7h8B6yjs9nzWTi7xrusj1T/sI1gX4gft/ +pHnKWJJ73QJxHh6mwNRd/GQXfNDNzFl9+Pwh8ruLTqfOD58nI8+QRAyeKbB6b2+v9L6x7P3uAWtI +F5xdZNh3H96dWI2YlNCrtaecezTSKU+N0ShpiD7HmHeH2NWHvGvoCAlUcvD653IA+hCvBb49ssav +2+90yaseqOx85FTe3TbIQOecKK+1cqoHxXORWKMetp+SVOQp1eztYrEmgxb3vL0CVwueH3nGcbQY +3FL87FCs++MUd705axDNgcoRRX7aOcfXFMkwFtKUkSOTzmNW5syOuRBdPHOqsznpnlRbOQLvYZjm +ZP3aQzpFa0vbPKI9Qlbb0k9OKfF/855pyNZmFrCP8kzTR2mnQzC5CDiG3T5yyKoSwTYq0/j8HgvS +pPxrYRiXQ0YOqJpP5YAXuSQbWOtV28zBriaQvRlHPYHKAuzj/NOciy3jLLg0sb4FMzK4FGKlgRKL +2kwELD/TDDn+5OHd5fz7bFyV5TG0m02noZtFT6y42uZPu5mF7jIdtiL4SCFdH+rAd0LAcsCaPuCP +5oDtgnj/po/axr0+KG6wJUVH+/ch+ox/VZTI5yVlXWixG7crmqS7J/fA5TbetQ8cVYhoikt//z7k +IQ8fl2dqjPK+Psq4HY5ZO8JensuQ2MfGxL1mruu5lo33KIZ1vPGe+Qxf9FmYMlcK9fWkOo543vbw +6y6cHSTOAiKsnOo3A8m+0MN7Tdg39Bp5whvmTAaUOozcU65VWSTynJ5F5vXg/61C0gWH+GvXeOhT +gAfyTDHIFmQ7OQrnPt7NYdQyiSuPoLSvobmVnr3WZsDaR/d9NNjiDKTVPOxdvNvFL6XN9XBD/QzG +tCRrV9LIdPBuvS/O65b1lreK0sPBsZGZIbIG9WhzH8yuZ+FlOtznTa0y2vF0eSElICRxjqq4bxCI +8bofm6NbOFmXff7QOJxbyhQzj/HOyR7ROr/bcLRp4Bgrfyd2f888rvjzFrCa+tN0x8vgrWOt2vJv +mY8uuZWeZRyf6iVWSO8QFru3k8av8NZeedS6X3HdxYviRj5RfFYwu2jquLJriFCo633QWpTdKfQ2 +Y0kmqTpDT4OgwltljeM4xJt915fSpvUWTI09oKbQsupEh+DMtASGHN4ssiZFg8oaE5wCKzK8yt7o +mfXsyDH87dJ0u5YemKOVPr5Xsy0dYd8073rAYXIqbMIvPpwJVnoOc5IKVt3tCnWMlN88v+A76wIJ +72wy1W4l2Uk3fz5Ycc+S4NhA9JiCP1NyhUf7cqwImcWcPLykJwyvffVkMHp6zbjXERCFr0ildZMs +BcPn1xQiKlK96O8LELCiuugGoOTF6QvMNlPpSMii9Pl3c7J/QWVT3jdll7p/7jNCHzX/Odlcjgt1 +PBqkTpy+IN+5a3HpY22KMybIhkwA7YV79PwJHhA9WihgZJrJxFHpJt3XhBzvI1JGqnibr7NZ+CEQ +6RhXZHsZYWnNvgUWCVhSL7ggrjmdvxQX5m/HWxZcBQ7et8AFqSRX4NNiHCO8TGsXF3ADmHlmTarI +pZlsyMc8AVcWylh9/y6FNcZPyff+jydB3caKrZ5s7WDyCQLiFRVhrxwLXdz8Mhm8Jp1kCnQkzn84 +ePGKYk/kAzYl2Ado8JpKurcWlmJoR2WQPBAlajj4FlxcW7qcZt+9p49/v6ePj5ALvuL+c/p//hL+ +oY9fofVrMurYR65TJmr3XDj9iaIVaXhOvoHuTxseXkCLFZUmY5h6mTYNK3v63ETR0I6JvaTycOjQ +JeDrqGhj4xz5EqDVMaDvUDxUvTkWDt5Hvec62VQIyN+M2d7dR13IOhYOt7EnwDESDoyU8BxzeO04 +6rtlfrtgTuAf02Mt4f3Mky56FVxs9M44l5Xdpi9VGFbhKT7A9wZvVRRaF3sze1hH4RguW/LzKKFL +G87TiXzHbxeOxRtkEdWE1xacgw7jr6EnfBvGDS8i86iZsGGObJWHQx3VBcBFUDni7y0cRXEOnDSP +PNhmKICWQ42DrXV8BBL7OLbQeE+ZRd5w5UXDu9L0A/QCBg0EQdPeR95NTINb6KdsiuzWm9+Hf+HN +8GVxHgx1HkizatzKu6SB3OhVbtO8S6mta6PzoeuqdBUW5LzzJ3MwngAVH3EBz7IWjZA53l/8Yv7y +It+7dvoqHsDnxvdtMONfDIa7vOs8luZ2SxrMo7wrPnhcU7NXZedC8zHzb8z8i4735pZ1rGWEVL5Q +LvHMmPGYvWbA+rReFiWwi3flS9Ich3c/wpfvYRaNvpzWLXPUNVnlVCfwr2UedxqeZXGX97r41350 +Lrnmuk4hj+Y/msOTF4WNIl5TaqBsrVeX4vmMdRK6t2XWEVg3KsrypTJJuUqKT1LL3Tv4vDEPP9Vz +G3mEXK47el086f0TeDdrCLqWasMSeRQYRk0DjbxljqYqrsIEeWTdFnWCYzivOHZuy7sq2X7mnaGl +f9sOC+Vu5d0oBcDaJXcrDny+iMpDaBkB34T5GcNZPQNQooNBr8Bxi75z/QW1CcocVYci+97yZ3Dh +85mDMtuRy2dwamyU3YV3bTdw1LVUmiuPKFLW0Nw52pJXh5qvKRwbm6NR5OvamiKZTZE6tLXGOZuq +ZaqCKkylwjIeTbWf3QJ3u10+WHFPQ3Q+RHGbfktOSgawQBAqrMZTFHmQu4B5NizaYebrqwEOksGK +ibWiTPX0q0aobFZMNJnjpVUrtFiEdBUlJzz10/uktLKvMZNQRX/xI3m+WARmX/POyEpVEJaiGbNr +JimL2vwHq1NR3MIFCWVab/CgKnu7GLAThE3jTcbap6DEhmcXN+/AO5YRjKQyP/8eBV4CozBMGKuT +aDXHM8Ao1z+bbFcKQAALa1DIJIVGisE1Ikfy+j0GBD1MLDhCgaY1hBzqia+IlKllTtM+Xj9rcEXe ++BWK9wyjYkBu4RUCY8nYZtTyHrPIrF7fYiAtYvSMKJahl99+x9JE+UKbq/95S/ESbtGnSv6cd7oY +2zG+QhheI/iaek1rqrE2CvzP0E8PzksKdHz1EjgcJwv5lwi3b8ht/4ZiIzftfL87ncWbT0MTSpq/ +ejEbXKOgpQ/aeE6xkMHHJ4PXP96m3efcf2G/0H7B/Ssm0xcYC//mcVNw/iEu6fjNM5RZFbxmlk5R +cpyeK8qiyxdj4IjSriTClRQBxXvLakRF4ByBRgeEi4eVkONt4+EvlQ78NqWbSDUtLEZbFkmfiR6m +YglyuxSgPoi0AA9wRGkXDuaki+UEj0psuSrg/Qz/T/7xbDCGP6T1itR8HTJ7Ow0GbpO7CDoBfClw +0J/l2eUT/1YJFPySjpCiCo3n0K31rqvrEekQ4xpZwm5T3B/kXtVxIBrXzNHMLx0JKGQLjPfQHLkw +ezHB74uKpczblvHcMyD7cNGI8VHh4G/hUEqYV12am/v7S2BFMcrFkIL7PuEvXXjwPvN86CKoHFXG +ilZ41+GtVYCQbSNoPkbeuYu5YAGdIuPk56SGXPBMO73Vvj63vKvWVOAI73JVw1OWEvYvKs2DIH7O +xLv2Bf9G8Xn1PBVNV9TYGLheRUbj5VNpV9HF65V5TF52HTnZTeySmRVuldRivDdzkL/lXVIrRinw +vryb1F/cFw1WV6246EOzrmdoOtVYqfI9RMlLtVtrjLSvYpCNWbdnOHQaBYNsaV1te7/yroWqwigV +jjbvcmOr4FWa+7LrO71UZeZYf13zlHdVVofQaIzzJ+c6Ioh88SP0tICUMRo6zfSyW7gQXpbfocMH +9Y7qyd03DpsRPuWuxbhqSlHnoDun0tRnlFfh3YoLlXgbBMhzyN2MQyNE3kWmPgVmjL2lBmUueJe1 +dKjxol7h9zr9kC3ygDr8+ucOx6VwyptRVOscFE7gQneJ06TOY6dl3fGJvOK+xkzFVx8e2n1GFlIW +qaMEBqToB8ZMAZrpS3Qb9Uv6XBEfrhNhpJNYnY6fMZ9XFgN9867fjpEbEhrWTrvQlPErf9SU626N +hpg0V+7KUuLFqAWN22MZsCpcqdDktcPErlHpo5kAzre6LtY3eq2TvPdwxb1Yipk8evrwRq1+uo2H +XMtzzGKgoFz+hPLpYuckcrAFwSo3Q5SAod4A3h2/QBFA0MXjg5I7U6jAjAvLN8N4ayye8XOApgLp +ineycCBcs3trvlo9Cq+cVRaTgIgiyEnNGMZaniJ9X7xfqr+CQCu/4Qk1ZnLNYrz68QPWJds8L17C +KDALnt4RkzgedYyGjTAB94gFXL5ev0F596Jo0mpzQ7U5IhPZ8tmocICTlWYyhDOcZMB4tLKG8eZx +T+UAoyAhFhqOL1HAweESBtYri32Aco4hQ0cZJzgYgnct0SXjHPwvK+E9x7NDe79qGcpseCL+geed +mbuVk13C0AkEOl4A44tvrwbv6P+n/34XK/67f/Ed+FzioZK5fMa19Yfv3iXX87ffXaPIG6AISP99 +k3zzhzLrGB7zAsPtJX28Zafh+/9+wxrX9PHyxbPswltq+6XtQd8f/os+8GL8g/vP+e4jRP8RQ+pQ +Hw+RHae8Y5GZjday+iJ8PXUHBZrOv8eoUQ7869lg+g28iJJuSNAYAeqz83+9hcYLqioigOVVvlt+ +z3dtj9y+gaAIb7J4cBMleMi21QaP7wb+GSbvK+PRgwDCh+5y0d5G5UqBrqLgItNnsTyCBA2/KbRx +p+X2+58zV8YoQRrHM4Te/DUWxI0eEZR5vXiML9v0pkbt27kCVIUAJhr+0sAxQEluPFqCh5lspUYr +aWrgq8CycOrNHQq/B4KYDg++soA0Cpxzc44c0XgewfdPvkXhA1arSuppH0KPFZ7pJfPDKzuv/wnd +58xT5dUxz6UPs7BuXCzJbT5URtDvxhh8FL+hskIZI9zgLzRlYrrLIB9IgwFFcx51OV7gmbJTpAfx +A3zobujsny8ao0S9hImoDFZR0ZO5RpZvUHLZZwNPyD52E9fqD8cWbekjTQFhaG51BPfmJX8Lh0oB +IG5UdhU8FCLSG9/A6T3oegbeFU8jjDAX+bGLcAR+nRDwLLBEaYfHFxapY9dvyLox+/brVA9V+VWZ +OGpca2R9xbilTeCAVzHkovgJv+sPnzfCpKJj/nPXza/gde8rOLrkQBfBQwfau2JddWeMJhOS2NYG +FFsMaYL8URkcsYZWe6qr+dxXccPBsrFxC1PpXQ4cwCUd4V18aJE92l1D8LYRNhww8nNWW/n9sRcN +DXHKTZEPOdBarg273/41VMHFkbdht33141vwQCb9p40TRefb6BfmaLVn7l6/PyoLVCl3wd9QemVt +BjgcC8M1tJVXVV4VWW8br63yaaPjwfXdd86gvDcVfRm3uxy5JLRERQkDB0PWF+Omdfwtf3I9wXBh +TZixVqqbJXXrIXHhPT3p8G4cscDhDtCG9jbKXA0wd27LjljkrgXD3A0El87VoYjs8Ad2k1tn0IL5 +B53YTVjpJJ3glATfQ/Kiu4M0eKUB8yx60pxoAmG6+iews/4asZ016Ng659R3LVXutuAYfAUckbuG +uOiNV2lnHhGTn/AWeNfdCOPTw7uHcBnRwk2tJeeAVzzt5XvFH/NGymVTKCXbgYUmhzhdU8eOH21A +/GFHDemHK+4tSqT6WwdlAqujUeAbiy5CnL/+KOzyP7GK6hhY5ca4T1U+DKPAilSZG6MAy4TjF0+z +qGh1pimsoMR+FwtqqKeMykUqVxstqcYpdv9iKKOJk9uVA+VCZb0MUaV6xDbfUOHj5XY9C6lbik6g ++b8RBHiF3dYZ/+dznDPP0dGaONglWzdeWfwNJ3h/O5j7nRJU3Qule4NnIAsDiv1KOGBUFfdYc7Fy +aUCXucKXNlbGTKmkpDJXZQQMGYTuinAhq6x6QGvMOLU+N4kRMgaXdjQ0hM8NGhcr7x24NnD/DEFw +jSI0pp85OLnFbT/Wq4o38yUT5xmCCbGQSq7vboDNwiTAc8tEePEKvQNr9s2PCJAW29V49idMGmOM +JY99mCnhg6FOGl708QFPwvV/zKgWPEU5Z8cEYfGW7U/bNg7sV/D7NVLsGe/+/JO7HWdYADr4du9t +GSX8gxInT4DnBTC4G5Ttvfd4d75F2Cj0JLt8oMEFPjVslwioEe7TkTspOf9xIKhNPqCPDTRxVkdo +lm3PFKXMFi60dTwuXslDzY/hHHqf4N+NC4jSoHOL+hBTaKETtvQl8wGlZwMvri0Kc8uGngbnGCXd +3Ya3jOUJMcd6Z52zGOMb6O2lobHOnrAiac8lnAxxo3DW88K2+jYu3mDXbGu6gHI/23TczzkC2+I3 +i2e27FEwh7V+Qp0mJ9LXhW70HOFOsxsXMPEGzMqbwOkhXvoe6sTTEfOkwGS4mmdNUkCHzp1nh8YA +w2gA5EplNHCjB8kYM2nlzqPwRsmr2/LIIGSMCtLgIz9jgI/sPBFAH0e5cSdS/hwyH1eWu0f+KCUa +B0nl3cb5IF+70yDPGyo0Z0chh82MdZfv9p1BKLyrYiFMw+25j0K3hDWKQMaTEAPDgMQFv+HdjUqT +k8m42IfybmAt+IEeqw/IYZrN7lhQV846sKOiYer6tPmVmhr0P+ZwmDTXC2gYZeA/xLu0lPAuFQJD +QkJT2lexc47Km8C6qRXxdG6JMxe7j/Au4W/B52N3EYMyYTDMVMWKkE6/ct0RD3TrGayJsgkFaONa +5Dg1kvpcmafAGngcf6FNYpeBw93H8K405VELUqm8Z75CU0MxeC7H2R7Ku5WmkXfrZpcfZEuj0FQ5 +4gf6dqd+iLwYo/C6zkbZBAdr+Sxxxcokrz1ySZRY5VBYfxEOWlXOKlc1qktESeSy/Gkohbg0tFaa +C6NtBGd9kHvkGbb8EyoiUnXY+Kj45oPKn0p7spJgpKi05zbG/ryGbjT64adXHduWd3lEB2jggDe2 +uybAa5iyV5mjaU+Lz9BN5fZjeNfpz+6HP9UmGU5wEOgQA74VTlOduXEIsQbNwcXGnT70FdfdmZWO +cbSMfkYplq77wmWEVedHmYMJf9GpVOFw7uo8yVkSPrt7W88DKuc0bjSSlNv7DGxpHBzzIR73fQhv +UIi0yRSRFxPRKruIg0IhKXj47eahsyjuW46oE9IviqDY3pMZIIIeukaaoMww6VQE2BHJVfmonq20 +uQDjhwLKyO2ub3kWwn0wlASCRhhPU5uOhQbFsHj30we7AFF8d7IiqHSpPHvoZ6lS5fjSG0p13TZ1 +0YGRs1DRxxhF3VFuiDVN1TgIyPRB6MNctKVCY0hMYvGlHe9IgBVjHZZDvH5B9ORgojfNQxYa8gpQ +48XqIRjBhZozt2oZ0hwrevXacBy+NxwiF+/iQZqxlT5CwOgRWuL1HT71pFHT5woF0QVpjALlHFt3 +eiO/QN9XMXJOAhdC7/rFl1HiP2IIoWIDh+NHYHoSns8q2bMp/WhECNsejpOPZ3iknhlexHuWyF4x +ICnmPHmarXc9iSgR9C3jytQJcULpe2IcsGHVTLyVhzlcJ7aM9Tt+EFZxJdyMPV6RCGwJ0BphMZYM +LfH8xJDteJ0Ymxo31AeEuj2pZ69W7g3CyySpypMCSD5xTj1G4dkdU4girPAbirT2xhjDN14nh+T5 +DnZ93Elw5805NRs2nutsLavpdl3CUo1sJVnmSNNvwxTlt+us8dJu4qk0+HeXpOvqe3ufTsrhyIkL +0nOV0zLnwrdwLYqsXlrP9Xi+I7PRLAEY1yvC9rLbh2f66CUsKi9asYYzyTeB0RvlymSi/XjanAv8 +kg9aj/QG696Dji1Ctbkw9ifPv4xXcvAFC5QoyIGY8pSP2rcwucCwSOfMj3K8E0g1pfJghcN3nB6r +AHQHs6uZdPf3PmOgq7t990vXnhGKnH2JPNGbmEWnaDSVd1QyMVTGz5yjzmEeURFQGNXFae8YCk2k +jaiNDkn7W4XJlwstRa5dZ0ehNHZOYQZZVp4pwai+SpgHMjfeBJhrRHwwytCYnVh3b+fsag2/RPG5 +8syVNBZZPQZT8ZU5yB/xHLauKpP8ylCSsjuZpuX5x14OE/5YuaMpH+I4m7k0e8GjQ89I6WBQ2WXt +mBq2Z7VfFVTxjyc8SmJXPLTtqQf6W1kQ51vhizoHhdV5626hjoXqaX38JG3gcb6xlsu7zn3X8ntX +o7KUS0HczFHhXOsd7pyjPlvbkJa8EGOzELnK3SqvXOCuKs2d248lZuv9TBOcCsy9yXfQDMNTg2We +s45LnEIN+dRHshOLjIqYjZflxAVA3jUsufDuvdfLVE2ITF1YcgBWpeSA8D0kk+vzcdTI/M1cEW1V +k5NlwtJ3veWpY1PlvIp7Bw2DHJSapHti5FpLenAk1KfXDseJ4LgOimeIBdRcGFt5qrKkN8AFh1e3 +fSCwI3zrJPWFEq/roqtSn21QUWqXxuUX5XDFBFcwKACGboF5W48FTLNiEVKxlfCbRstsKOFDWznG +RGsRI504TKl2T9a5hVgYL2Mo7WRxC9Lu0BNU8U8OxXFPr4AMhhBeo1BM9BDZNkZEYv1fuFBoufaZ +wU03Zc1OO9fSqnVt+TMfCMdBoK1NbRWB1ZbYd+vxE5V2DTYY4IPb5e7Jr5R0tEDzmBasGdL30zGK +mSus+rVeRp6tB8jvDeqP8Ifyku3ZnSXsnpKVrU4Fj+Q7VbBXueS29H2OaKCvhPG+n/dNqUfiybnl +nDNMK3AaW1jIPjQDiztEzin5AZeUzyW2WA88CoThNQ3Rjw2krCJ6tepzLh4K6NZ7OR7CYp3ty3sI +eCSQCqYsRg2hnE85KKTHtJ6sr8qlz6nM+7j2sotBUeT7jcI+aESF4hBO5BU9PYcWhn4dHX6qHK6N +VzKysWeDwt5bpDSDz87RsQVWWUr/vZvtOdQtexxb22M/0DOKmV72KGi7CmlXf1WJ0xbrQk+luX2e +qHN0DSOd7/BLxiNiPTMhXDhQJuyijUr8rs4f4V4vOuKhy9TIGGyvwuHndp8FptDTyXrSvOiEsHmg +rbDuvOJZr2RBYkyG2xqGkQOr7n7mnt7ZMuAuhsscFL4m1GfvVIxod546qM8wWY/xyD55H5lVkNIF +3xZ3AOquhHBUOit36+WXwcURedWTdHsfE3Uq7Rpans8g8mLpWRtDg/HAS86hu5o8NmaHxTDg7Eif +Mn9cS9SI400sPPzJYEQobUen0YjpuZhGXihI1DdBVqyMinw+oy+6K6VvKofN+Vvv+xoDNElRGJNn +iczn3nX9doq740fZ9qSz20pTMs2M9QY8QVDsWjFmaSnUCMrahEHgzH8CdLfp+T5bFztX0wexxMRR +TxFGGw2EeogKQs+MB8NlqFcwB3fijbhrxO1u46z0KmWt9v72gfIg41u5DbcdWxUCjqc1Jj+6GLbN +p11dI6/wT5s/YFAz0RiOkNh3Tcv0VV7msyEZy18/sIVEDDXeyWTAaHXt+OccFp2hSHko51Otcj97 +yH8RUIz53S83YTC3zxslyRhrdjV4wLC2m1vG55YSW3hf5RSsC17Dv15PCNd4Bh0c/A0G0C1b8k8I +LyiZE7PDdkucr/e/9swDn6Kj2Qx/6Jm/kX7sfGzI+PA8KOiVX6GL989zv5JblnXXQ3ITM7e12Frz +dsOBOFOUjg0TUGr0FqiF7LKYvOBvPUwJrC5gZH7xU9OfqjRvvT9nAFWipC8MZ7PiMAwN2Brm5rjc +tl68bUK15JG6Vo/ccTgSorUdnTBU3qlwlmwOn+xqyzLl0HpzUPdMV1KnMpCEHTG/DE9SzyFu2DAD +Pw+RHRoqZhyZ//g+HbtzNyQLjTtcK8K7Onc7Ku21ZdzGbyzYO54QPnGRdGiV5oXYp/BNH7R8NDtQ +WawMqA1A9l1evm+PN18+BOUqT3bTHHf69HJFy6EQOvcg9lnhhIFDuz2NCqtzxf41xDy/Id+p1KrE +xynUA5GVd2OfFjj2vaaQiEy3X5XaB+LzwJCGHraN96Z1NTpsMo+tciircXCNTdkWT7sLik9E8vcA +1sZ8LYtwgz/5tznGcCeXIo8KjLa6M6x+HR16qsludq/JMlVcD00SYbhTzrwZ4qLHWueCZwoM7evr +/leucnYt8jVncAqa2nNAmrtzJvr0WPfFYR8ERC7Cv6GTnbbo458VAWUKb0novVhrHfSszTqW0Ks1 +ByusdZxtuVsX8YfIgj1wJzubob1f42lXaTeiwrMhjN8w6lzJprchdIZdJRys4zG6T8nK0geVW9ol +XE3e5ZuEJe68nbXU78RFUfK79em7RjpQvu0uz52OwLMo7jkk74JurGYEQDN+LaR8tECGdpzCI4UV +PA1snB0/Ny50xk+y2Ocpt96JqdX6ELfKGNpN/KP9eNDzZxZLQw4IC9Gb1yyUvt9ga2Poyxt8moQm +5DBKicsduY2m0s729NIt7Zqdwe2lvNj6UXn3gFkmjPcJrRG/CNwcGuUw6ojDq/YxTN7elmTPoJsm +4yF26P5dH7GfuigW/OThtrGu4ca4XUSMLTZMZ4PwUImPIqRn0zz2P3PwFi/K7D841IlwWmHljdiC +1jdqh8au5nCwh3g6F4hCP8Y3hahW8bol7nxI3ORzUk0pBD7StsPPbgP5Ko2DXxE6dIVGbbzdImMU +jx9R2kd42pvYw3ccYrot2WZWOaDhVPSnUeg9+vGCLCR1DQklaW8ME8zhF7PrXD1zol5hs7WR1+D5 +97hSW4GBNrKTOH8MKa+km4troiHzOK6C5tCz6TlXbE3r+dkYO9d30gYxXEkBRtNRfsriYzMqJCLN +Q8HwbTzS7fjjFnuehqtmbji13aEyZt6dnQ1egvClCqvxq8CV7AUNSnKQakPGDNf0hfPPrd5DW9P3 +xlbmEhZdyjJgGW8SvtWShB7AM3+vh/yabbIieBtl5DFXvK6GcJjb3u34m5vEz6ZkAnGlxqGbI72Z +f82ZhVymZoXOHtqTH9Ya+we34otWnsBG4Ljh2QnffVHFufNb2N11gJ7V6WCYXN9whqNI+LhNRaZQ +W9Hv6n1zyFbeFYVjcVBw3uRMVo4JE/euv2RHUzmL1D+UWtZGslXRjNnAuKGpSZVr23C/grsamIxi +b7zw5jm4IPTudI/hMaAZu33XYG/3vBDMrjc1/a9hljno7ZkGJ7fZLHL54jF6wo9Ov5wsa2A0BeQm +YSTIP63cqhlkseQBcaHxna0Uv3sM195/17NKd25IGy5rMt+vSeyApCqTlDvsmo1RctfQ0rUmhzu7 +QkjCIP5T5yDtewheMCOLGrIrg0Jz1/SEsijly/XIedq0gpyDR13zmplDXzVEUf5xh+cdvz0zoQI/ +b9IOJ3Qvu/yud15l/d9HgmbKNyk9ZYNr5yZwtGH1PaNxjZn2XJyDqfn8zgGnKJSm4ZHCKNWz75/+ +yLvwUnb/l4wPD3V0BkNm6jmZrrGIBtcPYAvviqMSuhj+DlymgGRAeuZViHI+5ZHM65kbPe0q7ezM +J10yh+VXGkvx/hTFuqxzK5MeoPOF9l9JDuVL1QkO0LLALmsHDsO3gCM7Kbt4kbftVkeDxuwTOq7h +qVlnJci+q45BOVJkeP0qTp8Gt4HIyA797vzdSIeGg62k2kzO49fjFffSh/SL4MO9HG9FhFEZtQqY +p3UrEBA/2WCYP443CfejFUM8FBpTTOaEP4SLV1zlOQdOxbAHqtiWf7JiSwVCi4CkgXKx5X76sBKV +CmfTfi6Vdg9d0Y5pFc2bnoXVJoEh62G8xXxw0mtNtuOUm2aby3Ad38mWiKAWxiq3zY6T3Jw2qvLk +e+IpuCoLgH3Ey96EiWQDyLmnUChwDI379vAp6QanT580p6bxEqx1AgUXtiEM4i6oufN4aCnYL3pe +rjBnx8zFQNEb847DODMOPV5jGD0lw43tf4mSdssifQvudEZeWTgJHD0nBn7O+YIrd0+g6w1eV3+b +V/9ZqVT5joMyvpsLOnjG9h3K+hWnt685zf2UjEIOzVj2Dyhs76SP6TxZUFQWn5MC0kOsV5xv0Fi5 +MV9vSb1YoPt9fpkOkMw+8cwZ9216Pw82m83APPq/shACdvLBerjZU/ge/nMXyB0VU3epgB67VDQ8 +uEzscRb6hZOmCFVzUJPJJZ4D5578ZmiT20AKpMRqcz8WRmWEE1FV5seGnZUVi2Ai1oRj3qTX29D3 +QkNWMLby2+PL0lr+5JO8fmxxrEPSIHOxFVazx+TvMnk0chTkNiysiVct9x2jTHkOnvDwKTxsJpmG +TpxHMfyM/6wJkRzO5joWPLT51aQxVgKnqO6TokM5kBA4lQsPMIM85ZuX78frxecRNEuaNnAh3Har +AiEeqiw9kZzN48gMm8CQNFRi+oRMOC/lD7MCSVvlLnxtKAH9e4h6zG6YNS3I+Er8KYwIny/npow8 +dvG+/Kmskq41K0YKzwinXbZo7iHkMbgITgqsZ4t1bxb0yM0ir4eGh7h2mLkMeWSolwd2N2Qnmkhj +lwCzonhuqQtOH0k1Xtp37bAIRzId8TuhGioh0tA56sIOTSW5vO57Z3JGZIlBjlv0MPG7Zf6ZgaUx +Wrj85Vx13ihOcoBLBvOLDiVMuqQdns3he+lFP6K38mY87OJCmtumfM5nWUxl6FG82yKEazpKuPU+ +lH0amJ61GehwUzZk549hGGpGXZmMUzD7nhORNyJv+GnDoay5J3e57y6qNJTmXvX8UQfn9Lmd7FwQ +TWdZ3cb0EPBwjhNFeYXzziQURjF44NhCWmPS2c6+ekY2Nh2UB/i3yPbIE2WLjoMqd8O70ot/qtwV +F+4mCFsx5s/CuzE8XB/xRgHXguQWOmij+5CIRDvLM3sL4DCjl1WhB884k+NrJkxAZ7DuT2d6JOnu +OiK/h07K3cIT2WHlK5Mh6KUJzcsa43rjfZ1PnfKoTK6qK9bfjtY1slkm0pwVU3XYuIzrJBW91T/X +xRcPV9xLfKtFAHKwEiE4Iy2Rh5r0gmfrHAXLyTTkEFcUWQVYnQiOzIlsJgiU8BwccduLNJIj8klp +xC1/wOpCcBp/KYEMW9FCMq/wGC/zmC0V46CSEoln7CuHTrzsBwGSlE9OaJX2LyeElsDYYeRGkG8v +GNZ0jyLOU/NmmxnalsxbZZqfI9eUBvxyDfDPyEPGn3sIExd6FKiACl42fE6hD+8rVI0R9lBpFmMP +yTJOGNMDsxoWGiPqWsliYfYZtuC9IoifkI2E9EifXDRvuGye+0QwyoCRWAWYT1/Pe8IOLOZqV/C9 ++O5q8A/SLdUiM2843f1Ggwfm/YHnXzGulwiMDYdGxMOb/1kMfvo3igxIfKHSzpc3eNrnHs5NB6Vf +OvnZ3LpcL76ZpY8qS9+Q3vLdz3US3pKWkj7w9j9/0RQgefsjfVgIioU/u4C/wyUd/FmzNZrUpJIR +3h+RSq8uDHO2+XJ2wvn6muwz8Kx5eBtjlnfJDb36N17OGme5D44C37DsDCVNlVlFiiU4/AU8WZRH +urnrBB9tXEDIVNEspAi/vnmTO/CYAmFyDzSfcfib/D8xOM2RvPq3Sp4MyAOu1xiTFmoyq5K8IK+b +MSYHGtt8sNNnvFrsWiQ9YM2fLNu4gAqHXi3mpGn2NobH+BMPZkmp2KVfdfGKTSW87CbrmIXWJuDT +/8yskqInGDAqolMUuxE7Cpi2jYgwRIYFzgPhIXHdxdvXp1mqSHO40aELDBsVVRU4J4F0jCLnjgPO +Do2jrwqczJvhO3Dx2LzJWbDx9wCng/cw/+y7BKExBmIwTSmXwkONiJ59yy6Du6PSV6cERnp2UZoi +D4cv5aJF5RQ/pkIk9aGNhq0DB63LL65oLMbJJPOxwUWyPiSLkgzQRbiOMUgO1gfl7Ji0uhHyylfi +aOUfQ56WpHKd0JfrxMR1wDmq48haCynWs7Ne1C7r2KQXBZuS5lPvs7szUcqBIRnBaKI4sjbOi5fc +s8mP0rTQ/BFgbofjGmJCAsMmdQpJMs+YiAMMEHfLcnEjZ1KuwIGfXZMsxEQ2qGbLbM9g/M6sP5xT +ipxx17DsqCYrkDUN5O2sm82OW9IMFu/Y0HX3DOkRMz7gzJkSd9CLsuyZmuE3T5MWUZ1k87MFDTFM +TcerXuI8g+3itNOA6HImBFdojRaacg5iD2TPQEOuzEFTPqYYnPIqdTR4oqaqLah+NP+6swm+TQqQ +dJ+iXueJIkPvNOlL1TbUhyakrZVG0lamM0vSQXpWEutlB1ekbGtS0JadvaZQGt8LR5JRmJaY+y8a +XCTqwTDXx6YxLeOobGfa1kxQE40AUxzC378hLFGZREILUk+PSA3tM0mWgc6wetfIsqO49j5zMVIo +2Y8Kb8q74YkimzSTCIkKzR2HsKLHZKfBQe67Mje8wYfMnfrgpy+UVhte5LZ+rV2Fvcu2fbjiXpF9 +8ysI9fxj9UKx9ZqFlpSJyT/cAJ7KoeYYj+7eVLyKnmm+Wy1KvXZ6W4p+aY7yKD5uEZkvWMsyCw3W +5BdCjMBVgatpmkCAOXiTrq3gyjSMOus3CBInnJVJa1qzewQOopsqrOtl8SLZSHtbRA+q7cdkKhoy +j8zNQc/7Od3u5eImDc1Pn1hZ6Ujb9lFei8aut9b27EcqIR8CO8WpxFUlfOK8DZkRd04idhvWpH1a +mMa0HvbDCxjnKkZJ+hZ+XaCFkR2bha/qZDvAetuvDRF4TWYQcXSlAEC5vKGPN/Rbd03elwO9Vk6d +Msh3LLRvqGC25ET/E7PsANec7debFNRq9Kt6OTyzGrwuhU301jshXyP434G3ugu47cN8w7z/Dnjf +MoGWVpY6phh1AXiu+5msH0NruKDxBDA0K/k2hTDgXWiayr16TDTEmA/N2QpoHI8ODLpvkWyPUYTl +HEDhL3lMj08JPcj3EZ48o3LvfduOF7A01NVHJ06aXNY42lFmXeypzOeOgt4eeV/erRf8oAdhYJpT +CJeY2j6H0wRB4SgSFSfCIUvr8alwiAZ5SsUoThOeV8lLjCk/j4ZTnDVK+tCYWIyOFbsiS1KfmqlD +eaRSsCBh/FjvHka2w0iBNUOGOqs0l3EqLgIHv5O9SjiKAldQ0IQC8Uy97+6JsBb51kmyrgcMBaJa +ZpTYovwYdhhj1NAQ4WLOLV5z3+Io6qMMYcG83bjT1GfBvse7hUnEY9tZbzum13IxlU9UGPpUKuyC +r30/4WpQSjmOkh4k6uBX+YRpkspXmqNIJ3SEMS4TYtnTGhTOhIU0RkguHVWVdwVdI10ZXmnu76q0 +n4t3o/AImGsQ66MTwi1618F7k9S5gskEv46d1FGo+e38OcZf3gschXfjjXTOKG/4fsu73Hf+yrvK +gtD0jHNU/BpeoUvd9S+F0Ojc5BdmOFNW4Tyx4m9QkGww7GvDu6kw3od3naMpKsLiHDiEUzgKnPmb +zyp9SQFZ5rE0P5fSLj3s2l15Q2PVGVzg1eHN0a4KwZprfZyEM2bnge+AcVEjC/rME9lcOCrvVk97 +haPKq0Q2NGzeeOPFeZ8OjjxTWMQKv0ZmRMcrB0Kdf8LYnMtgrXUHjPVlknGiK7Eueh5xG77SNZa2 +3E0fwGCUYF1L/aoabLUQoDveJ8ldGymTqGrgiZCw8xoU0zzh7Wra1kcbyXv8erDiHjZ2MEzYpCJ0 +4raQlgOLum9JOL3+ucznBPQ0AC2T3D6RjwFmKbPHLaF7Rr2zWaA8aOPBkuW8ydcaaVD7YcsmurCD +oXDA0kgSn4n+yqJkMQ1zSKM166/NJCzy6R5aZHQmfmAofSRXhGMtfZkHdvNvFRTa0kJzXOYepRhB +ZJV9lhRwiRWMwG/G2sQtNX96WYRn+Qt9bWOHHJtsyKELPR72mcD48oLvOHZvoBwsq3FSLJQcFlRZ +rEDZd7qs46S/fzdKfVLVHWHuKNj8SLrXbFmpKEcPNOY/9Gg68bOK9S2eiwlfLqFFvODgwM9L8KIB +4LXrGd/2AUj2cTOzaBU/DHF/H+tEHLX7uEe/3+uPbeqtZpHcfFCAFF4Q9FZKQLfiN3p5FMDJI8wD +XSkDK1yhl545EFYP123TTDUP5ZF4aulDGtX7R2jdG221DWGrcx0GiwPRfu7YtBlIFEL4xarGuQwF +k587eiz3s0uwqBOvMFt9l9/DhMUUOG1SXBa+7Q3ToQdLO0nBZryzssF845l/DU2TDhH5FM9pHZey +SHxI975wCoeerFteiOhp5ssWFsGS5h8qLviiT/t9kNDC18rq1DmnoxJQYUDT86K/LJYqRsLLOLf8 +W8d6DJdp4wDvtvFUPbUC/xl4V5mbNcLx1AxgbiEUuZsNU+X5a+jqwUXpWXfDuuhZ8SBt3AHb7kSI +PHmmhSBFgB5pFZRdmvehW9czrlNu5Gmb1Ly5JTtO6NaimbtHGig1ZHedbV7fO9JJnaOVd2+kV2GU +Nu8qr1SAwrsFD1380gVbvW+T8IjnZpb1kGFtG601cBrbzRxuHy/I61k7W/PsWJ/KGh8VjiqP9sia +Jv69rM/hryKP+sJz6LmC60QRoNsso2dWXaKZi438VSYBLxXl40nOGJRHPeRFm7cT397oCfvmoDH8 +Ue4rP3eGjfREAFbldu4VI2X7pvhX5shk8cLyGYewRvV2LY3S0LcvnquyJmsp71bjpDVPw7vSPI7k +Fr+Uj5/05vcnZZVp7LzYQPBwIo8S8kx1+EZb/czpIIvyst0Gb0NUkalArABXZtwq+jJXU42zuSoW ++bjv/X3gKANr4RWbqO+BkKZdO6ddv99H4Cy4GhG17/JsG5bYGdpCtNjeNnXC1Oe24+W7wFva2+1T +oWNoQRtmn7GP3e/vcUgDR6oyus1XcZnxt8a1g+sIud3v7rX76R9N2AyGhMKv3G4r4PWzlnDyu/N8 +/S7VMiuvH5lQtY9t/LvkaT1/1wc7BuXe7xUecxRdmf8uFNLF64427feaCqlH+LCDJg0b80/F0T7c +ivjWGtLV5En3K7/lvAKwHgofyDh35vQRPtg7hnYI0TE4ffnUtvsAnfnoPJWmLZzXd6X3vqIjp45F +xj6kIG7lWJ28nwlWml/rVdrHu+Ft5ZJ81ZJnp8C5C4d/775fjZ59uO5Dr65nGP992eoLe+RzWzaf +AmObD7sUfslZPb6n9tEFJ/e3GdTqs+01pd1fGcO9tfeU8ZR1M93se699/3PMUxSwT+fgfZqG5u2l +9tRx7OPdfTRIH3vkRA96dT5C2528m3naGCvba9886+rsVLl7Cr/06LvhxV2Ctfgr/Un38txDYCyk +6nT4dM3jY/C0RPbuY8k0W/HGc1N2jvJnjITiHI2H9G5m7rbxYI/7J2PuIuDu/fai3/Vun8m2r42u +0/FtIHqNoXih+rzX1d6h+13vpe9942h9txcXXbPm0/t9lORDz/R5N2TtAW+fZ06H7sxvBI49dOnD +K32H0gNXn0WJ3R1fF6x9xnkM5j7v93mmL16PjuWEOf/Q/rpg6br/0H7re5+bnvf6OTLYzwnnKW2f +8uw+cLre77p/Fnr2aOQc4+hqo+t+j2EefaSr/a77ffs/1s65+jg0lr7t933uMbL3HH08Fs4oDn0J +d+C5Pu/3eabd/HZHy7Asdwi4ud0Zbxoz/83WsV8L0224kQxi/EZXzyHyjuT051Pc++LRQZ4D8X37 +uzz3+TEgTU9l8s8/qksPFwxcMHDBwAUDFwxcMHDBwOfHQNXIjX4wFM4dmLZr3W9IOPCWcK0hO5xX +ZO4ztPWDCRco/XvF2ZShdXvI6GWF7kan2q9YPU5xdyusevN3ihh9Ei4Qhb2GEmiJ4MnaAlraaccC ++nwp03xRCj8/z+3rIUZf69DhPs93QmKg0/BAeMjvM/Lfodd7/L0/VCaj2o15PWWofYzePs+c0ue+ +Z8uh28EaOBOTWB4Kv7Tm+PbdI/jYy3g7X+6TXRXOw7LtsVA2EXbKLOHclU3b1lvhUQ8dSxfNPjes +h3h3228JzYv8PpGWbSr0hfNzOgHaNG3z7inj7OKsvnA+lF+6+q/3I5v5+YR3981RX3oAbf8IsFY4 +99GzyqqHyqI2LuvnXf783POzTe/UytnRnXK/RdNdudyXX3yuC5au+6f0te/Z3TVkV/Ye4+1T+u7i +2zYu+sgjn8nxAxrO821EtQbGXDRxx89kwbl9x8EFFChPb5pq8h2H58dmqntPRD/6cc3yvQ+sByvu +NXbc4kOmkWoOTTHiouiZCzipp2Q0TmSb5jA5bMu1vc97IyqcmbPcfOw1Zi3pu3JinSObHgZL+6dQ +5vLsQzFQ49MnpMh85ml6iP2WLCarEitZ00ZOoOcVtB9jVZpnfW5hnsKvf4rwloci6J4g5Q9QFH41 +VRa4SuGIdurDOodN1VZOw688vN03zrXwvuXDCYhrpoGHJUsihe28oPuNSW8ZTwqWeYDsXPOmwuB8 +FwZonjnsQdJSQCPwW7RjN7eV238+W+MvO+axWaasfJjLgh9O/hq3X3GhLEnpdmFVzpyDmFU48buk +mzMtrBmQPLzeTt1p+rxhSZuWHVHGaLGzHMrvinVtLxrAsTENBK8NPeBW4ah0U7RKUy8OxFqg6Gxy +sIwjsKTaI32ZWaukDByRxjYw1ku6kl1hJW8fLUjUokWLb1JISzHueaRyBm77pLxrPQ/ve8q3Zig5 +l8xvz8HkqGZdAZ+J3a995AwT6Xqdy+Y9Dz0Za98x2AcgmPXC1B6fzMFd3hXWkhKzAfxMPFz6uVtX +SVyQM2VNKuKGpo7xfn/tNfnoWCpvVt41HWvSmjWsv4VFGVHzfVfePSecYVjAECbpha6QJA41RLrK +263Xk+fNKuRhzypPjqF8y7t0Y2Vj5apJM9qHMhWx0k15JM1VjDI/zkjPwAlvWlTpyvzlLjLwrjQ1 +17m6VRERkb0mB6jyaliN7g7eqrxZakaEd2vGu/oqgObwq9O4yt0+eOzD1um/rC0tPfEeLGkHeMLH +5Ak01bY033fW6FifypqkhC30qutHpbfvmuhOucuzoXkO7bcf2Olge0tmcGKVL9qhMlsDmuw4yPqb +FO9zHBhiyKPl+NfmMDX3yJvDB74/cD1Ycd+2R6YBE/9bNCNVPXNIkvSH/E7eY7IimEpsWtIyyWzm +dF+bWvUX0lVhaQwp7mGe0iV5wsP0wGKxpBnvrEjpOP+xzsQ+HHB55rEYiByiAtw3315Ra0YuJ7Ur +act+JOPC0mpj3LfoxQvSND77mnzdnLa4otLaL6SNe//270crFw5zmyefMOw/NssPuIhAqRPaRcQi +GS+YJyhHKzIAnHR5/BwDN8WHlMVLPqvoie6iMKT4UnIJ893CEzBoR1arPdciomD9mmJg5nA2jz3b +fEvSq63f/hoBZz7wyIFUnGugS60CDIi5Qs+smF2Xwk0l0hztXrZjKkwVrIrLKzjUZ6pLwqws744I +1a4+99zXGWGe71GKAVlkirSWpkhVsGLQTsjLn4qiVdF23FdF5vVdSAJHk7ovcN5CP7PIVFAqzTWG +QlNx0VPp6AmzvDv5lrzIwqmNPoN3TTtXUngq24cUU7PQVq5nDPQXUt3+DM378pUpoYSzKhfyrozb +NjzlXVOZhuZhmpJesScgfR4rczDrirz7A6lX7iUDAAWuO8xT0z0suN8cgjvhkqbgK/QSDgvD1Dlo +M22aN4L0Ps1P6Grvo4V3AgcFCr0W3yuLmjk6QV6PgD9Z20qBwcxRyLoYW0itzLVD46i8qXJlvvqU +R+dHJbIt++VpDfyqf9B9k5f/vPNUhTlzEXgXpKZd/1AUTh0MyltlMrqIWd9SnEn9hBSYK1NV97kc +f5W7QaY0NR89nyv/W7QIFWhrCb3nbx0a57ysJk8xoimF4XQOLEl1aW7WHHQ0H7+phnPpsOGXxQrf +mIqGzy7oXZfPBI4ia6wFYiXwdmYaYSS/+T15dShTX1d/7fsFj2PWxqlzT1Fj6k66SqYVjIkVqaar +o8v6SKmyit5o8am52f5OcdxoyLmGiTzXUtcPU3y2DL6sLyUlZVITG37Qk2U6QXesKczZGCG5VN6l +gfw232r4B5t6hOLOBHAtNWMMzU8FFIFvkvwJQnjMYuC1HN8OpiDYgkTzf5Myj3SHlhBPEv0Jz1Cc +xkqrVucbUkVqtSY/MlLPKmEWRNp8KMK8ExuXB86CAWg6Q1CptD+BuW9JB+l1xaT6lns/spKZR+Zr +Cic9R2DOtXitasqCNITmZHwn5/uJE+ksA//tG7G0+BCFZEKBKBVWC46Z42lqERfnH8p7cnOjkIy/ +psjNN/C81djmt00tg0jYw1b1FiI9WxEk/LiwaktZYdgCPsnvbqltelTZpQ6Az2zihSFmzhWrT87i +LvRZKRcYRl/NsnCsEOpTCo/MKMYhDyjkLDwWo5wc9UuM8iQUVumEb6LM91lArAehcqPXVUGaIivK +AHMv80tcWKDJK3nwLRpiZVOePwffMVbz7ccIAdEL6zRQSMYFUwNkhSJmFdExhag2FJ+yWJPXBHk2 +hg8sv77+oSjfh+CVOfAub54W2SZuoFUKbJEzOl5YFxfln3Ru0dyiTUkRecpCtY+2wBmDk3k+sEp1 +yVc+s2q1bPsTBcTg3fUC3H7NmMj1PSct5DQGTUPTVJLtUt6F1cJgFrUrxXeSRlP/TtlhSOElYXcd +szy9BfUsauP8OAfvCr+K3HPmINUZs64EJyaIplMXTcY5wpupETOmTkFSCuqVk4ZdMNpUDLdSoIZc +8EN3iuV9eDVz0DzRVt+kGnXDu7RPnxa0CZucg3fDiMKB0g7/jqm2nR2F8YemNoYg2R/wJyXxW+CX +t5QVDiKpFXn+2Dz1nnNQ+ii6itc3cIinpKzlN/KoobnpksGDhcaUERRvupcZax9v9vnOvugjc1HD +k/lizm9728BI5vlW3m7wGi/I229F0aEyGGPGLB4baoF07gCiXDWFphi/Mi5yV8WV+aCRAigblV13 +B6V5eBc8UAMqSExNijNcwGZVUQ1KeTc1aWJtMY2YU0toORtfE7XArnjWawrEWRiLgaT2TNcunXDF +cKZt4EiUg3O08q5zUA+0hlpo7jrXyKuhOxGPLfKnGIenpu6cANacdXSjbGEMs++eDWbgWF/I6sN7 ++Jfc/RTUsjJsCm5qGHtVVHetMW3exfDZ2CHG3dCtEmka3gW2pGvVuKVp5zHLQdaYY/KoKx2kpFGu +J62lApSfOGUcdKNPR5FPYSB+Gyp+4Hqw4k5h96ZJkv+77S+8etOT5xkkjK2siOfB6lsWCghuuWeO +c/8abiizLXNYNmrL383g43BpQGlyI1+u3wQDGpWGv7x8ORt8zQJuddPv//WWkKXh4Lv/ej549nJK +NWlz548Hr1jwXdte//fNYM7E/fa7p4OX/ywenn9RiAlP1l81XEbvoz/mrB/jvRrhmV3gwVl9T8EC +hey/XqDEsXAiGJoy8Sh+X1IRl0nq7qN83VwdSrvzwsVDbyU7IKnI9xrhgqwcvmTxUYgzWTauygkt +saoh95mTm+d8p8LEAju0Eu1DKxaW8AGV2TELocImVWENe/n4lIXwGcMBTgthCJJbflTrW71nrApD +5nLn+YeiGDWLh8sSX1jJT5lMIcBU60N4DykjH6UdhWroApWqyTyN4hHDxi7bKQtPnTV0a5jEFEVg +SHXiOcqrOyM6D5788xXKPIOZWniJvixApSKvVwo5O7lF4aVw7tTxqESU0IS9QzCEAGMgIhR5mGqo +MxYrq0z6vaRULEpfFTxpqsx8paLQyNJ8V/B2KpjNDg2eSoyRIbyrAh7eFfPw7pBFUd5d/s97akZg +qFhlFc+atQqc9DOdLigK2aZOVdE9I6hjg26OORUWye2f6xVw1lAonyue9vCpC+NzFUM0hcq7fte1 +IB9CQllbxigaFpnS62q9jFTbhZ9qYgcVvwkKb5QBZ1Lfdae0nzAfC7aIxdcFDo1plZ2Ex1ltVN5l +jlo8TfphM23qPLY/efehcFb4nRsasnryt+sqN8uaWh9bYUisVZCsvZH4Dnmuhzyqio+KDbUphm/p +xO9w7ER5T+0DYJa+LhBWquRA3uAVsojvNivkkQWQZOmHwlr413mokyQ7RZUOlVWkhx52+rfOyYqw +p/GMz1GeijLetQMovZC7yfstvZy3Gq2G9SaciraiSNOpBok5/BGRm5cNzZMT/TG8K02USci3FEgL +7/JVFhE/qQCi3FnQLDtiKPS/iFvqnvxrFCN1TuzFykKH+2RF/S68W+VKgUO5Kz+HZ5kxLu06EaQd +9RAGU3jH6q3Ka9sp1b0PTcOj39O1c1I9QpmeIpkakTFywX92VrU6+YiDN1VhzddvowlFkZHazL6n +N2/Lp4GHMVtQiTUm9StacKSVOI4KzTHsNy++bJwo9pSqwAegCQ2gieM15kWUfhIqYyvQzG0Dahw1 +he4KLSsYFaYjRSYfrLjfG3r6pleEY+LqrEZnkUEXnWBXRPArVmIzWwURfri7sjZhwcX7oW1D2hzv +F346SvjLzfNhgAkxRcDqLF1gydesRmu2ew15mkydQE3BgBuYf4FiuoK5F1pYzOBrFmGdEo2q8de+ +zL461cNSK+bJ1M5Lc2KjxKUqo9EzeNMWePfWCNsxypK4PWnRQq7WHK95MYu8wpPP2wVZYe7E8j6f +JUAmWaHBPsF9AnnG2Z/UU0VDiX9k0iucUNRVhlLZ1/71qlk+/Sl/uKDKF3ob+/S/rZaqvODHd+xD +xU7ZIR70TuitsN1oXSDzo7LnPLIi52r0hid2oMBEBF9CRVDmHUccFSjmVvnbPEeou2VtFUOGYHG2 +KIY7itJ9VBel3S8t7hTviy8LA58DljDzOw6lRmY2xQzOA+dIJnT3x0XmV/p0NwOlcoGiPhthpHFf +Vqo6Xfq2CJsPuhvhYl+8fkfZSI+SV5X10lTvZWKCvcdnYQryGliH4GR7ML6AfrSPYzfL+xsNSg0P +aeV2/JZhmv4tymNYptkcoi44t3qvPTwrb/qOuKxw8NsCXuFjnVSCa0B0QlTKwDJn+PH9x8IqHlAy +Nyhvc+CYYhm4FgeQ2mVhTQ1MPY2bbABAXM9xOM6GBIcv75U4arbTGwsnBcr43rZqDLSsJU/JRLZb +lR3hfOzlGKwVYgVjDOsxjqbxNVaQvGN3wqqnHzwPR549whDFSFMubdNjH1K+2mMTddJMWSvdqkzV +IxpbjO99pn4fRipC1zGeg56BtfAu/bmrlzFl7qh4oS9ZOCsXiGYs7oBnPmtAsJPXGaNYZWfCp2yH +Tv2s4i6tJ84RPmd9k9aFUYRV4yinKx95IefWi1I40jNT9D35h1VvkTj/Q2hT2RHccH5sgeNo/BVe +968ZS/v8Qp8hiLZKs8DJ3zK+oSviOjsq/A7vCq/4LZNHWZZS9nWi7HQYMsgrZW2WHu2sMrbn33rV +cYA2tgaf7bc4yLY8k+8OA3Qexb2073bH7Ntn8bB7oHSJx2pYqrb1wWkOS2NB53DaWbi+V6+Xh9oY +EPXwnbzs4v38Fcp6Sy5AokRjbENe8bQsiHtVp1nxZf3+b4VU5beIIXwily6I9sJAYaZVYkzd8nSB +ecBVdgQbz8ieVadOcuW03jYXSL87x+LhcF0jaC8ijB2AoZ4oGSPjcgEnvhQvvIdqxl+qpAAn8Eb2 +3RAHbxhRV6W9qtzZpApHFJoq4HZwlmiZogz5sc9CfAranQDIsfFLFPOqLTeaeXM2h++yuEhz4TKu +uTUvenUlfaSTntlsF+y8VfFRvdOu2BXO7eLTq6fDD7nIfKFCIBxiVGureTwq964BUv9u0+pQ61Vp +rc+6WyC/7LZZedfnpXuVN48Erf16Dtx+YB6WOYg/7X7rsice0hGK6MbQzhKN9aAhCEOjR+7ny9C8 +8Lewn5N3naPvkTV6ew1nieLeupI0gg5RQqaEOiYaQINDz/AbdtL6hiY5bpsWjtrFPjhyYL/ckA+O +KCOn4trdHs8R5XBms9m7vXLImrBdvbaG07gwaVwbM72tnHpKhwkFFuaW0dV+X37JPK3K7ymNH382 +Z6XkXbsXlq6rsrZDdV065RKOzMEDa4xtJ+a/NNpHDvTtX95QaWdXdUJI0whH4foNSvu/ieeXLxVN +hp4aqsMOdoi+K0v69lXnYKVXG9z6OZ731hrTt+1ezwksi2pi3IvSVQ/895B/Z1TcVVb4YSHYYOXm +ctusD2ZZDId6I1HYVx6WCjGatnKda5HqhdDLQ5Vv9U5MsESnXzTCOYUBGn9iWWvwcEDr6dBtZx/w +9jln8p+EFmUxGnvAb+tabOHBeVkt/QeD1AiuxMVCDNTGA0oBRrPjqXPowf19+qIeLPzNLPQo53aQ +RaEZl5lXlu8ILUkYSZmzbDfMUGxXePaW87fE25bTPbsKau0qjMU/tht20uOzM47iZEqGEr37zebx +GaGUhMoejTE6B9btfHCLUJlGqAM5AfAo3iktIz/vKknHRpUhV8bhY8m4cg8UOzaGH5o3cH6mC2Um +iWuUs4mvvN9P/fNha+TduBNPOvXvA9q/O1TuusbNf2aapksYq3NR7HxgDxEaftmmPkO5E9bQrIKB +jBx44O8LPMWKCb93Hpw7njBttlBcRlvPcc/xxg/dHatzlGenE5RBD/ohu+c/ve82+LcwNQ7YrQ3U +LA/NVSZNeDcPFZqf00gxY4qKzxaHTlp3bJqKqst/sy7pxQ1z0zEf9amcxFnAIzoT54w+oty9l1xE +eJRJyqvQ/DNcaVTe5YNo3AdAjIrWTx2GY+t90XjWlwJH7cff/hQyegalIeeZZRK7uI2nnTBTQmI8 +QKzzNzH69leRe0phzV3YxUeRqxphQ+VuUV22jzY5rqF5Obfh7mfRbQ86nxxbeJsPGWcbea1BpB0G +UXcbIwfKs5mkfI73U549fD1ecS99+stt1s2PLGgvNs0BvRfPMPxbxI1S55N7Zm+OR/O/t0FYM80f +tlT05tPLg59iQNnnvIT5loQp/MzhPHeRXhIvN+QDUwslHQ87OykeBbrB+7gkbGBIzPuLbEFLwObA +3t/icpLCt55x23DC3WviATgm4ZbLs1ggeNtsH9ngBI72233pFeNAlTGAmd/xevBdPenuOJxebCV6 +CDCHpvSKd3m5u3tunnB9ZF5uTPnpORZjhIFznHRvdMw8T7w3h4WqfB1cMThj480K8JRI+LLdebBL +F4aEG/AbWZJDmRzGzEJR5WBB19AQmnJoM56u6FtnWkg0QoFp9auHpPDakaFh4E6JOwwKc3vS2wes +GRY0HH/noU3P+ZnescdIwjf8406E4UeGLgjnFnnigh9onrMN9iRNa6rNcylA8qn6pVkbjOv85mnC +4Co21aEra0XlrijuI5odo8yaMQtHs+uyee6i5Hc2UjrwWc9DSVOdiuXMRl/27P1ce1LqCZaA/rTx +XhsL2pmj5pLed3/bKTcDjv/QGHPEkxrNwWq+wmEaDTe8yx3PPxgTDH3D25nbvSHo8aAdtQ0QAFHZ +YWgqtB6wLiNtSIBjZsaBXA/ljcietFbOHFOOwrvyJvQym5Ox34YYSFZ3VKoCKbQ5uMgLxEw3YRc9 +ht/7EeHcIUyUsubrtQo857Q2awUK4D8jxI0zHbGR2+g51l+jr1Mwx1gOeHeMLPDdOg/8LP/guMgh +ZOdsDtOf8bJ914qqnAujulSVie2uvJfMPdwPbXuOI8/yTtaPOgeL3K1T1WY92+WOjbcMVTkHqIHD +HcxxEj14NnL1823OUiVMqWZcqaC04RYnwUfPtbRODYWe5x+yw1BoWmFR4fHoUpFXLHxNCFjwz719 +a+o9WhQF3PFWeZNDqTu0qKybdxkYRn0TMtjNnI9X3MtYlM9jlO+5ce0fsOqJO/NgzsqDDFowO56F +yu9bUAAshw48ROfhAbVH3xHwcy1SPXn47/2YmX2aeMcxEm7l6XsmzhcIZs/r63VtJor0ZoeEz6ua +4ktyOen/Jpd7D6Y9zcW8S+5ccDVxcXLuFjw1c5pDLo1bbosd/9pZdroxpxLgS893tUO+zMTnZ4kQ +q2SoAuXkjnaGYnyU89jDe1Z68wCUSomCL9uYGHTZKpM/VBL4jcKzUcHvu0pGaNkv7SkHhJUsKwHY +bAc18Fm54HP263bmQc2rG527T4S/3QEs8durJYczEVZDPaYqX+KzCnjgGwqnce8MM+u5cyex9/ck ++acDad/W6tvSy3crIgrR2lkhHkvH9kgK77ou3qpMcj0pnv9k5xDl7ecFsMaA5rDzHS/vxXSEvM9U +OMpT+xb7wjpR7H3ed6X/2a8ybg86F/gqStukDZErGTqVk9KQc68o5/LmJuEGAAJ5IyiLxz20FjZh +9Hn7sXN/zkBfs6rIUDZVjuIydRrFxnMZQbXe45rqz8drgHuUhiO8W8dZB1r51s6KvKskCzjCKXwV +hx3T4jRyNzI15+HyYkFei+XybdE/Ip98sIYd9u1MnvewfK7S+O4yV+VRvPuM41wOk0/GuLN+JDa6 +KklFCNV47e0h1h6Apll53gW9xYg275/+jiOBH2WFBqfIzHsdcqCrez3tGOpmQhriIJmTFnyF0r7B +OZNQayNQHZMiuLZVx9XV9u79NroyPaFV1U3bYMgn6dMXCvMqy47RtW9WmcS407ZyXZ7UoNUQC2tx +TyfOLb8/R1YZ1LkGJWbWMHWj9K3uGRHhAi9iPuKV4tGsB6YPmvOkIQUirGxJNymoENcWUcD7pKXc +FAQpAvFU4lyefxAGcv6EBfktXoopzDTD8Hq5Jt6TCfqEg2zvsEDfltRPxgs+5YT2q+/IvsEidUUm +gzmHEF+/Jq+qxTbOsAA9CIjf4CWFiVtsK6qcLYnBm3EAaEws5caDQ+4WgYts8+FhNh2bB65TMMID +fW6nWqjDlHcLV20RdWA1y2LI+xx29YxMvHMpVsZSpXGr4qpH1rhcFU1TdsUTz8Qz1SC/hnrPtqfO +HoCc4qlbvm/Sc41MB/iPp4MNmWMsxGQRm4XbmYoCMsyY339JVoA1ce0eVI/WK3jHlLDCK0MLV3k+ +xgOoepc1AoEjt/Xmm90BGWMmgya7SvO8zBZc6DR4JN+Zx3rBIUYzMoxJfbkh/6LpbV2kVi4o7DaM +1Lag57oouUkfSTzmBriXpjGLPDuCa71WpkH3/ZqaUG++7ODhRvHgtr5hMqTRy3kC8Bivpt75eOAL +6zyApPFGAucSHh3Bu+aAHltYQyhNhcb3G1J6pguzIYFfM4CZkm7seKHD4g1nGqTPIaU2vMsPYx3C +802KxzLYnI2Qd6GpvKFCIKxm6JB3PUMhv/xaFspH0tReszbmUCGKq4IO3FvAhW3iBpGyk9u95LEe +ZjHnb3nrCqIcy99ceTcF1YBB77NZdAoc4XsPq8u7/jazioc43XXIKdjz8a5wxuihlHrSzrpzBKwT +8L2eAYfTw5oqyOwFXtONh3XVZT2TwqUyzz7TYY4SVndlfFfetQDOM2goPas8ckdQ/Lk7lzR65lzh +vrwrT8eL+QjeraPLkDGcTfOZQ/rSkD5MrMCOR0QeuB2h9K2J1xlBVzN9ybtreVfeC4MfBjc5+D2U +T7MbMh1l3MIRHEBLHQnumih7k5QDvBDqoY0f5fYccIZ3kTWKIHdw4iGVN8Wni4Lfq08pa6E141iZ +HQnarFiLlUkH4aywy7tuksvvSX9Js9tUtdxzZ0XeyhrkM/xR5NVW7h5BY69bgqbjNnqhGjrrGvJg +/OI5O7YsH8hV490jJNnBHTmPnWvgQaV/3ZV6UwDKHMz6kQxQDZ9mN9n1w90GiTdBlsNPSVPr5TEn +361y95DMqwf8pFFNS7Uvq0wNlZGOiWuXiAxCHq4KfRQoF4T91+M97uZuBglrE+YDfGSF49FzpVy+ +ncfAtQCT23FrkOIAjZdewFTZdmdSjUinJtHWH1gwHC9IWzEBl3jvL9dvhAEIp3PiHQu3PPWCtHAv +DBHgO3nyDQVobt5KTb4gR7n8dg39r9ka9CzUaxQbn9mzwfIbAfAbduPkVSeGf+eDtxzKJs/uq0b5 +SXylxcdU4nRVmOsdxd4tQD3Vzsnpc6SRhzdrMY9jQ3dbfcFLHjbLNmyzeCTMQlkW6e1WLlQzl3vy +ufOVbZ9Y52nvMJSlLMjL9U2TPMMDUk+JiWVlWnCgbcVCmPSwCN6k3GMBWaAMjOOBJ/83903J1nmp +zBGalWI9ZpKpxUDcmrWIjVct8uLC5a6DVxYefh6a8rI9MAwtcx87Wg2T2XeNtmkO8w0LYfJtq3AR +gzlT4QHGkaE0jGFuzuTQPGAfvhTmjleQhLFurRtWuC1Sk5Wm0LycBJOmzj+z9BxrvxPRsgwKDGFA +cybxBIVmVg5Nu2O2BA7pnXobKIBNdVHOukh3hqvSvvmxxEJ39eWCqjdJGLfFXfhOOGp1VFN7GmXX +5l1p7s8RPbKr63v3oZH5oJ2DKqgquM5Bqz7PxTnKwgT+nbJGhdOYpxbvGX/xlBR7HoLs4F95z5SA +Ne93LZRmPLmpMG20Luzydg3/iiHGe+1dlZMAu/+wh2pHwDVDeUtFT+AYYXzNKN6jI6HZALHWBHgo +Wd5MU+thTg3uDPSQYhK8tOAI7xbelJaBozzjDPKe6Vtdz8O7fCfvds2PnvCnyNSXSCTH7+FT837D +o0vljnKEaTkhd7/KdtJ8opwoiwZ9eVeZY/iLcNSiWsKhEyGoko8BuNbQSNE4kC4/hXcLrD3hOfgY +Snh4V6PP0BEd3hRG0wdK2Zsosrk8g8RYUyMEWiypMbE2xKcrXkbeTZYu56CKexl3Cc38lOaFQXzH +ufvYlJeOXTuIndz4QuRXkpxoiIxI1Wj0xcrDxroQocMMh0rmT1LeNTRfo4DPXWO6cJ66J+Ks8K70 +1AGRTDzC7aQxxBOauw6VsQ3eK5tPIKTdNPbw4cuu7LKySXaVQ0h+F5oeePvBirsLtZZg4BKpMPNS +S9e4Mj1GFFtKaiK/42dsTKqWoZMYhlf4rywAoeDSmTZCw9CrpEcXgFdUrdu8b+JIcz12oToB53/n +R5NOyzXIvOQg4imHhSOfMKBuaq5WZZMWMIqG1VNHeKo+QCffuXdw5y+OyKHx6UV5X1i74MoCPPCu +xmdVMC3PXFZC54XhNNmfUvbB70X/P44p9SaFisqOHmnPGSiQq6IKPVLcxoI2Ua1p1ZP3Zy4bb9YY +F4PJ82ZxN8d3cu7aNf+sUeJNQzdG0AYy5z8GTAr1pADTYQ/CFgF6Nlwss+NmG/fhtK+hOeTVStRS +1ETOVbymypiivLtVO8EwNRTMVIGpAqK82wb/uHhABncjmB+NodZDVimc3YlJqW061fOrcitcdhGB +zkN6KKH5phx6Tk73vlk/uuZeWTRSdwNcTswTzXdL5nGFQ70uRZjkW6AeGw/P3zE2awaErn6E1WxD +OmsScyQvt+DI30EInZmGE96W5q4Lfv1YuZ+FsHFUpqK36eTibYVH3dnJxXhIhWlnFrRZ/dqs0Dmc +THiL7677wCtNlZEWYnJnrfJmhSNekVLOXPZWUTp3NVHxadPKg191mkE34eDLpGZF0ZrjDZwgq5qL +eUvNhdU7lDydZH1wDhyBM959dy2KA2FrOBfeNXc993NIN7tIlRhdTNNx32aYN/6X4/LWjNCJgXhp +JGqDBKVQJa8FtZbsIq2pmtoLxjKEYVLZKnf1avOlymxVDsO7Ilvl3Z0FYNXTWqsfP5Z3G/I0l/QD +fw1vAllIKpDQwkxBeKM9iuFX7gSbEjS1NnqOYajhiO6V9Vu4hCOpf5u/HUgKwxkTqLdaJ4Pt9/DH +dFKbHek1snCOY2QCLS0omsObdB+4HEYcP4zBuSj5ec6Ch9skCWVJbXZajvVYeFe5m3R5vCgcRc1M +p7DI0C9yzqaspV1OIfsMu8kvjNBdID8fTAfpcwBas8r4TsYuE4PjstN9CJIHK+42KAL1pM0jCCuH +8RHLafVjs8jlch5nm6NN5fJ8Md5W3m8z6bEtnk5OuDzwGAxU5f0tivi7Utt560Wvk4LfcxSZ77/f +ahp/D0/7LmLr2kAMZFO1Tn6HkVvCw0OZzRxpJkM2Hn2vyztQ+6ptuYiA83glSlf3hJTftcuO+35P +wd3JL6UddxPmP9bOFT72URRylZ4373GEtDstk7qP0l4H4SJiTGmRffnd4ruwZATpOVaNPZDblw4I +ZNJ8Wxq90LTccyGJQVJpsUPzo/hso8fFsb2r2IbTRjz0Vr29wfVnoKme9ypvq+VNP3v5tsLZl54V +HuAkiGo/WnwmNFWpbV3n4N3Sxgoc5wxKmYMisnJxXXhWhM2g1maOejUBAlzJrd/D6Axv8BPlfA8c +9UvnaPuBc8BZu1O5g18aOLw+lTdrxjevnseKj7681R6rB1Mr39T+2/f38e5+Djjt2/RhFeMyB7c0 +bdGM77ayaneO9sV3fU5Zk5CqPZfPyNY/G8bXeqZvH12QOw+h5/zHA7wLb64/yMs7ulWlZ5e3vU03 +eLcxyA5c8rZFwvTy9+WXLvjqfdqOvK1wbNe4Zi5uH9uZx9s5WnmgL97dTTEe79BamtDLHVzY9v3h +3IcuAoWHCn82N3deOJZVJp4Sec13imJ8AH+PUtwDdPilDK6NtDpev9sCvANEvbcHvlOs4r68cXmu +Pwaq8t4cqDx8Neu8Qgsy9500/Yfx53ry2HbDvXsgrcqEU3Emvu80jk/x0553nwt72W8/oMgErj3z +/CFjOcZ67Xun4rDvWApv3+Xbbb1YD/YdWef6dtN2WOx95/gU7N1Nj4ncPHJPjrc7f+RAuniz6/5j +IN3SsjbiF7r24OMK7yfztwzo2HzbN6Y+cPR55qHw7oOjLW8+wcVDO2pN9UNz8JEsc3RkdQ7ee6h0 +2KbpbzFHq9j7HLJoL+8WoOXNc25z9+HLz0XTNpxbmu3O0d31pTWYU3F/zrX0Hk5Uundkx96sMsge +ve4eHri3nhoqIzCHnQWPU9zFYdmZ+mSC7SKxC6mnPv8IWXN5tR8G+ijifZ7p19uf/Klj/N3F+31B +79NOn2f69nfoua5UcY9uv0cDvwmcdRwHBOi5xtDVTtf9Hug6+sih9s/db1d7XfcfA+fetnfoeq7+ +u9rpuv8YOH23q/2u+6f039VW1/1T+tr3bFf7Xff79v9byPeD8rZjkOeC8bfmnV2w/khztA8ujpFF +WO4p87sPczNZW4oCrfGlt933tgdFD3fweMW9tr1vkG1CHLt/DMDaRtczXf33naCH+ukkxE4Hu7B3 +wbHLtLvjOOfk7ImLXUN+n5K+3Vk/cXxdToJ2X4f6aH//ux+IPUavc/PmMVzXvk6kR0+WaB7r6qMN +70PG0Yf3H9tHX4D7wPoQGNv99+mjPv/YvvbBfQjfx2TuQxa2vnB+Dhj34XsXhmOyvy+//Bbz49Sx +7MPnueZPX5o+hF/6wtkHFp95DF8dg7NP/31h6Xpudxy/1xz9XPTsWit/qznaZx5/Qqt6cKVLaa+H +EfC2G9Cf8zU13p2PiYv3/Jy7DfuvxyvuadztRtPz2An/cBAkZYXrZEnS+mYAzTMeYi73wwB3MyqR +PTyTVJIhkplqOIRS/+bRtGFMVLnv8yPiat3ATx+2X/tP+wfhv38j7fLwJ5OUL8wzn3HvwlGbaL20 +TysthxRIH3yX1rYNR/sdYTQXaw3ACqyl/b6w9AT50GN3SnHT4YYv2srxvfvi3/CsnCLp1/EdySvf +3H9P/tgdg4TZjoE+k1q7ouU3wssn0N0T2jVHcov3y/0c5C7zIynXKv+fOu4grjRa51d7UFvEHpJw +/eiz96nan3PduWDsb2teNozSTLhRDv7I6wXWU7vdtdzaeMo4Wl+cEl/eZxytqZxUbBWwXXzX5/bR +oU8/9ZlDNGvhu0zCU1rt92yLPwNnW27vWOpb2b3dxj6Rx9pw7uXdhnc6XFX94Dr01D3eac2j+jxy +tznUyBr2kDCE3faPztHthHkcTPveLv2Gf+s8rc9t+buRvaF73/M2u311yZsqr05klZMRUsexC+tu +Qw+dq8fmaGXbnF2ig3rs62QgOl6g6aQ1rf3In1UWl1fv9Ks6jU5E/C6cu/i6JxO4+VB8HuJZ1w5F +QHKiOwf3zNGWrumh43sFJ9rrxDF05rkia1ry/t4rXbz9Sfst5cePRdf9dBjOOPqelFCZqriqz/uZ +sz5JMnGkOOPjFPeKVHOpkpopp+YqITmpa3YClW7TxFl18R6RydiQE96McURGg+QqrpDyMaeaV1Zq +JIgeLWGcvM381KIWPsO7GzMzcMJ5SLqxYYofFHp40trDY12u3RZWR7yfXKL1Kog3TZFptZJ72z4q +URyDz3KIYWPeVhMzmPWDQ4oejPHmiAwDfrdiPNkUeWHlxaKxlzzQZslYlewceUd8meKp4jK4olMP +hvwGV0XZNbmGr0kJt4YWN2Q/uC2nzuvcmED3Z1+T2xnAPgDvrXnLeyjuCpcn4GVmDlaqkq2/4CUN +zwLbBw5h3nJifIMhMCHn6rOvSTNIdb8PyWxj4RS+Z3ZPyTyyKFUlp7S3AMe+02cM50bjiOwjQ1I+ +OvPWZhkxO1IVCNBySHq2JHzhu5wfcH7sHuw6NKjKBxZmMD+zf9fMHG3BKVt5Et7tt2SVscETBXcX +Ypzrz0ixVvpoMpAUAe67yhvzcH8JvGZKMfuQc/SUS6M1cPCSr5rNoMKZ3+W++PS+87MH350yhCyI +mYdN5po1WTe2qezaMsP5obTdPcjUqzPhEGfAugtHJZvZCcyd7FVpXidgrz6OPFT6qLxrsxt4NxVu +uWcu8KGpC2vJ+CqvitxNLuwuha/CkcqwBQ7pZa6C9mXmNZ+xDzOQnSurzC748u81+bzJBJJUeTVb +BN+PoKWyuvKa1XlNvpAMLX2uyqOuVeFd4RCpTvpWA9K8VmIsdTH6NN/rGcfg4q8zRf51/SU14XYO +BhRhZY6ap1p8K4v60HJ3ABY/q+t6O/1hW14lI5JZZ/hym7mjFyTdD5V+rBdhfnrX6b3VmZPzm3mq +LlHDEbpbb56wj8q70nBfZqfIK9EKrKZrdJ2uOOjbT9dzzkf6qfpJ4EwBH7p17THHe0Pakveg0Z88 +lN1LNjle5elW7pY5+AnN6aesY0l56RjOcaV/6MQ6OaxrXARS0eNKysnoU8C7te95ZrvenjKOLe8C +gymT2w4L21HuOg6vvtm88jiAdGaVQaYm9SNKTzurjE5w/5aWHXkXHqy4VyNhyCJtxauxCf9VFiDk +6CkdP7si9TTVrwB6Qg7d6SsmjnI+KYrMN2ruTR7/mXPBTIzpC/N2KmTMmct98r6PEW6LH0aDJQJ2 +bI5dU7NZQIGUZSOV6G8oNsDz5l0eU9xm9JLKW6F1ylAM5ilCUMrmHovLLbw3tHrXd5SrN28oOVFr +6e8VWQDmpLWzjzF9KBiTngj8R9ibyghlfer4X5Afd7YYzL+/iTfD/N5jmPED6TFV1qZ81shZwYgK +S/salFRstjkmj/D4JWV/QdNahoGZx+BqNeW89b8Bqirv7YXgFIbteDZrDPBdv5gMXvzzmsxP4mI8 +uCKd9ev/vklKSJ+x0MM/vrsaPAUWM6bMk6OYlFhF6z8U+24FP9MFfkXe6Oe+Sw7VX13E6fOLUq1y +zil6dxjGTK5X4O+a3LTS4voDyZ+Gt4O35OidwhvP4ZGbjQvrYvAM3rjBqDMHvWP4TWLvrarmAnn9 +ZeZAM9HhZfhI+odPnR//4B5zILmgrXVgbmxovjLVJllY1lY67cpa4bOmiQ9TQgBll1lsSuGJFHUx +n3CUI+6TCzfFmc6RmqzMjxEGiPm+h+RG3owg2IJCLrCvhYmyUOFBsKT41Jzg5JRXoC5P4dMivFNc +KQpUgdPZbBYAWYz+ggcVSi+KYwx/BW4VIJXfU/rbNxdilMOjyKvpczrSGHcNpLulvKaCnvLaPPYc +usOf1qyY/9jQO1cXLcuivnGRtNCJi4RjtzK7+dOrnfOE+WQ+4YDKM5Xm58ib7BggYeQqMFSlek0e +ZyQwjgRgoV9rbwyYp6YbXZmOlJzY43/Ai8jd+b8wWZRHh3Be13Tk+wbjO2ethsxN896bYq+mB1QR +gHdTYMx3oH1yvrQNtjPIOIu2TF5SJAweTY0Q05kyh0cUJxrigJghTywopkJvgbnhV87RKXntSSNY +HDEHh1FoKq42X2YVanCKTLo3B1GWN8kJXpBmxWH55hw0rYOzW+fhK4Q2+F5//5YMYM2Q9JAok57I +t1EQFnF49HZ2ZI7SlEXJlHfS1EtbRVkj76ocyLspqOMLwDtFFp0r57f9VZmkA8g1lr4WpKqdy6PV +UFIxgqdmrNmuofM3zOMfWWwit+vAjzAWfWyAI3ncJRc0axRk2ihrVoreRV4V3rVgmgg6F+9WOFXa +gWP6zXUMyaX6wwqBQVcW0FIvyZQudUGUwY51/n3RuYoI+QTaOkeFzzmoTBJ/tDkcwzRvi9wVbHk3 +crfwN4Jx+PYMEzNzBz2HAm+uL80cbHSfEWlL12PmoNm9IIO0HKCHxQhDzxuJe8a6YKyrPqk+7Us4 +fS+OHwV5WSfl3cgf5a6TqMCadO7AWmsQ7ANZlFTnUaZ2RWz9XV7aZpXZw3913Ug7x/nzwYr7wBLo +zleLrrhQg8iledkRcBNK5I2/Q3BQYuvDf76ByVBIhzwDAlbfN7mExlRvG6Ksr27fRrmPk0DPNCmB +FJRDq5OhRLt4qMBa4Gf60gpZTXEbPSNTlBmLLpj2dwUTqRDCzoM1lT8VmlPHxt/Ln1lUDwnGsoDF +qtZ7wP+OxR0Cc1PHgsYrEaOj9OEzyx/pA4tz8g8J3eRVdVHTWvTdmQRCkR9atITfYwT03IVizpgs +BoGEE18WOZl98wzU0I9eZBYWF/LFa/DiJETIj1FKxy+fDEa/8v5ruGuE4Omb5umEeRWdGzRcU8DD +iqjmH39rwQpKvV+/nA5eoJyu17fMl/Xg229ng6d4vN/jUX2H4nbDZFtpMJX16GC39gGuJkzKJ+Dp +Le///JOTEG4tltKCtmYoiS//MRtcsch8wMs+VzlnYX35CsMme2lFn0Fp2li5V4UixsPORDkB/r6P +Wuxj40pnjnZoM1HxgQ8XP8vbLBQoKfLF8oeGJ1IbaQFfW40SI2/y8Sn8/wzlYNKk4etQCprFA74y +Fs6qhcZbURNhQwEi880myYuLKGMYxlPJdwpghCx7E6l02OkZ7QIeekxcDJhTK+BYgYMxSuUUIzNl +6jHevBelXT2EnO4Diq8lfKrntdFzpbdeRVajVXoC1yZFXmhfVtSz5Q6d8qdWWhVW559VOvt6R/eN +iaGmwi21CaZU7EtOb2AdO7+dx+wyLCk8poJuhdGpi4geIOCdvGxERby4ZR7tBbvc21gZ1nHjeRm6 +m5Yqqa6OXMIFCgK3yoL001CCl0JzlZNHwpkdhdAPZweyMoVpWCumGGUWZFIcKWsXyM2Z9TUszKR8 +F9VPlFF+UDg3MmPv5ffQcvN1U79jaDEiZ6hwSudMDGlaeFd6u2tmoTIX1qoM9uSfg48piplrKjfj +b3GKWHGxOHcSgjhqdvQ2yOfMR6r+Ri7/g3UMA85hrdvpjfd15GJv7QHgHVrQRRmhgp5c0FzOW9k4 +vAtipLlKH3jcrPkuC0z/uXIQVh09KEBTqjjHc2laU+WlSiyJvkfPNDYxxsDHgrV28x6j5BS5SfuN +bJF3oam86borHFYNZW5snItF2R0mBSf3UGjDuxqEj61FUOaQ3lll75C1MZeLsOguCtgIR8IEXIzi +aIA0OgclzWu07mNztCJXuavhLO8KB3pAUzmUOW9EgLybar98V+TVPd49h9xlLK4Tyt4RdEvkQool +OfEYGEJnZRVrpyGFpxbMsQ36zmT8jHmt/lQcHIcYxjlaqv2qx6SmAspW4JBXVbwkoRuPW7mrxd/8 +rQMnPPBImlqgVh3JOehOtMXC8JTi70L/iTxCY3IHy0Kft6yl75BXFk0bM0c1aFgANjr8jHw4pBuJ +JOURjuWhHm939eNdLeuku/muscVZkuq4aoGl2B/Vhxr87Jum2+9EqDgvX1RlPlll2i/yN0Z15mWe +hZYa866XsaJth+8OXA9X3EubKjEBDgQoADYW8GDvYaYi7C2FGB9Uzh3MisXcRWfzDxYsBZ1j1VsG +wTa8s0KArd1KxZKbf0G7MGWzFV7inWQk4YWwC7xc6nprPf1yEn0J7txiKTDB7L++HoyxUA1TSUXW +e6m/GtzoRRR/lhjRIz6n8JPvTvFY2O/CRTILCuNTAFl4gE8p+1wqZLIqpAy9DabcsYr6d0+bRS3z +TGLwhzl2UUDH/m0hE9puxLoeLxjUimUp+Qt+UEKsFpbPepD1ACEg1h3z8CCl+9zAkziBSb96ORu8 +IOzjezzs//0vFBdirf45ez74+msq3wKDFP4Khe0DOPn3D+8Gt1YVC79+seXpfSHIKkVjq9tZtYxr +ifD7FWPkRmEeQaok5AMvv/jnbPDN/3lNYafl4Mcf3zGRDTUaD179pzsioA+vuwWgVjA5eyNpT8Mh +xscxZaIPHvo8Qz8qABOrL6LorfCwL793zw2v8wS+U6EFVypE8zmGo5MRhcyS6KmxkG0bV5gjl7Bk +G1ZXA59veIf85sHtC+aGHi1ZX809nhI+G7qiB1+cWKbbK4tKH6D2PBPB8gWeVw10S4az8xOj0gbZ +Ffvu6yh/C+DS6HRrfv7T28Hq/7JQCLC2PVtlOJ/0EtrbnApBEV567/RqvaQfC35YgVGcuZs34YXX +fG8e6St+v+C+ssQh1ZCVQ311oGE4bZR2hfnqh7eDJXAo6MffN/AnFzhtT91FYUiRF1ypDP0PjPOf +3jXy7NiFxyiw6vF5TXtvgcs58Q30rHTMThyySTzUnPbsggVOfyz6YjcPghOvK2OXdzVSVox5+T9N +iV2dJ+OvG95dUqNBgyyOFfGqDMYwXMzACcruWpnWTL1Pr9CUwVl9EA90jBPh8HopvaRz4dmq3L5x +jiDrntMnMiiNnys8SDxFqUtgU1kcmzGuNUr01v2CPNH7bSieSrXIRcZsXHOO8q5e9EIXQweE1Rzm +OhVeSmQuedPdFXGi5zkVN/nOKp/y/FL+7eCb41x1d1fxmmWKftBYMbGbBBaynDTHCHWXevUj89gQ +0HidWtcxWH1UWAVLWfMGeF3rpZdw4OQJnvkchY785jLqEDyo5G5WKoPKKHHfF6A9z6k6MIaMXOOk +4liwZUvGNMEhZnXVNbhezinuA9zKKB1nqSq6PSB1YBzKXXdPhEPPs4PGQHf+xUixOnz1UG9pXnhX +ZKv7PBZOhyY+xXd0C8bRKgAV4wFnQaqO+pBhtSivS5yXUZXcaukjd5Up4V0dSbyi8q4Ca/in65by +ybVIfUia60BBVn4idx9CUseHnhNl/JfGMaLOM8brncJt4DkqFHSYf7AaLHKJ74Y5j+IF/ZVFx9Z9 +yWdbGpRV7mb9gEDCoUHmrpTzUX5WQScaJIuqc1hHgpa3uuYhZ1QcjxLEyeZc4N1TCjAJQw2VqTs6 +B/D5cMW9wVc85CuswJHbHN++YGuRcth639meaw7gfUQwsJ+d1Q442JIUnrWAqfxakrml5cWDrxdd +L3upvtokCW8gGKHgTr6jaS1LPEBuGUVh0bMZT6x/0L7V3BSEbsu65u8qvDyaeKkoPyoBTczx2mpc +WHgKM3dzN35neI+XfWQQKKB4NAZLyit7nx2ALFAqGF417ku5yXC288YPZaETjvHXXxJDarXAJvxH +owVzo8FV2aYZj2CaO7AOkPGMX9OXi1bMLZRNFS9D91SSNUYdzsztXXAgtFPhwOq2bPYCWs7x0u09 +VgANr8GRnnbvq2QL2BVek6cvG5rZ3o1FNVA8J/CAqNK77++N4UvGuxn3Dh2oOZFxPaH8sQq9LBLh +XRs6I0oONsXADNnSGWpo1TBVUmU7wqb8rQCwGBnwhAfA0fjb54MpHvkNSswCr3QUol3e3O1QQZOG +G9bYKksCnI7Kd3phFRRBcOt522vQ/fBLz43jiBdCJYC/PchtP3qcslsFbb3NZz3UClYFcOJn+8RC +VtgqIdtK4Re2y4+/q35T8aZt8VDDZBcj9ply2gpglC8Pxos+lNYhFmNCAlmsh4a7uTtI+XSHPXVr +GYVXb6ue6oP49uH645htXOMmsBQitfEQYV4G6bM+Jx7OcUlDeZcxj/FOxtFjKJcjcZH2/9K3oSMT +eNdnU9H6g5WuOwbh/fpMFtUiyIRJ3qm869d1MSxr35bXH8OzdXiZEijnGJSDJYrbtzhVsnVQO1P+ +uuvnEDG8MbqnLzHeGKbhFxaGOXo14quhjbxYP4tQnT7CUHnXZ3cVjKqY6Vs6w7X55QbP65KwT2Co +a5Y0dYguL7K3y2V2pPle4yWVTevEOjKIMDs/ym/5pc5BZY47ZW2ae1+4K5/bbBfP9IG/8IQK65w1 +Z4bQce5F9mVdQd4aXoknXttliZyNQcY1+9dz/HIAoIJa1/VDfdax+mph3e0iU+egz1S0tXm3Dxx9 +nrFfDUucVxPP8v2DXQNxHk86zOYBxiiM/MBX6k+pUI9DbGOIVxyrHZd9VN5Vh6pw+Jryts7B2lSl +eZVHZ6BpZI9hdyWTyhhDcPYtsNL3Aj1wpY6FQdKICXQSjMfZKxxFhk/jwF3iIGsOsjrgHlcdu7+z +ZpUfedurrrV+rs9UudwDpT1G0PRR5cK9F+xIOKow+bS1ByvuKeNu+0ycLF7OWxSzEcJdIbjUon8f +d2DDCPzKeX1izSLM8LzP8XIkDlgrJ/yBQughvmhst8wrhamWVSFGlASUZp8hEHQe72KxKPcK+BYH +1olXhI0HWiaOBdwsEy+lcOcPFpXwRm0vh2TL4sl3ib3OdhkeebmId10UmoJ7uFCUZ247a3jw1dhF +XqFSt6wq0fFITPDqi8eFcdm+o8LQHBv/nS7xDGzCIJwsbC/dVo1p4bCafxujUgWaYKgX7vZvBl+y +raj98+Yn6NY6pCpqPMNgnPq1oSHg6wO4EdQbvZtMlK/w+qkgWb54hofjjfhA+Z1nIm4G1yq6eHK0 +wTToMq+4dY0S9cJwBS6ruI6IYXzCOEIfv7NU+2NCCnpSIcIE+o5fEQIT3qG0enk3mZ7EGx6L8TdP +m/AKcLDAy9nEEYPzvby703k9VMU2bamF2MyjuihWLwz8lnCSzLlMurNdm2jlwMHhvvE1HUu89qn/ +sjM01lNl+BJE1qm6esdZFORBZyiAuEp79KNBe1eV/Q4GZRko2+TQA+BtBV8Q/fgrAe0NXseMYQxf +ruxL+ZB9Oc++8Hfy76LIG+tbac1XU7diVfbdsu08V8OYEyYiz/AjbG2rtyy6htWEjHYpHzwa1DLP +w5rAQ5gIW2wB247qOtWA1XSWHT9lEx73JYp7PLWdi+SdvEhLJeQpcMifcw2HxkDKFd7FQMoh2EcD +eY8XdDxErs0I25RxSrjdvYcALwoDITIbPhuuGFnuUI6eWyg0qbIGvjUGfDv1hE9BWLfQozzDNxOZ +iqfOpQgIDPNnNUdxNVxOY7MeWiwslgg//hsrTNn1iO3CfNW4jhe6j/LueMWJxrpyoGSQ2sa3O45G +T77Dw+76dw/xD/iDbp1jGzzQC/AuShv0FmXHA9XqFQJsqBuTbMI4h85b568GTYc9llGVpT+hbeLO +cI4KvxPFz3YpzRlFwr8ayB8A1P5X1jo9UGhXTwiJyY7/ztUsydDakF0cWU8wRF/jRCN0s9lp6VBm +yzRNq4YmslbFXVFB8Lc/4tdwoewUiYzS8dn0lYZ24xdfspOr85QQPnjSatzZRU6WFc+koDtxfiM7 +3dE1dYCVwXatd3ahoeXaZZhPMTpC03rVNUgvu9/LK3FCA+ixcLZqJMljhvVEjy3jSqhM4Qt5NLFB +DCQHVEUgsCmTDOFRjsTJcZhuD1bc26zj4bo1yvvGOFgPleKNGhnTvS7bxmVByJYHynZitw2Jyf6H +A5YhnBBYVB421frXY95m/gKDcad6xfX8jPTAdk2P9vwpVlajtDPViZNdJuRCShYdp9gjd127SPNi +hIK4ZWFm0VposTv+jLO5Yn4ohy2d/T1SgVfHej3al8STJmwJi4scMrGcMsJ2NHVn4ve/tFMCijq8 +87O43VRcvEp4X+LO31EufcH2kQr0S3YhdOJ9/881Rhc4VWjw9zOVdnBuZpp3bhGDtp846Ddme7Ea +78bnvcKQeWmMv4gDR2azadydzh8WoQhOPJ5+Db9Hfpax5jdK0xUT8tozATRh/Pwb4uc1yPSknf2q +ApyGg5nE6TecoMIuHvO9ITUo7TnIiNI+//ENPFyR3HNUW8FiOJidVMyV97d8Lp4QrkUg9Wy9+zHl +C266bLubKsPhlzWyYRZ+spAxxw0DI87S+P8ZhvzIEA8EWWJL67MHe6ySl3jCwgd1LW6Lg+0mnQKS ++Nm7AXSDcvSJ7SKmEAVeFv6NYXDEW4py18CQWVmAojlZNdbFECNSHVQlIgbuDnn29ymTNzhrsmXt +ecndLcfkvnc9Lf9IEO+9HgPEhanIMSdw+itPFQU34TLuhrlTnPjaU66GORJGyHWYNytvn9J2z2dT +nZBLr7BXBaEqe3raWbcSAsUjC5SBHLp2zF2KTx1CtTeUU1XOHxweD4kIh3POueqaz4I/qhpJq3/J +Gg7D+DPbSJxFOkv+ocFCGJghJz8xLhXFOg33jd+GvJ/GXCTKQ+13Ckz5ygkTzfpYoz3peO8xPK+R +Ep+2myghlhrPy2St13eG8alKGv1qK0979KtOEBiRBUcmdgPr+Y3OBryi2GkA7W5p81VIYWiWIcTo +FOOi0/SArvWIyrpzUICz2N53IhYGysFxeHfvzvppHd49XYT8iOQeU9YMFde5nnYU9232Fft3195k +KJ61MhaecK+1u8B9HWCKAfEZZTmTe8+IecCvEy/PM3msh0DfKua8FznDgA+GysicLqAMKMa7ylKZ +SJ5h69hWfbDiXuWeWxYeEM15Cbznc7afroZfD4YoKSZKm/+Xx46L4isohpa85zS0wnB3vhkOQfiM +sXdexql5ZieXMIKYEX18gJguJFlLzdChoBFmvVEVv40m5YravB/Lx0NmeNHw2HuwfKPSvhsTuwVs +Dz1bX21QWJuTzQppcZDjpcG99FuqLNbFYrcph4LS24ThSLAM7fxy7TgIe+6KZA/5ym9DDv2u4kE3 +m863HE5FTWlwyilsx5tsZxhRS2CYYoG//Bb5TLhQnCsgWEtfb/lTlHY94u88DFKE/pLJtqxat6Dz +7HPo8oy47CkeEkxBvEEcVub3DQr4nEk0/vZLMovi5UTy3qhrYDD8wHkG5+yUwzQaghoRMw+ilS3i +jZPijN6Pe0gri7NeqzHKzC2K6QoUzb7xi4amQ8dC/OCUXZcN4Vhz4uDXrTMBvUmkIDDG3VhL046o +DOtV0mr3MrRBr4Nxe65Y0spQnXPBrsCjyZGp5Vgc5qY3/ZoFYtwcHMoVutMj2WQa45yxGPdu/GQf +pVOc6X02u4qHbGUwvTtmMih8s3VCuPNieLnTu8iG3rg8+iB9iWv1F7biPZxqGliYMzCsWMwqKJ6t +ySEqs2TJl8b+bgV1x2iq7HNxMCZeONzcikVS8BlPP+0b5x4ai2PuZ9F5zNXM83qtcGAY9rMBkeMJ +u6Z2a3hcVb4SNoin3V0iY7fdHSthgSH9QS9UEWxRjqWp25J8Fzj4UY5EaPKdC4g0T3gjf9e498eA +eexd+y/rsocYDX2aegiOa67S/mNR2nfXqL1tSpNCGz1mCXkBHm06vXZJrVSRyWdPMTtPZ8Bq7PA+ +7/9j4E7ygkrfqqCUBuv6iOfWrE/G8S++IjML37ve5q3Q5MgAyjKQ2G+zPSVdog1UupbfNlEPaPpO +Up8+BrDdd4VTxvr00m6J48kD5sZMIy8Mix3qOW+Ro3M0ih6X6Jqda8IfOYfWgjW8zY+7/x5oPasl +1jnCe8q1DsWEL6srSZOj87O0XaZpJqVhfsqczEHubxXdwhNaPdJ8Iu8itORdY0Ufc4WEGs6TZkca +/C5cSw2PSXIQ7+tpR2n3kC7K+z2l/dS+o6A1cGzcOai8W9uJ0l54V4VFnq0034Zj7XTqGOuUy3gr +X9bffql84yced2lT8FaVxm2TDkDEH74erLhXb9uI7YoZp7XXv7iYuz2HNxoBTT6QQlg6b+eaUnDo +/WwLhjundWbJxm0RhOnMw2BmKzHGUPjrO2ZuUGGGiMbDa5l5iChXfqE8ynSsQNFhso2CHYkCuVXa +8fx+orRXPN2taXeYuyf/JADjRGFV4I+fuk9WGewIEzuM3bYzaQqRxI1M4phD1NYLPndMmB4l8wk3 +6TLnBq4NhSlWNfSbakQxnmw5uyVXvfC78LgWO3SVdg51XIHzW4Tm2zfwRolzFoyrbJsjJ3LOgUbW +fFt5XBQYK2zmkqIc60Exm4w+3w8qOz4LG9yaJ5yPL6rCwecbFCHTbs8xFMp0OQEBJzzqsBlLSMhA +Qx6+izGp55lzGCOVdmLxHG+jtHtaHv40T79zNxkoWoDv697bwWm56e/6WfzXnyhDjEL81Gfq3Hok +76wg6lghmq13D5kBW4lpvYvpPtBJVRa6UBvrn/arzEoMkhOCdj9qEQgojcl7aZP7whuDwTm5b+J2 +dXr/vvIrBwtjvdomPjYOUwwJbcg9f4rXVvquXmNlMIT1l2bZuQvbPtqrYGVBcOyOuQjyquA6/yvO +Kp1t0CkjH3j/sZftxKMkO2KQ6GhIWhnmuWDmQLOKUbnC643c88DfhFCQpbtn7hYeuipfppOGXJkk +GtV2LW8KyxU3vlCBLX/HEvY+35/LrRdQgafFIolgcVAemMfTbprKOYftl2Q+y5zWOIH2a7cZ+sxR +4VGRkU7ialK0VPnYeV4O5d/pdTxrJomKh8fSdEsrAdtZ+INH4Wl14ud7+I0AKUQ4MhhxWJX0+pht +hd7lZuQV7WmIla7zqDLkXFfmkTzqB67Ii8pkfMyYmp0+565TerNqjLNel3Pd4da4Z1+q81I42vyd +/sv9isIyrF59HXvIdtxiFj7btt8aYpYUPneX2ZLEsc7UCXrZ0kx0OBmPXmmff6KE8+M6nRgqPmuI +OkdJ5xm5J04rnHbt5zPIXQ0Fs/4kaxkH/lffc0pVdDpnzMLnTgDOr5rKdc4Zyg2RGY3jWC8DhmhC +HI8g3bFWkZasJgAmDFk/+IlcZTIaRtaWu1ua96Fke4L1ed4Blbm6zSrT/d7DFfftXiOeJlMioaqP +bgn18CCa6b7A3/ZQp2mEylaDhZbWniysk02klHRgyeWpd4cMElHK9YBgdem98/uk8SKkQotLD5eH +YTGlByOMhpwKVqkwJp4Di2MXRcnwb7wn5kt3i0WvGd6lrad9H47dCaix5hLXz9lR5HszIRRPrjGf +Y05cTzhA4VjWpvorMcB3q5RM52TgfRmhFnLwO5ktaaRagqwoDc4Oc8oPSc6d9JL8lwJPKrif8RoC +ox61XzjUYtiiqRj/+c/n8VxYLMmwkzcoytduPSLwZ+DimpPfH5AL13iWnDLGlTsLLN5kbnU97Srt +K4RBPWgtHX3+GgXghgwL7zhMpfIwwluRNQ7jwDj3MdtxV3j6X3IgZ/58jTe+SQ352vSRCJinFt0S +jx7aEb14oJ+WLA5vUSqyXapP6dS51IXjItP08JuaVG/pCENktnGLz4GYqYPFwrRcCiPzxpZMIylq +Y/oqQsriseXA3MEcyll4TBeoQUzqLXmPHYwhHo6NFrveXrr3QOTGbU0zILDVGJ7SSy3O9e4fOgXf +Baf3S4jRBrqv6HNEZiFDflbkcB8Dcw6ic3BKk2r9nP5Mh8e8cEyGyuTAquM5xrqFPkM9OW5Hy/s4 +BAKHglvlouaAVonS6Et2GR4XJ9we/sqziQ3sA9ThZzSkVN5m8NyENHIesB1zFkM+WhA6sSGrwYgM +SwmDQ9lc1hAs+y0Cvq5nB3vx0DdnO5OPPnAou4SDRvQ6x0upzMAjaopPo+2MrbVhcWRGH+8/FFbG +7C5leNddy/DuVxmuxfBWxsZ6z0Ngzi8nkGeLnPd+NPzPBf7m52Ycjmt3LPlbWAzxaXh3aC53vXO+ +q2KrPqFC63wyU0fyxZt+jS+kZXj3EXC2CQAfZlfEtoXH3M2mJyTOPsWIZmx36PmShcjrLs3j/bOW +iDsNpnrdF25X4EzWHD6b6tL0l0Pjr10HhaOk73QuhubuzDhfi0KY7Cv+nONiDBocKcqjTEV4j6Hh +6hpeEp0OkyGZ+3tlSCFCMjiJsVbWovu64N2oqjxiLiZdp5me9EALBzQNHO4kTKWp/Go6ZM/kFFjh +7eFjebeNI5x7JpjI2q9Tx1AYZY5e4zofhUVdwB1gg2rkNZ1EXZeiKDLbjCM0YoFJkeSabfY64YhT +BDh1ElpYEXGc7EIqj4Zv1EOtXX113Td7CrrI+Etgdeg6iZyLybQnvxbdh+dSuPHpmnTErDHqOz8y +1X4+EKJY0SDvegmHmWTk3eSlL3PQNLuAkzXHwnovGvpmML6bXd5HzFOMktRNsA6JZ4rEM3NQ2iqD +rXUzNwWveqIpw939MFEA09P1VUeCh42T0evQOCrvOgc5m5589B6QUu6WRCnyjal9kxJSI8W1VAMp +NJd31cG4t29+2O/28oHyRZ3W8l3bGMizKuwo7nmUzxpJDUs133+WGHf7pB8zqixUqmEkD1tyYjCV +UrOVYS5nBYcWTAmcHivEZybYj3mTSeVWenaAVTRUblHYzdGaK3NHJdeT7yINSxKBmm0gz8YRK5xD +GRacKRayCrWwLwijWP0PBxts24MM+oz10O47gBOCm+fWMAsVasbCdxOUyfk7gEUpnJj2sfbhwVYP +eLv1Zly+3mEpY4rCtFVmBTA1SjcWP1tL27a5L9ymOKpej+RNZpvWCWdWjvG1i5yx/ygNboEZV59m +Rf5nuGzbuVqU3m8pwPQ8eFsN3sO0PzI2Q1zM7iKKVNqfsyvy7CuUePD0Dm/ymx8wpKDRtUWI8Nq9 +KZ72io5mV5woabyVz1j/n7HITXMYBosbOv7M7so7Y/+ZYD9tbgaveP6aCfSsFHr6yZzxZGS5ckEg +/3HD6Jy6B7+MDPagPQ8IRmlH9iY857zKexYA059aqgbhviQVpHGiIxUTrrUHr42Ple7SUO8lcCQ/ +th5cDV0FfDR2ficY8wg9TZFnei4FCcp50tQhobYFT2S3pLHzcB/tKYCd9yoMtWjEsfZ7sJJzZkm6 +PpuZeobFLErmvYZenjlZY/CaxWBgRg4zOsggJR7T/PYrt0C6xuBcLwZOlJ4Cx/AX4IhSL5xRoWPc +5z6LcPKDn6MAUxHuVoNdKHKYgyNzP9P1gnjgHLBVKMhb0NYQE4s1NXGKeqTZbTROuAufCn/PgGjA +J1+y864qPkUegG+Lm2y+Nr97WSRdPDzQ3BWD3NV/uW9o4/In+VKDTN5Vxjb8vGKej403VSlw/gCg +3i4VTo0zCzBtdzmP0TVyFHrBLylM5P96xqohZq9V6fVwahQrDU7gVOl9rD7bsE1qDoxJhahhEvzR +h4esk+rNcwzIvCEyzOJp45HpQFUkirIW72OHzFXJsXiWpKwHxGUE4agH57wPv+aQblInAnvl3awZ +PQl37DHrEKDseEA8oAPriPXQZXWpXC3nTAw7mL1qGvKzZ1I27mzrIT82DucovBsF2PzXNR1iUiY2 +BuUwhnaTTnDLu9JUedT3EOExGAVMg1o5cw1N63rtGm2s/kQNmv+jPLuGygDNerCxf+nSxVfiIJtJ +xfCsvOv7SV/dDDBOFZFhnvrIKxU83imF8R5F0cIT8uwEXoxRUnfJrknpaeOMRSNsqIKpSFEP4sc1 +JolP9x1mbQ8qTKJcKYan873KXc9hOc/Fl6oHcjgpIlV6rUUAzYe1QvhjeddNLWS77j95N3NQZ4Ew +yzvi1LSU6I4mB0ghTHkXHlBn3OgM7qHwZo46ByscOksMo6pwyP6GVjkS+Uo9TYXO/rsSXZyaDrIW +AktCEi0jGUpdU9nA75JhZx8PPdjjXrPKuIAZEziyAAuIcz4be5ytVpHBBLOy5jj5LxsdNYcOt4Ru +cpYvVk0e4bplkxzmqoxlAizxqq/40evkq4ZhDVAUkh8ewatKYY70XAxiWSqshumQzeZcT5jHoWI3 +ZTzG1C9V+JMLXoYtkt+xeDgrJejurhX54RUGpp9cYamNcT+Lk3qS25zyo18xFty+Igm7FcBS4cvx +OvYgrLSn3DPWtMaRllvuyqkMnmvB3scI2++y8qjwkj99fEsYm3GQxrKjHDsuvPL+/hEl5npuRdMG +cR+wuo1D/8BEz3egz4p8hsfseryzxrFQ/oDLbVrST9nKWxQVw6zm9gMDmxHmJwxDDYAhubtvCU36 +UOJ9bfstuWoXKrRMbFN5ygdzFsIpC62kXrj7ktXxKMQPv1ksb5Ud83kPrxre8JxG+AxcmUVn/F/8 +3bLSU2GY/N0pu+4hoq7xheGL0lazRKS2QdioueLlQSjl5KQGpGM4I+zKFueUxrjzjD4yp8R7UWrW +794jA6G/+fqbNZx0qU2q0168GzgBSQVABcG5UeFo4S9FllLFszGUo2y0cfFwipY3iYut85AzCo5h +RVGe6v2du/U894xLzayicQ+dPZRevZbHDkMXmg31VNVDpxUOR1BpCohDM2eZ993OE75yJpqmDzzv +FrRjh6Hx4KnsmOoRPnKHEbgX7IYl7kw5WmKxjfvXmZCtaWnUdWlw6I70AIiXvHvPG6lAoM9dmne1 +2+d+waX1N7bym7FriuSWKHXHip2vZCjKGtasJcn6lDWovV4d6LTwbuCoS4bzZHcOKtsEvs7jxBS3 +aN4HpmPP0Lf1SHSuLMmylaJtlaFMCGF+fpSEKqsUFXN4Owf8qjPtmDyq95TDpPRMSJl8kLCmBn/p +Tpo7R1UEE2JWnumSdX3gL/KQzXZQhzHCepqMR3X2mrTCYjpVLjiP3GVi7Z7/0BAn6aK7xsL9Rta4 +o1941zm4w7vxvieLiLCClzOl9bwjG7w7VqdSh4J3owPhuPLAuCGZ0GK1YPeLa6MjIw7NBhnRo/rQ +M4v+zhysYSd1jsu7Ve5K0901qA/t9j2D00fHkPU/xu+awTZ6pHBUPZB5CNwWofSJelZ0UfSx6GC6 +27uSUPjyPTi0GJTDrTko77oAVb3MtbyolkdBPDWrjOcEDPXSAVQPHyfkQDgc6GFnwYMV9wa7NF7C +O6wMafc5VC3AVXiJFLfZ1db2MZB6t55LBVi92spsvjO92p0ny35y1T5od81Ceu+q90pbUTBaMuwg +AVRGnBDtxn0YOBqFu1yfjNHvgdNJ2+4n7TXvJKBDOI6NQ3y8/RVHIopru48Kz8GBn+9GQlpozkOh +iWoSpBau/cqQmrcoKs3tJl93vTx7rzA5FJ5aQ2beczg4b4dJS/Ekc/A2FYpyLfEYv6ZSWnOVOFzZ +zkNHreISKoy5wDf+wubzvUpl2+F9lg8q79lFakC5U2gYz6p+XwVgnR/75sOx0akEHEvXFhln5625 +dG5oGcOKuZ6rPcf82/6d69LnMbwr47TlgW23cVXhrLjoM69PxQMoXHPYbJ3979J/oV9yfjf1pcrl +eIG7qcXUrRC0xxLHxBF6OUX6pOg7Fb46TroP79rHDj0jc51ju/htyYLe3XbBEZoWPHwGegqfcv0O +yMYWqbm/lck1guIT3j1lntpFPUx8CDld87g3Uvc8qG6ngq5RyLVdK+Ng4bs2vUX57np9St+7vLuL +J+Gs2U0+B02Zc+uFwqa1y1XhdB3d+tjKwHQ8HCtIdJBe3DiWpaUqfp9L7jIvosC24rdxlxZBozzm +Y0vvuKcfncq7XUXA3MH9XHJXGVqMnvCllyKhBYN64lbP9H57Sp8Ca9ccFL2n8m4ds7weQ7LN9G2h +iX7jma161fMo90Jljk/Exynutr2bhqeO5x4SGfQxpLZgyHB3/96uKDvAHGtz373ehK1Itr/251b/ +n4yxde9gP6WtrnFUq7HdR9c7pwjcHs+2veSxuXb7L383yvkdjvJcGfex2PJ6LwfEWhr+btrGquTb +yycpHQ/gpLZX08/1APfhj7THIBrac7W2Wp/5Hen5cACPzLljc+wxsJ7K66c+3wcZ7TZPaf+UZ/uM +43M/U8fbXld2+zww9z/b0D4bDvfI8qoMtft8DO9+NqT0bRgY961N++Bsr7WfA+cPnUN9Qc34d2i6 +93DiHrqf0sfnwM0p/Yee+2DY+e63mKe/BU378OXnnqMPhlOaYFpUJb69I+mY/Tttq/jgbY+uxxeG +VeTeYS97m2Uer7ifwoCXZ/+UGNingG/5+pFCrc/B0T7PtBF76vNnI8ojcXG2cVwa+rwYOETnPzP9 +/8xj/7zUvrT+V8LAhc//StT848AiX8WY4ENqYxTLYhtuxPclS9k2HeRWeUeJT/VsnsnWn++2Uxl9 +CuafR3HXkNmddPu+O0bKPm20n9Eoqt1eJvwfZ5L80UdyKl/+0eE5ZXx/J9j/KrCeCsepz5/CP5dn +z4uBPmveeXv8Y7X2d+bVvzPsvzUXius+V58zQWkHb/xni3FvDTQ8Ysotv+NATGLPVHvbMXR7ld8m +PjqpmXk/xopvYnnkgHt5R2NEO+ReEzvttXF3/1YZC7/aYR/3QkAydlowNo4xmzM82R2bFDENLGe9 +TDPXwHkwHeCh/jgJPeKwZr16vb83Jj9JenLda6NdZID7KYB8bwsSfMR6bN61jU/G0O4vbZQY/4rP +3G/h9K8gZGrxneQq3tnyEgfh7SYf/jZE8UE8dYwfK+efm19bAw3POCH39GHqsnIgLrGWehCOhZXt +hV/+kj/qzX2wFDh3+ehB+DzyUitmdvtU+6yCnxvm/iQes/9QumhW8fE5aVrGsG+eCwi0SN5+eHdt +MPjekIQOiOv8OPRu5I5tfEY4bb7y1r5iKq4DmaeuYWUoO+tMJ13r/DiY/afd4GeGNV3tyIuSKnk7 +T2u62JPnaW37CM22NP2MdN27dhSY/RXZW+Run0OG+wjchzcPFefpZJgTH7gnG1trcVlnUx8kusuJ +7ebxImt+L95Vt5lVgrb0yDYomaPNMzmQuhMH3w/qLpkr35wojx6SVYaUpqnl4AIi0QyV8SC0x8i2 +hxU+hehsHvcnVr0kNeKE5AS3nMI3D3fSEwH8i2/48uN48JqUU0kzRo7Or18+GUwZ4xvzb3IgwXR/ +1+TtXHFceOyBV+jxlsMKZhG5IuPB1+T4fG/1TTKJbEijc006n+ekIzQZw1sOOXpY0mtCykWrb34g +NeEt6YUyb8HDM1KZmUbIA5cW6PF6Sgq0K3Kjzn/1FP46KQzHpHaq/G56Za85WQd+tspqVzqgfhyT +p67oyzzmE1Igvifrzo2VWHsKlRRA2bHczL5TM96MIPykXXmwxFWJoxXwuwBPTLFpjrC6oyPKOQTq +GJJ+qnWvrnSpagsNRqbvJQ3XvYs+0r6ZGFDqZ6bzFPGRH7WQEg9xQGzJCXzz+teMNI2tZtVTTsh7 +KHGvID4Bub/loyVuzVRzpkFtMnOARw4YB7emSoVXh+S/3eq7jo95sLm96cdTFR/mLE7mCzBm9pKK +qwqvNDPNZK3Kdo4UibXtol+Ykmxi3lzz7XLQS34y7dqYFGHDr5/F4PVwcmPX8b0ls6zMaeGp3QOn +++hkP7KWaftEWIWzCmfvq3gkpy94cM5YkO2cGXToY5S0etDMnPwsYqtf3pH9ymxRTerbIfMn9Ayc +5WIeJ2XroYP4O7gMLc1dXOnl4UkPf1U9y9+huekUCi6K7OrMiNFnDlTefWmuapAuQBzAX/78KynT +EM7mdzfdbWjobXjOsYb2zOq3Fr7rUDzrbeGUXl5mxyjpPbewWnFSmpouotL8QYrHAcC3/ItsJJXv +CkGX1MCFr5SZyayjXCpNZBmCb1Mhu+/lDrewSjAbMCNNVYLCu9K0wOnCfG7eLTyZdImk6h1DLzOb +JWOMOpk8/exJkryM5VMzWlj8imtFxo5Vn2I9PnxvDtKG9TtqZhnvV94Nzbkvb+8mbuiL00PPFZoG +JquhmwmoFGs0Xe3wKamdk5JWhchG4GNOciqzesmLQ7zrodwqk/39OeVupaejl0cpQiQ8K/UrD4k6 +daFn6sK4nBsqzdQ1QYAyeGl19j78u4WDdhLpIc1o/+4MbINDdK5GXp2fd0dkz7LWQ6qeb/USHKjv +S5V6BhBam0teApBcfmWKHbL2mTGql6FS6WY9BT2nKsvOjfYUD+/yjzqSl/Kq0ryTZ3XYFZ47+qwE +EokI1nootca3Ky86BPyjFHfpqxJtdaurp0NyclsxktS1KNsjFzvyUM4A/uW35HZOrq3B4KcFeYp4 +8SsK0DyxuAUWE7UuBq/IcW3e0RtOTyctKnlIx0+uGP5oMEMQfvPPq8HVrYhGUf+/fkUnHA1efndF +VU6zn6AMMiHHjOPbVyju5B5/T27TH9Y3yQeu/vgcgj9DML9GkCx+NA/5dPAP+rQQ0E/fs16hVMzI +qfyCd+XzG/I2m/j/OQbGHMLeaAjMS8aTTuIdfiDnMKFZjCk+X1HUaUTVzwVpm27Lae29Mdo8OzLn +PQJqag5SeG2BoJX3xioRpnh9AyMzVo2BqXnmqwLQoJ755iKi4cTihEKSghxoPiOsgFRPhC4kZWqM +HRUnCGF1MrMUmH/fCmUb00y5fjNmCyKYEs40VSO0eQsiyP8b/3b3xEluvwhsU4Cab9acu8nOw/ij +0CYfWTNLp1ZnU7j2ETSPoMFjX4WzIZ1bM0w6DmcrTFIdVXDNC0sBlKSgRnlPmeavrDsArjRqzIEP +nw0QtmvSX1oEp9MgpMmNChwFp7ZEVZmDUk3RIu4ry8ytXsofNNV6EIRWt+yWA90oYQzWVpgwH5oa +C+SHlkcAejmnVoL58yl8lmIgpAu0qqgCWCUhJGYYqy6l03GiwCXPdT2Vr5JD31s4QMMG2RAlUBlp +fmV4b2gKiarcd0Nz/Anm2QSlZ2QBEyUQv3S+zGF+U70qb81lH/5XUUehT5VNS4BzRfnZHjza1xWN +sWO2UcEzR3ud8CnCxPwri+XmGc9ZgEo4RULmMTRNsZ6G7g++fB2gwrvk3s+2WSY++44qBqR9U1Ef +awiazx641ypFvsPz/m1RsWTpKMPbO5bKuxaaChx0jOxwwRy6YDJfUnBJ3s784Uf6Opaans7Pj7xG +4liHBDJ/Kg8jgxasNVZFlXYT1iOL7IxQ/tYqocjEKQWa1l8+IV2mmXeA89g4nPd4CkPTUqSvpnwc +1uqh4iI0L/AJEzK1yW8uiR9J04LequBNrQargg5MS1KyDtnOHl8xH6Wfct1+Jbly+gnKHyl+kz7Q +yVqtl314B5fm5R9QbzFI8TfKXNJcYkAHCuVVaM5fbqNHXkHzPsZ7H1rbicYJjoTZP54nb/ngJ8aP +QpsqqfCXOfkt5OicXUNvve5jjTZ4fUm1dYuQHeTdcmtj0cWnzkGFUOFd4IzcDe/yu8rdvNPI3YF1 +Ac60Ux9RqDMO3h1jZFPehHWYuYeMGbl75VpsXnO6HZEi2/VkiiPJdV7nSRR3x3aQfy1sKRzKVZmU +zylMhKxRqG7lUZVXzlOeU+56syr3fei27xm6U7eZoD+OoJfZnzTC1EmsCbKGv5La1OliXQ3FRzIV +oufIY9QtGPwADDo/j8rdBgcbnREpwCQcvO8yJr3m+QKayruV5pLTBwrvHp2iymV0AidPLCg+VueD +n+uOY2ihDPADz6f6b4Rjc6WqVlHaDuD0UYp7u02NBfNv/8LEucE7HSPXjDNc6oszFqBX/4AoXyzx +yDeVLlM2Ha58DuM/QaC9/v528NN/v0/V03/869ngpcV/zFMa4PHcWxUMYbSm8XG2bZNxN32YN/1b +GPuZFd3wkD+hzVevKBy2QqnwUoAhQF4itKWDYuY5Fnku8HeLYHsGwcYvzOG7HPyEENP7biGZkWXD +QoWo24+66ho9R4DNk6uehRNFzuneefHQjMVHJfDDDUYJuw9Lvrv+ltFRkEFFIp4wF1xzE7vIIlDN +9dpo1BoxCO8UFkHMwuh6CK1Q9gRhbmXEZbzqTIoFuNCwwpq1cMcTitQq8IfEEVkVdDEHN1ZLJbf6 +nEqmI8qWP3lFVU3+u7UwD+N4Uph8rtcKnM7M8aRw4PtMzCWCAa0uXhB4Ry/9jDE41D+68t7ktYYe +eAhGLBzJIU/hGhXOGUq81UWBulnErMZp6Wl4ykVkAh5n38N7WjouZF0pyrZKO21BL3PTb/QO+uMk +d4JozGksKaRdPL1Xd11UmB/jvVSwMj9n3z6Jp3luNWOUriHKbapLOhWh8cLqwe5YwVebd78OVgjH +KxSFKPPH5VDD+i4aevOVk8LpdqFFXFLkhc8aeCqSLsilTsRGmRAPJu+VCpWd8+jIA9mNYnEYUyF2 +jhdnA82GT6HXN/A2+LXUtt6d6RxFD5zMMcZWPyIrqLQ6+ydzmSos2flqV0Te7S+LpPQBXmiXAlPg +KHCm4Ii7VnWueL+xSFI0JMZb8V4+BtAoPdcoPXqfWRjN5U7TGqAzqkEvBlQLBbb5B2BnB9NxLi2w +pTB318E85MeUuzo2F0lpJgRWuKaPGGYoxWgLDf9aS8VHXBes/bAtjsJ9ebtrB6MPHsCvRufUQlNF +gblLcVt2WS1QZA0PlVzk7NV/yQcU9PmVLXsV92OXIjU7UQUO5seGInHNHARWK8imOBq8q7JVaa4S +L7zh3T6AHHnGOaJzB0NrCr9a9TcTJ2ux75F4WXxCxyU8HKMT1pqNgDM04KGuhch5rKJaebfkao8x +nYlbgJBP0UdSoMiuKQI10BD1OpPyruNAfo3SHhalJz8qEjE+xhYIsuow38+/xxGHMvrk+69Zxyas +cwBOutejl7JFfQIIhqlXwScLv/mjp1ZcOl+lvby7pblI5EvrVzxG7tbBIRcnVpLX4FL5G+n1kqhN +JOIS2TsiomCIIjvHQFmjfznqITzQ68ouUQNH5Cq4adYP4ShzNLJJhVR5xDPM/9Bcr6h1N7p23roG +ouPQUVsUjiJhq6wfzEGM6rG7DO7mWw/HauPulPGMfEUJyMH4P57iNOIR5+gxuStSquGs3FGuuubo +iKLQnbVVsCAaGYuhFgcJ8Ibmkbvc293l/gQuR6X8LjfaMjL8SX+ZBjotnCDoyLsFmFJQ7DjCzqa4 +x+Ovkg1iN8UT2QwQiy+eXY3G4eAVCs0NCEmNmARiuUalTA60R9HBg7+EGz/y2btN1LzKO+EsMMkz +GeyfKJe8oHEwJ1bG0I1XWJjXMPe7dx9SmOcKIfr81XTw7eppU4kTKW04jLLs2VOs0bTd7GIqaozh +XuANdQdgCRxWDNSJ9/qHD/Fqu7h9ko6wixmP3M+iYW54Oje8yLj6rsv1fI7CO1tS4W9CAYaZCi+K +M56jCVBYvTXGHpNvbvUCt/uZgBu23xcuml7qd/mAcud2sVb7boB6EFJG4zgzQwx6uG9Exulcn8sH +n4iYbgQWX5W/YigtzdOK8p5Ksj6toekDCeFh/BpOLArTNSFTFsg4U4XILryefF/YGPeI1XCkRxkB +tvhvYHtNeIzFJIBBD8j4GjpQhtniPA23CXfjkQr9/fOYYC8L8UZvrrRUYNYKolRkjWcAgylnMRQI +qVjIj3EN7l6gcFm5cHjLvYfisngDJiy84SWrBGuA4LFz3lrSfMa8m+sB+f59cZTzfYXPsTVgH768 +5/BV8NzocazCqeJO4ZEo76muyzMqOjamULWQqVUvrVqoALbaZc0xHNlz4kWzGiZWEXXDQg+O2+oq +Aht2E8bAOXLrWY86AmF77oN5rIfPtdSM0v7OdDg0BuRYqoh6abxbSls+0PueEDUXRLVo4DF/s3hQ +ebiC5i6W/phjuNHnT7/kXUuGfwWyxfn/4Kmkuq2XO3FTdjLXjCu1GJbOw2YBs0K1zhYLMK0UWBGK +B7ovvJudEWkaOAoTzHhPhUhlUbhTXp1n9HSnyBtyyfAdlUMViY5F7CgC7gRQQp4M6cgugqijSpjh ++snx/u8yEbfx98LcavkYnBpVGqcpT8r447Vu2t+85HurTCr33D3xUv7J33rirT7q3Pb9Y7nC+1B5 +O0baV8GQh/Q++0sFgS7Xb97DNsQGiwvhc2h8FCOOrvHRKph5fvcSl67V4V3nqEqejfIbJS9whHel +KZ+lOfcjC6h4udHRQxFCy8o/mHcdk+05BGknb+IpHbprXNipWYY+pgjVONvKDf/6QGTl9hJOrz2w +2r7KmoaK87OGSsi7zD+rpCbdsHBWXEhzvty8oCN3IeTbh87ROkZhVall4O6SOF8blm5ZWDEimm8n +OB6WKDmb9x+YSvLZkR2xikeVseppL1VhMwc1KJW3PBfe5WN4u/KuNI8ThY4fw7vS0fdfW05LvJUQ +5/TZ7PovMXzdsdaoDqzomBYXWwF7yrWklO+RK3hs1kNpOqQv1w8LyblTZpVWjeqNk0VcCKMGicBX +muujsrBNPRPS7k5+ibzgQ+ZhQ4+732XORHGF73KQpihIdactIUjcj+27hydb/Z1PcS+Nttip+YbB +rFjJbhEgCwSkCvELvDCi+a1W/x5c3x1QbW76rPB8cGuVF66wkMa4ri0v7n9PIPBLtzq5fjaG3XLL +TNTnlOx+jvdhURRTDzLcxCN9d80YTy26Jqr9ecqEnczwmMKkb36cD979zBshxpkv2+yhsKfX0B1o +PRPAxxnK4eybZ4M1ntw5C+L8J5SmgiwqjKO8E040zl6m2ItX2wmB36kbiIII25uKaw+DuO1mDDr4 +y7kQ5w6/9P7P2Bkx3EZtpanu5g1+VGi8z/bkmlhKLeWNHgHedWvsvnuHLyNcXeW7h/iHecKJLrzA +N0SQhZdUaII8/pBHCU8aYumP3dJTFhkqY3EbYy31xHVdtlmVX8mXk9plplX+8bdN2WckmYsVz5wL +l8bBOjFp1qiKEQtIZKWTaXvC+WNzSJn+Rxy60SNvTHhCZA5VLN4Hu4qOMLplU+FTjlVYBE/wjYvu +O3+6cFzvhy35R51Uw0QlT7gbwLYVL1XafXR6hSfsn9DdsBl2n5pKsjL4ccF7jy6c+bnn6axyQZr7 +0/Kc5rlz0bSIAs8gyJOpaF3c0PHseWWR4oddn/E/8T7fYNRY/fn2fePR7XMgL4pUhaPAZNsR7AXx +6lB2GVi5Ibod35lgjWKAcm7V0DGyfcuzdWwq09BhjCwb4xTJwqpX8Wc8e57PcPzHxlLFmXDYpou/ +l3DICsJaWaKw0pbm56SpziBCRqxq/ETPnQZBe5K4hMaqbAbjeY0pRvkGQ3iBUpTDfl1zquLB5/Q2 +Fx0k+NnpLvIoKe4Knc/5C/3h1h3MV+wKufO3HbewfWwcJPZH7PT4O3YVinPDObpW7vbkrWy478JR +ebfK3UrzKgt7tt0LHdBmszCkEuP5O7cQPr2CXtcWdgsNZVwA4wqdoJNvfa/SzPXDcVfe9V6dl36u +ilNo3sjIXF380gtIjTColcM0zEPDq3GUGFrqbkmcJTqEXX/YsdORFBZGbqWC7A3POJ6eedAb41LR +Dhx0Gz6p/FvHW1WlCme931Yg98JWhUoLZ7vzIv0BgEp8CjC11ws7sLPDa8jZFfeGikFD/o3+wn8q +7a/ZopthQb3E6z5R4amybQcR4vILF860wge3ZnnvAx5bvenP8a6/yLZRg7VR4s8aWWws+8wDRky0 +HB+jm9EXLr6NHvMOL8BbmNqzHE/w3l8hpCe7+4NoJDNjsbWOpCuKmKE4fSd6Tz7N2E7KOe5cgbnX +LJxzzwZwSMPtwisswjnbPGuzmSyr0CrjbQkQ9jE+dXzWBac9+co7hiSlqin33KLK4dOyzen62qiO +hOJAGxf9NR66Jd4Jxzgqu3TbNvIoz8oUToRKc/uqPz5TjNzeOPy9HwQeYVqh2KqYN/qO4UkNnMER +OPS8RA5KqROIL50k/PRezwovutUnCtONhk7pJx51r+qRqbKjRf9HoUr5An953FTPe/xbxUOQIlrN +RKMLHqTPyYun2drVgF7++LYotB0jEAThjECunqXCL77qfRcS5V0Jv9mC95AsJ/uGEw9dAEKGICT0 +nqUTftfS7RHCTc8xXtw512vFe6FplbvHwA25+Ec4bMPmhK1dblj6gmN3XIaOKwpSM7azXJlrUFSj +DLkZFDKxHb8/jT6pP5F/Y6DCvIx3NUKRdYHtjMkukz0LKl0ZYqEHvyp6VQZUeFSUcRCY/yN46Fwg +e2ChMshSo9KzCGiuDiATT5q5vjg+mMoYaLf+wXnO6DBv9egN+Tnohc4QCk0MF5B3DXvC2GvgKPd1 +z36kX+FS8SkH9xMr3MN27wFp84hDSWikO98oertaVVse4EAzpE8DdW58e0ko0an8VHrJ/M0xkOan +kDvjKDBtUKzCu8ZMt+V+b4D2PFhgWHPmLC7t4rTbLtD2Uw3nGEmNPrC1j/ReM9h1rVjZxsm+cUk2 +DXjgGzof23xZP7PesSI3sJ5tgjZ4Xcszt+wgsLvaXqbbQw1szlF/e+bNkFd5Qc90X2VWArGLqyzf +8q78LF398bMecHfKcpUFrPci1oPoOHzGhNxOXxLLTp+LH1g7dHCptDOAHMVRH8whef5AZhiQarSB +8f6dMe42E/lsuNdWybwva7IFxb0aaprDskHmdmfjE0jEz6lZZdoFmGzbQ6ryogb3LcgOzPuvz6C4 +HybOOxTmt3qqQPw3EKdrvuy2tGSOvlVpxco2Xu2aGHXPvPwKfOotOuD0oNvuFy4AHtjc8WjOWTje +E9KgIv6kCs/SUR3PHKHngdcPeuddPHszfg/GfOwjEZAwqucIUNgnHuL1gA4ehzlZezyYMlQgnWQR +HB7UipCbnEyX2cO8Mj0/jYxAmUMxc+cEb0ButoWaUkZlRqU/Ck3xcrQnehUKWQycMKX9x+Lpt3i/ +jFVDcywPyi9+B+/F2V7WquhiGJ3aVVF9cZoYM22GFndQOuNnhcV2bUc8i3vDCxQUYxURcRYJ32zN +SoSkHQqznAcTEdp27cEhj+YSQ2qojkod3djTunhLjLcccd7EbciFSvsbQoiystjIkctGHLapotwC +D9x8UV/LxC7ve7viwu3Ps10CWfCmUofDwfNLjYZiP83SWXv0/MaGjDMTztOMn3H+5stpk7Wixh8c +GlcVNls4aNct2brdWGGvh25zYKks26cKzk/GsLMgmPXH2HIZVaWV9gOzPwHU3TbormLHRN6ozB7S +IO71VQVFuCMH4/de5XaUPeOMtm0/GtBWdzCOi37ORu25MrcIk3Q+igZ2cWfsoClbU27e7C8HrzIB +NOZU3itfEioTRKmcN0y01S1r6MbZ3JWtsbm2jY4RiHnouaYJSruK2oozKytCaBrZ0eOqYkUNNjRT +gWrxS+Udyd0IvTswe/FNjzHkEXb1PMe1XevuyzqHGfRz5mTJLoThSCagSIz6O5SDrhj3OozAoTUr +HDuypsrl4K5aJmcFsuEZHYdxFlSPTBlcjJTy4866nnbg3eBkM1y7l/Fbm7WhzNEyByudg0h+bA9R +vpXNOjbiMT2T5k5T7njNUNoVRXPO3aw9V2Mfwu/I+H5tRrZyLmxCMhKzJ40I8ZtPO2LcG5ZpLhXl +Ok9VzNsk83PCf3wQuoefeUbZ3LnDaAdael04qUIvgylj0hni+95rDJVD1/kVd8fgT5u/AVwPnf/d +IgB/hhgeIt2ehdnl8/vzbzv2zE+evSHW6RcOEc2wgpMtoIC6YnK95dDCO+4bSvIUyyVeTpCv52i7 +Djk8+2AAhNzdU21szfDw13ogiGsbs230BM/Ekq3WXvnSD6J6z40u2u55RYGb8ANjvjzgCvNdodwM +UeAnpBbxoGi4+8D6dK/JSqt9Y85kNX2WB7MUvs3Ct9uuITCOY4NH69NdiebsggdejSPN5Vz3OrNs +OwXtn+VZz2SQdcPQ39l3LeD8WGyatUqeux7GCo9x0bgl2VfnjDIJHQiLCsur5LUv+/EraDFEgTae +NLrmGS+zUhjD7eHMjd6ul83h56bj5iDu0INUZilhXVx8TxYW02J6dSnt23EWxg0cfPkMhVKBuSMT +hgpd4xDFoTIui9oDJtQh/IDvKKueW/CMwUsFuCtXoWd9T2+s43hO39w2jWRT1+C44C1IAUmsDoGD +9t0Z3L2cyjUbT92iPgucxTAqVf5WhITk8qBW5clqhDssD7sT2555HHvt8KLyCQylvcABmZIBad8F +vnNw00weOex45qvqVVvatdqXfVQKHGPOdTDoBYqtGVc0kvvAu7XmeNz4deEwsqEqxKJMJb7GuIuH +hIP1EdY9cZEFbM+zdf7wO9nJzBRkCCM01ds+QH73grE2XfuRdzVQnCNtkhVlMllkknHHF88IZ090 +5DGXL8/E8DsBbnpSy1TubKaInm32Lr20++DwXP4GWPUefo6r6NPB8T762iffR3F3Lm+NmRPmqW2g +5yRmPXMQ4Ns2vv2ayvmd8gpc6nnvu371wEmTnQ2HD3JgAU/G4RPDYAcGPLjNria7ENn9lb+qYtGn +I3HVrB+xp7c7CG3m5nOM9QbWzNF9se3t7posKo21WM5UbOtd+H7mB+0lxp0/3LGMrPECxiKDmvMC +LiaHYTmb4p712x87B6Fta2FbRKmMY+EBJ9fBogjWqJnRxwq4DzaN4RuIRZfpgLekiUkiXroKoh3Y +5nh1lx7i4LXVmqwXbjuUfbt9eGjHt6dX5U+UIJR2fr/8hjbwvvzwmvZMGXSueclEHJZZ0WtqKXBj +RCBwEQ6ekVjemitcH66p94j1MumDQy/80Sg7Ljp3yMo63Y5Pkx8VaIUeCefdJ5h2kCeljk6VKrjL +eDwEO/HgKemb5u9R5BHopqJMKI44VQEzthSebZR8xnwuXB/m/0fdMQe0C8LwGRSI95vmnKDQwrAl +896PUPZGL0GGYSamg2zD1VfoKUh9VgXCg0e24cLjJFIJ8LdeA0PGiOcMv2dh4rmqyz4Ul0WYrH5l +l4u+hqYSpY+VIQ8KS2UUi4UhMeE2DzGbj9fNaG3IFdzdpYPVsenVMk1S4Cgd14IF8X4LpzjmGX9X +vPh84iO5/1A4CydsUFDlzVGyoRT5CpxDD5Qmr3E9aFxosttfr/5VIgoMFQ75p5l8rd0GlGXjMENL +Gpb+3ncIhxbvXhzNAWp3wliYzCQ1MC/yRMXdPkzxyswUD0VsZDOnagyJM+0hscSDSri7Jx5oLDug +OQ3qNqnjT+gRvz2KY5MukAxjUzPWJC/4meSAa1IUN9vjA56bJq2rO8DNrmXuesg6MUMuBAWZneDS +hvppQmXkXV/EmVHjyxUUGprZJSv8K67FUeXdkhK3F/mOPSTKsq4gl1w6AfrWQ7J+55oTmcPa5lEi +xpJUtIG3E8imVx+VMZyfzk0znBTHa+agcPg9/3vQL9PBf2pubvnhUbx7H/hKxZCq7pTpRIDPHGpY +WJh9oKxJSXKeq6U07cOp4tr3HHudg/G4Q++6K6i8Cnz88HxoHpUjhHi0PLobVhnr9kB4cZSo+DXb +4XEsBGacBkPPOVUw98FW6eIL0mwhs8i7/HguKzzMlcO/jT4VZV481HWuwvlY3jVBBjrW9NXz7IrM +f3rDDtCvgWX87MvsqiiXk3LU9c+sd9bUqHD5odcaQ4s1a8CWd3k5XvQCaxQhiCmcoXmhf3Ahmmnj +0LqdMUAP26jr19Yod545Tuc9c01dN/xR5l1i3cvfNTVvmHf/9TjFvUzA8A2dfAnSvzYPJ4CZ7lAG +8sr0zbrUQJw0i/64WLG6mxXlCs+DqQ6fv9yk+M/M1IZYVr+iLMwQeHrWg5eiiAR+4xBd/9QNaK+0 +LnaDn2oQ5LMvFxoFQeoHRY4qwJ7gyf7S2DD6maF4fc12v/H4L77jQBbek39zCnnpotXH83IY39s7 +E/q7Aq5npkZzYD2EWRMXbXwe+U1NEVjOqYxkNATJ2gOP9XAZKeempLNK2jbgNS+7YS9RsNxG0zNK +3umhSr0n40GS+VNDH/GQOE9wa8wb2Wu2ucblW76bFuFsuswUv2ilfpBWE+6PygJtmkqFX3LLKgPn +ZOsgXnZMOiBDnjYoDldsdbl2zMkKtPHkuJOkS/D0wPPneMSF3h9Df5Y/k4xdHvSQ1/jr8L4HN+f/ +Bka2oM19P2MrT+ZdzDg05rr2FWFikiFFI44ckhJ+FsOh+KCBZFThwHUucJV0VcUzvUlMHkKNXLiN +AFDJQ7g9OlVXI1hSbIeuzSAzJV3g2JhC5yj0Mn2XhorDvUeyPgK1RaChmRvIImNc7OBr46GBycwq +zvkUTdEGNWuD2Qw0GrghjyEEk5HE9x9zMV6NxiXenhla+5TY2TX00xhJ2A8ZgqLU65XGCJXPp4TG +DAj7ExcxzhhD5OGxw6mGC74HTnMQA0c80I12nLSagUPPF6C5gG5IxdoILOZpsjqoJT5ifoSvoKkh +EvCV9RRm/0kubJnUGPYfzDJD6ASL5ESF1nAE5Qif5ws16559+1zyWfMKvLsxFSPfDVVWS9o5x+Fu +qMWeNma50aMpzV2ow7t5+zFUzbvKmSF9jC0c5zqE7LW+AtZojCjl44hdqrXpS29uOayLbHTRcJyk +oTsIc2V456ELDe9lDiq/ktaNDyVNafKcC2cOVRflgLjWYS3C9GgoaQCaSaummBRrBAJ3knR34JB+ +DDNoFFdNa+RFl8LTHpOvGYpp8gfXLWP5dUqo4CiPdEyYRSZ1BholdvPKmwrFIq/k3778cwgfed/6 +Co3BFaPL8wnIDetGDMasczwzMc+4c1d0l2JUmWMmuYg3tShNh/pR7pqyjvVx8wI4nJDhXfjBnQZ5 +V3HrzmZbXskzhledQ3mnm6Y4mLVZpKlrDzqSdVq8PLAKfXUsKKcm8PH6CePTiOqLZ7O4yKOEECX1 +obu5kbs04I6JDnwNUWFV3pmxLMKJmSutH5sKUppE2ZBQ0BH9Y8NOq+Ev7t7mKBV1dVSSRqS9RiOk +cudtYy8lvTQeelNOx9NwhKYutcgUdwqylv5H0cCVSTUDkjgl7ZFwbupaq0ED30b2HhJFDiZsb2MC +VB+sv4sc22aV8fuirNdd65L5qSHscd58lOKeFIbxvpDVBOKh34HI4eCKQF9DSzwtu0bxNh1jE9sv +wb9Imqb35sqFIeYeoljAaLRlwYCnbJd8AaI8+f4T770FoagJg3fvUYb4nIMhtLGAMd+mqh0qI32/ +d2GnjVQfNcSD/t5bzAlv/VyPB3qHl7nmfV80mpHlje2i9GYXHDq+fY8nmGFaOGrKhJ0jeG9NjRbv +XsdEPyQAdr9nCBadespBQ/PY/yoOTLcWpjnQiN8D89I4Uy+VxVToa3hkYcrLKHhc4CGLiDASUuMO +XtqNcg6tULbGEM+MMMql2A0IgBV5ULVszY5iEZZ4TEWXSmpN92Q7aZrnb5y8fPSkqnSpYaC0mcmG +VWzcrAZaDhKb8QBcm9oqh+7sA723wuwB2I3x8gqdQ3joi+Pf4jnGuP5A+lJKAkb3itcOIfKzub2p +VOVuCGm11rccIkaZT7xs+Jd8uxYKQUHorJZb6B7BoeenyJoBHnCVoiofmsWSHxVaka9HyO9qJc7H +4CMyB9oRB8tMxgBj0YCBk1OX2FEPJUfQKEPpNlkbHMOp3lJ5iW3YHIwyFZhKuQwYhaAAoGKgwm6B +DO97qbS7fev7j+Ubmsrhb9kaQzNKunn4X6O0mzJR2YFciJHrgWyGIl0V1eYWNnNSI7yPIFxSaZAl +jR6fpasHk1QmanVJUQpeXbADp6LHBdLFo87nx9DUd8ElObiaiC0WQF0jpmVdvn6fUKixB3PlKmQi +bBylwUOb2zSYXf2Hb/iRd8vB7OClDYeI82/zYN+jeeFd+3gsTW1DJTzVnIHHzD8eRnEnhf49Z2K6 +ORMSmD0rrn+9fNDDIk2pytg1hsK7WpdDDc97vMtNm006TO6rgNXtShXpc/FuoYdyyGxehnpuity2 +cnN8OiidotwCaSOL+NS8113wVVrbhuF6CntljXCgf0i/bcpE5ywK0tBc/SkwZr/cD++eQbbbnmsc +/GIq3oSIoMhpeKbScQ5wCyXfp06GqWtdMOHdrJPmA+8xDudoskRJryJraoiec9AuTBer5fJZeZd+ +lDEYe2uqcgtvKjuzhicpALwtrZNetHopPRDY59rOUd7HabLlXeURzoWtPy5TgI6VR87l0FzZ3LOf +Y2NBr1ojC5W7plJOZEUtfud74Fn/lt7/UcKykFUpAtiQYEFdmY3VgbO2dgCdVJM8KD8o3mwgqYWB +w8+SGaMsa1A9O0Udh6wx8syha3vLAdhI+aKxYZu+7hnJFfEgUlwr4DUKfW+b3eCwvvkoxT0DKvz8 +wVRSMhSMoxLaTBsPt68GP1KgxL8t/hBDjYn1bxb86BcIlhXxdQuemeGtKE75LA63KQSkXGPhBJnm +fU+7IMCCSTk9r8FHu3MFB+3d9UH2L2LpR3hTFuRH/ICEHr3lsxliHAM4esOkG72nXauuYjpbPKrZ +ztCA5wF0Lz3YFoFyzGcLk6Fpt6Lfs7/63jjaVArrwXTyGRNyjlC0kmkOQnq5RUcD20pwkh/6L1hw +s2Wb7aHKQY0w0/Pu6fN6beKx9xlfxNiJpVIuX4/i3fxtBhmLZTZfqFzxQCW498HVwsmAZz19u8WV +lstzWl98MXfSSw9zGUtLt+fPlR3kbvSf71Mx5PTSztmRGSZnLvyfSnXg0ftMdovbZJFDvsd34YFp +F9BTlGrxolekCpuiHwY4ket9q9oaM+2clDTVkDoHBuxDcmlw1EXPxViPov0VgWklXZX5fJcDyydc +VejGW6UwKTC42Nc+lHEqADWGMKxdhGSX0O4zFPGr7FSZ0/iZNd6rVB+0r2zVQlPjguuV+EcVTc9y +8HLfcYSGzhPhEdYWHH50+mq0OE3t2+9Oaf8YvHWMZYdheNMIk6RWs0K0h8Hc+DINmz9eJ5Jz2730 +06lQ+7SdqsCFd4Wz4KHKmTYu+tCt6xnos2HeLV2Exav9KNCZp/Ls4GfmsHSI4l4un9Op1AfuRsA1 +SrgpcSscNZxri2+fK/ftps7RvjzTBSeDMKSrcZyp5ME4Ce+gA/m5jHNDcoO560PWhhOuLRzA6aJV +ebMuF/V+akoUxa/KI+fp2eAEPMMRDdMLnA1NDb30e+ejDpWUQfeS1iqa7jr0lYuOtc5B6Rl5JNwN +OisuG+96kVe78/gE1B58FP7cWANFx1aRdYFTHEM/KxgvpXltoIiR3l1X3s1OX2v9qIpqm+aVd2Wb +ugY9lqZFBlhFfIAzK4gtbc79W5yq31hYUDx/qLFZyGEdHdJ0d6yHgLddaVjX0vYcrGuM/cXILBNf +fqm8eypujxLhYxxB26tmlanpEo+8+3jFvTRuqsD3ThK3FSre+W28oCEvzeRpfrLG1cOKGuQMfuli +WMtn5+FGUQ5NvWd2hTx7991NacPvllqb5X7TB4q//cpgKvQJnubdQhy/vlVxKu0uJ9yXZ6ocq8Hv +STHQ9HvOa0nfy5qpQKPgnjV2oKfWBMKve/8hQ15aYzS0RY/o1vJr4d+PCavJ/Xrdvb8Wl2Wt3t5u +w5+2t2Lijrb1YfWQMH19Jvbr3Vhsy2woFgZSnS+lhvNI4ZFz4vqztlXwEnx+KAcxd1KHJnwpSj0T +tVrjxtz2DbtqC842MPt4sha5qffOybeZjE060sCiYbJLL+/LV5lnhz0GR2mS9GetJ9ow5DP3W3bl +lm/6ELoPPipN3VLPdnmB09/Ctyx0rtuZ0pIhbQ8Y9R4HLzkFd5WeOg/87YLRnmp9xt+n/1YfKUBU +s28JY83gkJACO9wKRWgaQPtfdbw1bODgHG/R9DPwbozkVD8VFvnSuSiP3tFtHXj9u9zb0rcnuI04 +u/PMHZJlbc9dH3qeqFuvVUranpQMv3gBM6Yivw39zLrzwHna5s1P5qjtHpnHXSjtwovzkImxzi7z +3fi3aTt1kri+Zv33fuFj/z4ltXOReQfnYGi+B86u8XfBX+/TTpRS6rI0bNDA2sDJRfrE7RytsB7i +u2N9Bk5+2ocH98Gwy7vngjNy1flX18g62CJvCkxJef2z8ld+rnO5PHvKWHYV/d13D/H2MRyemg5S +fMun8bQDi6C6ZsZ5xO/fLB1knBX0ngF0cGZxbNxXiAuR9r2q8tz6vsrI7VctR0mL51MBsThW7nkG +2+/lfvWqbNspY9nptwOqE2/f9XHSi1VYtAHd20CL6feitvVlG7l9PEydA273vafz9MH3bVi6eKaz +z9/xgWNjv8es4kLh9IDFsrbTu6+e+KhefIemLOyiQ50jh557bEhZG1+H+ujzTBv8ytN9DOTdeVXH +cGgslb278HaMHH3e7fNMp0zYM4hdwXrvkfbc5bN/njKOniyYx+7Nkx4v+nzZIenxdCNvdq82PPv6 +PxXWPjD0eaaO0/kY3t2zwO0DusrV7b1qpOzAfipcD+XdPjLrXtvZCgFcvWz7SbZ9/Ng6lXvAnvLc +XgX+nmg8CO4+vJ0qi9qNP2AZuDe2Nr0fC1t7Dp6TPyr7do0veBQhR3i1Fi1qP/OQsXbxVj+Bcv8p +d+5iXekp5nN23XZg2ca484wHiJPL3WfkVQblDlh2qp0Dh5njbB731u7GpyDvQeyuB/uoR5v3P2li +57u977ee2Xe//V3afwgDPITAdvXYvvq8335m9/mu9895/1Bb9fuuvh6I49/8tWMe5sBYJ+IjpHUf +XPV9BnmRA894MTYlfKkXzu7BsueNPv13ddSnjT7PlH7WCEnjmYW1K6vXvaHto+kn3z2Cnn3kzglw +Vh3FHcd7hWI68b0Hhm2/j4Sv9t0HjlOecb3DSeeB/XYo315QP4HlAExdvN2Fxz707PtM0QECm7n2 +oxcAdB8F6J5j4BCsZ6DrKfQ6hrvSjiGcKzOcCGy7GNmhd4/NxU9o+Qh4u+Dsur87/vBuEcBR8I4g +Zy9PtmA5B8+2uz8Gy4lwjjgIvSQD2TA5cntMnj7tb2n+CHr2mYN9xtIGqXrcBTQVoLnqOSw/e+7C +Q+k5AAjtDWPzAHtOOLM7ZKiMhw49T+GOQEnusg9r51Pc6ccqXblK+EsPMn2WRzLfHUuxWB6tJH+W +UT6yUWGs1yEG6/PMsWH0eb8+cyqT134f+/4j0fi3f915+4tnUgohHkrHPzIiq1JgLKpXnwXkjwxP +19gMy3+NgVLzCnc9/2e9ryH2zvNH0PUsu4R/QERU3iWF7nZ34a84R0U9cK2TPAQl5rPEFP+B6Ov5 +kV/MxPUX5t2CbjNteY4n59e6jM4/EIlOHooySPg4q7k92NpuJIo5sTGeOakVpCelqEX1suRQO4p8 +DkUfXqjOprhb1CEHt81AQn9mY/k9Lo9BeqJ+zOnjFac3zZayd4v09xjcOfvUGqlnSxPKDlPsCnTT +RVTaP+TQp+0lOw2MZNy7ut1uH9WyFLYH9wEzj6DTY9NKnRO/f4e2pKXnFf4OxpPeyhq/Kdx/ZeUn +8ZvM178qjHVuqvMckkt/ofkbhacuY391mnoQXNWhwvmXhdfChsTo/2XhK4ZYOY9U01T/tWUSk9Qz +B1+SknSb+KOeIywxmrWKpwp7DdNXVtW/I7eU33VR3i/IHqy4Rx9UmJRT609IV/ScvMdfoIN9MKWY +QpXDBuHL34g51V1V2p+Ta/qK9Htma3lL5pgbDgY6hOOo+P0lfW9cwQMWXEoeNy/CAJpMJgUGvh6b +570Wg2Ah90T6SYqxfdQ2pLPJFVIFr+kj4Vjklx2TOjLKPQpgk8rxbgydGL3Xh+3gPbMw0z4DYU9j +fXZSj44hh3ke4az7q3lu/+gTpJOhej5wgbMnov5Ej11o+iciVo+hXujZA0l/wkf+7HSta/6htb/u +/FWdd1+hhOw6FEW+vQOx1ZO7nd4PVtwblilUoMMriiFMrXpFdoI56Yncpv2tQ1RyyBRrYkm+pxmx +VTMKavj7xjR5OaTyyJioP8g8GZFDe/zU6pXN9umYfO5SIinr+GDBBvPbVh4aU4hJ62llBp0+XvGi +UFuExEDZFYaB7TV9lNhDqmOK320fVtP0vqf8e/Rh2tAhKRId24pE82OVf+AgY3T/FGyPpkcJ7TrV +styXtOHRY7k0cMHABQMXDFwwcMHABQN/eAxEGTowSr3q1UCp29lbRam+t0c5jyOxau/+/gyhMhoK +njfYLHXvqsOPCNtZUGzpdvDB+JxiUPzWBDAN4juKMayvN4OvxpTIMvC/jOXO8f8bbQH0Br66mI+Y +oyrkhqVgIE1QzFXaLRxiOJRlyydPOQRCNVIZZoJCrS66sQqjOx8UNRhbrQ9EbIjzzbZVUED1uZKH +N9uxZRiWrB+zY+G2yVJaSmwKY02ezpq0nPZh4Qv7sLqhB4qsSsi4vFKw5FDMaeljaNU7DQr6WJGr +WsN08uyaPoCNHPFWee26zGX7qDQXqXBWooG6Otu9nwnATwPy5bpg4IKBCwYuGLhg4IKBvzIG2hEF +u/H6Hk71kOkbqkp6nqp9v9SzGVw/a6JUPuBNrkWLKr5UR1Ikrqm0fuzczske96hTDOgZ5avHk+eD +NxQ5mltwiVPvOSNEkYcNKW6Gv5d3W/2TAiIryvJ+FEEJ9RwOnlG+9tpy17mOKMi/C9M1hsScYh+p +MnukMM+wFf6SggSGelxt2O2gLPKswOV3WHoaMU1ZZk8vo4xzf6nHvDAfReZSRnlFcasPVVFWgbYP +rDLjR5ND1vZoa0pYjMWScnkaOgQvRWcsg2wVeqqercz9fMzrrnfdqq1fWBCLYlD2IaPOqIyGF36l +gVIrZR6gh0bCi6/kwViND6JatS1mgZciUPyX8utdlwe/n1ul76980qYLCZf7FwxcMHDBwAUDFwz8 +vTCg3jA1TPkT57SKErrIKlWhPkWKTmRj2T2IekOxqd1Y36geT1GujX+38cd43HXOMp4v1G3qUPB0 +XhPW4I9VRa0ieE916qH7fDZSp29CYjgp65hWBF1rCD17eT14SQnzDkPmsw3rWMOV/m9upxQbRbk2 +Jr8Dh8JmSEyMNuibHZl62EE3PAr1aOyhT9Va/klUCI0WIjY843O+3mYQGbJSk2qzxLl7N9+kjHTx +cn/08K9GgbH2nI73ZDLfNdzsz/E4LSsXr83V69PG0qvr20spVZ0bdrqLBx/h6ykpk15+ez24QnE/ +5NzvS8w29KKnVkMOBPbv5gITacR/wZXDpRy831yuCwYuGLhg4IKBCwYuGPh7YKDR1xqtQZ2gUWJU +FdAYzAozvWoq9u4q5lc4jr9EKcfJPXiHx70WJq1oU1m/4t0hOlVHed9ujzuKi4rSRytblYHcKelk +cPmdQmJOZpGcyjcCXpT/npbFvpEXjKqAd2mh3nf4/ugBbze3Y6ANzQfqtQdcDQMz/6TM9z6PdZRm +3o/zXT90pfo9Ey1e9vRzIkqrip/xPdBz7S6ARsZj6amnvY0mN2rukaFhGvj/PoLvGzwnc+TlhQsG +Lhi4YOCCgQsGLhj4k2Kg6gTWH0gaUyIbBtcqQyjuH/SqF8BUlA2TecKPysQzPPJv3rSUe955gmJ/ +/byppn2WrDL0855Dh8uUpr9/feAgquM4UW/7bcik3kmw/waj493bD4OP80bxOu4L/m2G1u5F20f8 +3RI/voL47qgcvdhQsNjWBniiXz/Z8fwWYmwIW8oDbcV4R7lPeMgnnm0asA0V1Sit/N62kRv86HEm +Br72oZvakJOel09qrZpBPJXCDHIXji5GKkNbc57izZsPg7c3za7BuS7n1/wG3z/bWaEDoBoyNCds +bXxz8bCfC8+Xdi4YuGDggoELBi4Y+CtgIPXC4kgEmgnezGvi1Od63Q1LQGmZoZSTVCRXdDJTRhJS +c1tCagz5fY5SnxCZboWm2+Ou3obie/OGtIpaETvXiryrYwZ2T6XZccr+poSx71IISh1waF55vvPA +6s24+8DjbzrWTzrrRlwUXgCLgWeKR6qITbDUxP+W3CEGnmhz6S8/wgswEsp++3Lz5AkHVsccKl3d +rJMJaDekytGYAjIKrAHx7V7SHk/Qx5oKXyPaSR9dincdREvHXxNjPxhhsDz5sumhh35sQp2fX3OK +tXeHJ1DWMJ4aLiQ8oHnzE0aK8T2X64KBCwYuGLhg4IKBCwYuGCgYiD9Uj7A6gsr3DMV9QjhMLYL3 +hBCYnMdTZeGZ2ZdNSE1V3Gd89m/v9Tiz10txty8V9KoQb6llZVKV5AlKIsqW4T0jg677Km+fi+yM +S+X2C0I9NhgbUYdRLjfGQPyRL8Z9MLYdeDSgVh7kZDfGHO7DBfgXUEOidK5nRwHCe0iUA56rZHlZ +Dia17K7hTmKjeK1lMINtgh9pltdVxvlBT9foGV4l8jyHTtcfyf6ixuxzU7jUEBr6WOORHtJH1NoU +3rpTcGvY/Rbt9kMfS/rQ6BzTx5I+HIV9JMw9BWTKmA7QKyXdOQQdwM98fXKwWtZPiWI66mFUnHk4 +l+YuGLhg4IKBCwYuGLhg4I+IAVWQquiouvhj9MEVyrtx7KmkWg6cGsWgJ9Tnr1HUF3jdVe591jOJ +eZ93OnyEvRX3KJR4d3cvxxHViQ6neGWfv+RBww3I554Ug7/xNQI/Zo95RkEo8RODR1yJqD3j/42H +97ju1B059EBBWDKamKf+SeirHeeB1njYeWaJ93oC/OZZN32kDyx/xTO+k6ZxTojKUgRV3bcYhHNS +QFrIdEKs1pg0kNVonJte0u0g7i0xGIb0YfvkdmnOudJHUkGqu8sv2gh49WfknGyq6d7teJhz3r+G +wDGhj+rMnr9jnB7s6HENpedvYSSG93sM6PLIBQMXDFwwcMHABQMXDPx9MLCrG+BPjEKUg6aGEKOQ +m03Gy0OMUfT1yvPdsxfN93rcc/Xb1e+tuB+kgl53FbnFcrCYm8t7hCd1guJuWsjfunLqRxzRo8EV +VUVHxFyv8ECvNB7+Ckq7BCjK8ADFeqPbHatOhXdFTPa6VdV0/QHPPPHy5nePQo0He40htVWoKzH1 +wO/jEz3MKM9LLEBTQybqynAW2q27OAlv4eVhqZxqHxsV7tYBgpzlhEEtEBVPfDloHSNQ/jVHPHAk +/WT6EA6DxQqsf5+pf4H0goELBi4YuGDggoELBv70GFCBMRwG/ct49+r1aytb+YwiZCx8vYyH73k9 +WnGvoR03FNH5QKz0WIXZ07UeevyNvZR6YJcoox5aHP9CQSg0xzVe5d96HD1x/7DHqvKOgrtMRhgu +veB1c6Pc36hD+09Rkvnj5P5Uomsf97ITlT7W9g+zWYMrinhV2gvdNTY34P/DmnShZai7tGj3kdPU +uymUTh715YULBi4YuGDggoELBi4YuGDgd8bACKflsVSBOYzKtfXE9xvvoxX32k0Tf71sHK6Guf/G +SvvdOIzHZxw17ogbv9dY+pHgAU+B24QhtQ20Nr69ryaftELlOoUe9dl9Hvl6L78t8nSkjzLOoyku +d/s4ZZwPQN3llQsGLhi4YOCCgQsGLhi4YOCzY6Arv3f7/gnn586muIuAzjSGnx1LTQdNPP5v1Nml +mwsGLhi4YOCCgQsGLhi4YOCCgQsGfgMMnFVx/w3Ge+nigoELBv7CGNgXKfWQHbOudvbej9V/PFqr +jqVP+7vjru/0hedQ1NihMfRtd5d9+sDSfudeP60ovfpMfCaPcZwcOePiWI+1fyqO/8JT6QLaBQMX +DPxFMXBR3P+ihL2AdcHAnw4DO8cwtrpf+/zG/5+9vwWMI1m2NdA2q4YN1VBmEhS0oIeNoTd7A+ew +s+F58MJ74L5sD5zH9kAPG0MNFJSYBSXYsJv5rS8qo5wqVVVW/6olRc201V2VlT8r8mdlZGRkKpQR +tORZawwRzcP0EWLnixDTPEza0mFRGHFskdL6XGHck9bP/N087DZbNzy5HJ6u+AZJayLYfZOJLgzz +stRkWeUkkSyuVQ5O4uuWzw6cxtbHLt5uZUtA9MWfY9IlJ08/yP1YSUS4QCAQOEYEgrgfo1QiT4HA +G0RgJm9UcghlezcqTuJNLBM3ovj9dzJYkzK5KtWm/ZX86+sYgYZMs9eH05LnHIFbc/vGxe5Cfk0f +FJ6oT3i5deLYwuwNV8oDZxPUApjqfAKIOWx8odN6H3TCL06QyN9C50LM0hkJ5OlenpseOJBOZyic +yE3qAnertzXdxhvYvDpVijrszDaVt2Ypmbwpw4nyQNwLbbCfmS/bdPyZNu/c459VdonzZBNJXjjO +4V4Hst3h3alFmptJDuEzAmweybR5ir/uEdbNLEkDT1F26J+8U3HInr+MPOw8Cb13cS6sQFhZYh+W +TWK0E/1Gbl2HyHO7ejuZBrvTubAjfTbdk2oqz6lcx85PdWDcSo4QrupyNm5nlb0TvXt+Ltez+n6r +k77t7BGC+MxH3085K0LY3Wk/Vkl7/wabYBQ5EAgEXgACQdxfgJAii4HAa0bASdtcTPTTJ50/IIKG +33/OrqhEWper+eTPv24mN191GFhy73op3/8fP80nN3JB+sdvOhgs3deRYZNfFMfFx9ovrhyMil/W +p2N8+Yuwt5MznT/wX7+cycUuB7RxLfXWVHEtJl+/3on8nUwuPtRv1Rxbh4WJrS/vzyZ/6JwCXLBe +fD414iwub1poJhILnVnw+596/2I2+fzrhZH2//z7RiTyQe+fTH75rHMXFPZ37t3WLpg6NeCcx/Fp +pvKdWh7uOVxN5TPSqe9/KY93IrUfRajfn0NEwQi3r6fyqHU3+Vsf47T1fMMmEqeaETGXMXKvd+2+ +2P6l0vj4kdmSbTW3s90WSvWL8P6qslxezCfnyrdthNdLJ4r0HnnIc9e9JiYfOS/iIz5nJS9kwKRG +Jzucnt4KS5HnRP6H6u+P1ZPKylxpJnH913XtCCvNvC4uT+q6IWwXi7PJV8X/5XcOhKsleKozJ/7x ++bI+u0Ll/nZ9Mvl/v13r3Is0QUrkfSY5np9rIvJtOrkWjn4i+WtuX1G2QCAQeCYEcq8xXVlontPX +of0Yl8/RxL3uXM2zfFyBQCAQCKyHAKcCG1Ptf+1eDLUSc/z44VRu/x8md3/rVDn5wf0JAgthvP5q +ZB4S91mkHdJ5KuLKva9/yPWpTkfmxN9TvX+pDxfEXTplcc7l5FYkbjq7k0a9Uhwismk3PVrtE9Nq +34lsSieuDvRC/nUh5v/+/dqI99nZ2eRU6V3fSpus35+k5f5ZpPW3r9eaUNwbgb34dF4fiKajLS5E +Dufmonc5+df/FRVW/BciyPSjf4j0Tm4H4IOEixTjWvdSqxC/i2Be6TMRUf/885k07ivlSXHoulA5 ++f23np9q4vCpOrMTom8416G5RPpFbE81SLBKMNGZG65iPz1TGhxWB8m3CQwEXNljxvKR80FEcqXl +/qrJxkIPKuH2kyZNHMXHpATN/GcdHsKB2f/5/UZnOSwmH/7rXBMFTVIkz6/pULiSzXulU5gvNAE4 +1eyCFYkHP4NN8V58FGn/LHnojJBbxX8i+X36pMmEri+atKHp//TpYnKmfN1qNYCTxc+Vz1/1/HfI +u5/ETH3QRGupOR2Ts5VWL5ClK+6HKnSMf+s19wgdCLwpBDjPyJctm4KjKVFfq76tXtZs+Wl/dE/P +jcSP8+U+mrijtbFxLikw3pRQorCBQCCwFQL0XRDCrtOU3Qrj4Vba4L9FLkXA0H7//u9bEfPF5Gdp +ty9lAvGHTCjQGn/+RcxLEf7xu0iaCOkvv9Yk7ur3b/b3Wgd5PdxdS7Musqr3vkorfyvtt00MTEsu +TbjenUMWNQG4U1pfMM3QORSQRsjd4rNOAVZHigaeMyqMJH8WtdfpwLec+nt7MnkQ+ePZH/97O6n+ +ZzW5/PizCPtyck0cIqznOur6swjnd84+k2oXExLx8af9e4asEeiH77a6cPdpMTmXhvhGB5Vd/fHN +DpTjQmt+rwHh7k6ads1UwO3Lv24mFz/NJr/+96UdmPav//0qEptMXZRPm5doXsNKhl+Eu7tdTn5b +Caszmd7YCdzKv9JGy38qwn4hjTtmJ3/89reI+3cd7CZNv+TzQZrv/2iQ+aqwnz6fi1RPJn/+cT25 +08Fs55pgEOZasvv6p7TiovkD8zVbrWBS81ETMiZJX79IU59WUM40GflVqxdMtP71v1eTPzRZOFfY +//v/vVQ90OSAc04kR/JwJbn8W2FmSvGX//lo9zC5+f1ft425zZ2tdDxoQvJBp3zXk7trpVmaWHAa +OSs0zSF4W7WGeDkQCAReGwKY9iXjvNrF4u21Dq3UB7NMDlrKiTrfIfXXV3Wf8l7PObBp5FUm7soJ +9pOf/4EWS/aDitjnBCxLH9OlobEzO8eWz2PCLPISCOwbATP3kEkDpip/SzsNi3riAcW6knda1BNx +tx2P2DPrI4JIq74TAcPmG3OJC5lD/C6iitnL+c8nk//7v5dG7iBxNyLSX6Qlx479H/9Ta2lvpCX/ +7d8ycUndA0QUwvpRGl40s3DELyLyd2YXLdMa9aFmW6440EafKo47keab/yPzDcUF0SSHS5HY03fz +ycnZQtp5mcqoXGi/dV6z/tOEwHbPriaf/knedIq0+mqZZ5eVKjpITpbyzSZXzFw+S338YBOOKysn +dv21C5yajN+TLw48+29Oz+bkaDQ893aS9YXs7TEdgvjPpOlfoe1XWKL48kVYKWP/kJZ8roHjVpOA +30TAyfqvv9Sn+i2XTBC4KFtdOgvQLOtiy6MVDK2OnJwRQvkRDvdJw1QeJbRYQVYAAP/0SURBVGoz +oHcCHJwh7YaVyjZT2c81edAOA5VfPbzqEWVlQeCcVY4L1QtlBMXS4g/JTxgZPpRVhP5U7860yvLA +pAdctWp8p/KfX0pCmpiAz0Rjp10dGa1NjSaaPJ5NLjUhgbvnk599t52IPxB4SwjU3PIpjzs2Duf5 +9HzdS2Hxxx8ygYSMsxyJDd6V+tGrL+pA2LSkjuP9h1qUbh5zJ0XT1z/qDkVKoYkUPRPtoXp0/HyP +8AeJu8M3Vac6F2n/+aOWYRWRLQdbPzfSIOdgNc+OHcomFt4fH1s+DwZIJBQIPDsCtL4bzDe+SZur +03x7F+30AIKGwTbk89M/L2X2UElpsVCneK3by8kHkdCpWJ3HYbbdih/bZ7Sz1zJ1eYCcYq+y0sZS +dZrEucD8wgkhJjUcUaZ3oODQ0oW02A8i85A3SC/xz2SX/vFXJhLS9v+fm8n1FzS330Xsa9t4SBxa +21PZw8+0GoB2/g8R6wtpm+ey17i5vbO8X/58Prk4wXocCxm3ASmIRR1sncvKTIWw1L+SJvp//7/Y +ZeuJykf65HcxVW5OLEf1gMFFB61rBZE17bluiIFeS3vvA8PSSK606LwvzT3ji6Upkks0TGisl59q ++ylEmiOSLVE9yzT3bkf/y39p5UNBTmRj9Mf/k4085kuMYmXmbnh+ZxJCio+6a00ElBnyQhk+sLeA +sijkPcKnjApvG1FVLy6lhadcbNhdKmOrBR5/uBhNgSj9Vfm606uD5VelSdn5e62eaPLDVuV6lIkr +EAgE9oHAQ2tN8ji5Jr1ovX+K7u3rXGaW4ul26CWdqEz2JvfSCNA50nlpfJnMsWFPHTNKDcJA2glz +J9PHu0t1cvU4UbrKGvcshry7Ii/oVqyPfWLbU0p2x8/zjr41SKAFI3sae+IKBAKBZ0DALPdErDAV +Gbzytgt/F4H8illIsuG+uKg7NYgdphUzdYIn0pjOYJxtLtXEVWaNnSGUiOYJ0tTKSl4sFZLYRdce +1AGbdxatDlx/kamNTHIu1P+SRzaB/vZvmY98UxhNQs6Sp5txVoyOFCY+EFdNSLA1GrrSmJAHwbzl +TpMHTEZOmTx0hCE8Y802F1pyViDY/GleW5Y35mFnFxcadPLN5OhUUUriFm1en1gH4MJGflktJu8G +dTXlOpHnm3pA2R7wIqSBJJ+07KJ8EUcgEAgIAWuzTMAft8+XwjVNhizP1S7GattQK5I6kGux+vdy +AnCmjUN0i3ci9ZjRuGcGKXkm53pH44iV3wbN/lpRJu5gmOxjXNOOouNanguu5GGhC+iDV0KAwOuC +lk7ZTDXXsqnZb+reX/KMcCfbx6dr8wfPZSQYCLxJBOiP6XzRPq/aTtIfMSSsGaQFl4bzRrYgX6Sx +xeY519jWfZC0qLrNJtLVvVwh6ibWNd4HWvgugupkzrWuda+aRgxe+EE0rdsT8fxLG0lv/5ZphpZA +2UDpnSnFQFnCxtRrbQT9rM2SmN18uqnNKfxaqOv58//dypRGWnmZzGAnPW4xVDriNH6B259fb7B8 +0cVeo6eTCOZEKwzT7Xq8sMzdlTJrPthrNfqPS3nFFBLMfug2klZ6RG2tvXZKu61B6qtMVTTTso2k +rDqwXwC3kGtdKKBY+MjGbnnGNK857EW40rhzqlWX048Xk3MNkt+k4jJx2+RNnoe0ogDRn2vvgqHV +OVH5gQGi4leJyn8V/g+4EKJerFWgCBwIBAKjEGBSrn7y42eZx2nPjXFX3cNT1o3MGI+Da9Z9x3s5 +H2Afj/H01L/a2ECHcq9OH6Le9LUK8SBS/k0rkqf1fqzJ1d+6l3kowPbx5muyc+/RrmQglol7C3E6 +OBaKIcN/aNPPREvHfVqcUcLaRSAbmTRh+biUjb/sVuU0mXzey93E37KrvZLtUb0GvovEIo5AIBBY +GwHZFzfKlD6WhNeq7+r9ZBcOPdL2UP1FF6x3abvqz/DPjnYXAnclUj07l/vFj5U2os4fkb1HG/hT +/9DO82OFhqeVbf5Xereyp8Zt4EwDyadfREZFoiHxcGAI+kKMGbeJF1ri/Cwb6G8/nRpJRiuLjTV8 +8uH2u5H7T+qcrUsu9ssqs6mZ62I/PGhyoEkM5h+XsulnnZM8sVHU5xoQ1MocuwsfJjR5YTOm2aUt +tsctPm/ZpMtMhL7GUxMGlQ1TJZ+ceHxTmQaxD+HuSi4iZVLJoAaJvmZPA5tTC8yYx0xULF4sflJ+ +5AdI/biIugZI7NfZYwCmcyYLrIJgUmpdu0x6lK9b+Xcnq58/L7XLQBM8zKOU78rrEX9VFyvll/fM +Xt2WZLtrtE3QFMe1JiBelu3XJ9ZuPfFCIPD6EVDDncnLFa54OdODPmGpPvNapJ3N902H+JxIpLHk +8v8zsQ36VTKBtA4ELcaN7NaXIuWYweQXJJ7Nqqfva86M6Uz74p0baeLnWrI1bUq/imBt4k5adHZ0 +jtgWNraDzwlm0+ny5UdPbPuzbBAQCBCHuAKBQOB5EFC/VSRvZrdd+0+n3zqBpFdqz96+6csgaU7q +8NRCA+8gXezLwbWkxSUGistASF5zEb/IG24mjaDKDGOS0mIT7Fy/bcVSBJHfn/9xIXv3uQaQa/Pd +jpbZAsADjXXW5ovey0BA82yZZpr+iI6zZCujsNrnafb9HHx0ohfZfAkZZqPrN2my77SJ1PXqeMdh +4+TZhey7RZ7x5FIf8qTcVN+NDJ+g6Scu1NeVBoiEIVk3fFQedNTv9BdM0OkzKeHi2UzvrQTgTPHY +cGLdrPKluFlN4DLx6BXSI65HCxuFWnerCREiYNBm4eAhuZG80wD3VYqXz9LCfdCkiH0O59K4s9cL +F5hfpZhhg+npPVp4ecHRigcXqx9XmizhRtM28ioztS3+O22EPZFrTCnA5EEHHM2mvjCxqCcSLt0Y +S56nE4lU3wQCWce5Sktmq053i8+ERlJm1Kk750w9IL6JcUtmp7u1OhVcikHY8XWbnxroxUALAnnH +vZdpd3ZM3C0dxoQ0GJYG5EPAy/JqlybL6gC8fUTnfIh8RhqBQCDwGAHXruKv++ISU5L6wKCLD/IG +Iu025iZPLusTa7OaRxQZhT0EUCSOEzi58LKFRv4a14TWoeq3XCSeyzuNTe5ll30mMohZBxz0Um4J +IcootDkQ6FKE8YO0yFOZqEBqzZuJwpNu07W69nZIuCNsLOr+/p25POQUUK738n7z6+zSXDPif/xO +bitZSsZkhOKf4m1HXnUg45ir/PEf+S7HvhwlkIgqXnjYaMsk5FxxnV3J7aLcInpauFpk4ycbdcEB +7FhFuPpLdvGSwYU0+f/1T5Hm+/oAJGzt2SjL9UkbNmeyy2QfgnHbtJpQ26WOv8zvvF4A748yA/3z +TzzDIHt5I1J5KMzlz1pNZQOBrr/RwslTEH7Ybb+vyopb0F81seE7/urxOsTKTJqj1HVB+OFzn9UB +XFlyIu+Y8WtMmPGljZCBQCDQRsCciXUoYYy/6UM7fu526H1Jbg7ZlINVUmxnztQH1Yd4tC4VTp6s +ZMtdh+u6cB25UJjaLrL32kjjHlUuEAgEAoF9IGBeYbRpB4sZI9kw06Riv5cW+cv/w3eftLJoLqQp +xlzky39k26y+Dlv02t2VtAr6ei0Se3PC6Zopp/SV+u7jw0Ja3n8/1K4PcdOI5pnrTpqR/+jgHuuk +00TgSh5lzGQFUw0R4Ksv0vZfXSlNWGNl2t2J3sEkxlwm4heeeIlDebrRRtvf/p8S+WEF0wsfk4eF +vKHgonIyS/RX+cDOE5v6v0VWuYtW/T+/1UvIWquYfNNSwJ9/4EGHVYqkFTaMcHcJ+U2rDo+WAriL +7/Z789fOigCLGFyQ+z9UpjtOGk3Ko3sR3Vs03SLEXPcaYP7zhzTX2oCLfKDvX36/VT61P0E26VwF +ZXadLwF1I6JeKZtzqfErVPfpglx/+XIlV6E6KVbeXfAa80UuHNgIbKu+2oALQWfqgetILvZfmc29 +yu6uJW3QR+mldK5UVuKNKxAIBAKBnSHA2PPxJ2lbUq/Xpb2gz9KhdU8u75f9tOeBTAVx35nEIqJA +IBDYBAHXotyJ9LIB0U5+Ns1t7RHKn6N9/e0/bOiRNhzb5UTQv0iz6pfd03P8ucuo5VFcRsKtP61s +xRJ/5Zj9mfZEf/1wKDbFipfbNRUP5BXcKnLJOsTex+7S7b65cwvx1QdXgUR3/fWHXQ55omz4Ifd3 ++jRHtU214sc+XB/Cz2ouapMAU8Sg+SdNJgsKW/lzTWJsBRZXmOlaCrOvWn7VtqfalJsVBmy+nU0z +wdFE4Fq26TnuvoeYcnAYFiYxfmFr7js6//yjlofJKmHGZjLPcC2P8uX5oUy3SotyNnlU9JBsNOjy +9G7lYFLHcyuGVhUg/l80uYKws/pqBziRs7QC0NQxDq6Czzd1oZy3CBEIBAKBwDACiaHT39gQlDqe +9kvWSepZz8pC48637USgFU8Q96iPLx4BN7V47mW0Fw/kMxeg2QAJL4SAdqhqa9NAXEumzNacsbnq +d+pDeJowT2zKIZkyFUncmtfztDjkxy+5nf8RN9/SSkCuqzWyja5ZN+vvP9LO42Vi0GX6+BT2mgR7 +/jGJzC+U0a7IsVWJ9NyIbBdmDRDduEKSLR7yneHucYHHj2lIIsspnfogqMfmJllyo7Ttedn69gD4 +RGKF/ZIGvXY57bce2emFSThdWLRPHX/mKh/Jj0Ag+vcRIEWQ40CAsYbOOTkXeDQ4eW/IIU1cvozp +JF37ssZeQdzHIhXhAoFA4CAIDE3Aup4Naa+HMrzP9zaN+xFBH9GPj5msbhtmV/LYtvIYeR8UaP1w +THm3zUu8HwgEAoHAJgh4t/5I+aOIkuokMf/hmHdD3E1ltUkRNnvHZuBolzZ7Pd56RQhQFU60Oe5U +mz4WsvHlzAM3eVinmI+0hFGx1oHu4GGRlcv8Ti5I2MS4yZX2qZoP+BD5Jgge5h3v75E53n5YIbhN +Mg+5HUYGz5GK9e3SQrJxmn0nmMqtSzUsfGJITpRiYvcc0txNmuNWLHeTFrGsxTVtOQ8XwNpMpU3+ +S9vzM7WxCi38g0wWOTTwTPUZ68YbeV3gjXN5TzjRXq5brSbeybzz9F6mnDMOzbO1287CbEfcsyXo +R8tZdlBJd4Kdy14Kag0s64XbRKq9/MrvfTbEtQS2u3oSMa2LgI5sn8srBt4o/ta+xTt5u1i3cTuB +s4bq/wQjWFcShwmPgNSfMVHDPSLeRb7i+jDZPI/JRNMHpcAM7O3+Z0w8EeZQCLCjV5tW5Wnoo07P +vTvFG4w8ziRz0bVzseexY+38xAtPEUjtfC4CRDtnovYFV3n4wB65byLnDCTgXfq65D/EczwIIMOx +plNd4YbuWR1RAkNccxAJ7NYxbdeGIManpW8+SpVvdo6Nn7yB6c+5iPn54txOlzBzd733Xt++U0vF +nX+b/XDZ25XmdsRdMTKbsJ38SnimjVJ3mhXf6eQoeHv3snbWfBQG/8q46OJwkTt2+mtHLXb9Z+qg +8Z+8eKitOec47sW9mW82smM1as8K5krsiR3r+pXNBWbaPLkM4/COuxs2oXVPQtZPId7YBwLUF+qR +14110jg9lxZPsnbnTD/qlCoUts5B4NeB82BhzdlMOuDIOvM1Uj7T4R4Qgry+0I+wgXSJ8j5kvgaa +hwvKeDKVa8pqQ0N16981nMzU5i/ONGbp9612quKlJq7jRIC+/Z24wYwO3jdzDGU1iRIXpaeS8bxl +N0zT5kT1O/nwf5DXJONU0d6PU/jkSvJ0+kW/fS53wTZfh2smfobr23FcU+0eN776n3MjFqoDM23k +xw0xZ1XgqWwq5YCPCz/Gh7qC+EnQ6XiLXsxwqyu9u0gru+R/TBOXnFGS7NpnEHg8P1qQhR0eZy7L +5f3gdjbsCpKEtybuc6n9P3/Wcd8i7/eyU8A1291vHWUykq7GlPwfQ4hxWzaT2waOyP4ogXz5/WTy +rzudOqWwl5plf9Sx1bj04qTCz/KjfCrNKm7WAP1EcZHmlQ7QuP+fr+JYILB9/YO0//rr5eQnHWiC +h4J/KY8LOdWPxr09tnuLQaK3jYYm//GD8Klk/Vl1j8NaMLlYadJ5okHdTr2UO7srubVbe212b4WM +iHME6kXE8bL2d5H5P3QC6hkyV8e9FJubyyc66tuvv0/l21v90hra+5DKIRD44ZkGDRUEnD2qa1+q +NBVtXqtzv3w+n9yozf/rfyHvOpU2yNvacO71hSQPm2th/miCL0/R62pRc4NfdbYBpgl/i2t8V19R +O/uQogbSJplvZmC311JH5F0IJOY+l8YarojpFK5n/9DBbDfpQNVHr6kS+MSNvoITnFeqQJzr8YvO +eTiVyvt3udH9/6WXf9JZFGc66+LLf6QMVviPn84tjYUOUrq9Xul7PQn8Kk6Acmcl97Odl21KrZ9Y +vTXvWyLlyWc7J1pL1W0HzZkXW/1GUYSnL2p2bRmzkCvkcue2HXHXstWdDi15+LjSQRyyQ9OMhSPC +u9KFV5t9jx0awkAp38G3dUk5JfD0RJ3pryc6yONh8qcA0qGGk3MdJ3tzosnAX/eTD5qefPo8s2Xx +L7/rqHNp4E91QMmpjsjl5L7as/Hml9vN/qKK8Q9NRE41y1d7F6LJhUN07JuDe2Rv+srKXPVnqgaK +v+kvv8m6TDbyn3RC5q//o8Yt+d+rg7+Vq7wQ/ZEJcIPsNDLXEuaJOm7cTv6hzvtehP3j59PJP/+p +g4SmF+ZvfcGhPiH0DVA+0lcYPyHtGlN++cfp5Nf//qilatWBxU29TO1DR8j8SAW4XrYQoyn2tJJ/ +L6L1l9yELvAByqK91Kj3spdHaxqTtfVwfZbQEiaH4cGEb6RIXd6Jm2mF/EryNNevXdp2uKZeuZT8 +7SA4eKksN8ySRe3+Qlxz+qs4o4g1Z2PQL5yKa2rEn3y9ula9Edf8cDL5/Wo1+c+/bkyJfKqJoB9S +13u0XDKVwVKDMFMtC0s1LZfDNRGH/3JxWN1MpjKYvqOY59wPc5al30tl5h1v6ffQxHI74q4kFrIx +XupgkvsVp9FpCQp7U81ucl/CgM4MiNP+LHNqNffmSNeKYy68uM4E6n/9cjGZK+xUgysu1jQt0YZD +xasdSfcLpSFyBbm/kwH/7/++0VKYwm2pabfUlZe5HCZzfDa1RPOk+mZcrw4B77DvNTPTeTW2RPag +mXWl4+G5rAZopLcZsFmhjfNF/eqAekUFcpnfaIVu8dvSNDYPOoGUtn53Ru+uDUP0O+pPJrgmp1OI +5v/ia4D17QzkGiB//q8zm6RxoBfbxuJ6/QjgrIDzFRZ8sXFelUGHkwVpf0GyTxPvxd13I70Ljc2Y +LxtvZCkm2/NgClhOxcaenKb/XXthYMXwTv12L40X0gxzyvL1F5lkq9+nN6CGcF4EmnLN7cwiBK34 +lRTJNR2suWHvHoukcTcX7mn/jQz7EtDkVd8VxUqk3cgF5t+WJ5mCnfDyVP9J4278c/jakrg/jryx +7Wl1ioDCAR4X73VktZYkOH3veq6B829l1k4Yqe187lWwSoB+/kXHWls5Kpt1oPT2zajsyP2vf36c +fPumQ0V0ep7ZQHECHsLr8XlfqqJp/iBhLSccvrLU309aSq2PtSXSWFQrYfgSn9vJi9ffrA5xNPzn +X9/LdEbmV9K4/q7j1NkAN/YAmZdY/reYZzr7B03UKnWaHz6/n2ACe3apDY/qm2+lwWHVxa4g7a+j +eiSl0WetpF3+rNU1TdCvv9xMPv1yrrYtpU9o21+HnHtKgWnuzzKNq0/J1YRdjIx9eOs6MHjVIL3A +wqFKgxR3mUsySWeP5DnWHTKluZ5KMSeyj8IbxfEdyy2ykUeDfirNO9eKybzsbc0YpFYZT2bSsv+3 +rEH+1uF0X77UJ0/b/K/r8KQ2hk7eaz5uVx1rsn/X87pG1koFKHsdZty1E+LuZRnizQyY95ocfdYy +x9mFTvO70ZHYTR5lV6zvf/1Z3/mEzTxLmbaIkOOEOzCWLCaTr9c6XVDHo3NMt3FrP+J7XLmfhlIG +GNA5AX2u+D9NOJJ2jIQ2TNA3zTAb7JpeWZmYJvY83zDZeC1DAHK2lPZFS3Gf/6u2e+W0+N//dT35 +m9Mfd73o4jLt2wznz2nS5f0pIcoNEWAyRqeOt4p3LJPK6BAdx7fkcm7DaLtfG9OO6Quine8Udo8M +zSp7pX7973MNzowxcj2lcYhxRUOJ6gEOFXacNOv0ttGsr2/35wozwp51x7l7E9FxaBknAiP/95g5 +aDhnOIWz/SUNKqcS7/Qa07eTIJmIzdA7hb4d2YMdcHQ6ufxwKm26vBFJGWstPhFVzOa+aP8ap2L/ +Kq450wT+urXjFOU6G1mnFzJdqe7MTv4B024juVuuwCdtvOfb6d86bHMnxL1TCvXkwi5zsSOTmttb +eZtZyK7YZyPpRbTxBL0VOF91VDkYz385s5teGOJgfnUrjRgz5m8CsT7gcEsQH2VecZFO5T5Gxs5/ +1qiHjou5QqE+peWEloZvRQPXVKxiucEka4WNa4cImNZFg+wvv7K/4lzamOXk3/97K9IuLbzq1U6X +U6nzNqBj1MYskcRbhUkyr6fdscqzQ1E/iQqT178ZvNUGv6OdEbk71YogG5HoX3Z2ucxpxG2SRjIY +OqJyoZ3b8uHOUn7zEZkds9oU/Tkrupzyipu22gMVje9ESiRtSrbNinV720mbVxtfIdI+mdfGtmlH +mtLNxso3L7QtAajlJ9tl7bX71/+5Ng0q3SrXBzmc+KSNybTx/5s2Hu5G5rRhpSoFQMVuw642bH27 +UpNJXvTtWwq59Xq7+WBS87cmbZ+kQcc0JmdxdVWQ+YtWV+/+WFp7/+XThenBfUA2bq5J9wOexqTM +wQ6+HhEKXLOxcbckkv263kwZwObdLrPtVtpa9bVH5g2D5NG7Jxv3Qi3ZnrhTImkwLH2O9DYiqhvS +gM+kSeKI6vpY7LowAJls9B/BPxWCkKiFCPlvv13J3ryaXKqRWV3XRysZdmHj9B89xyZ5KU3p7EIb +ADiye1eaC9KxtBLI/ntXnauTtTROW6HaBI5bFJr7lKs10XkEXPzYGIGZ6txH7an4+A95GJGq/d// +Fmn/A9Kua2j5aJMUHy2xdZB2i/NHI98kiXhnHAJmdidXcNqHVE+eJeuftMI20x6cP9G+65k1yY52 +OS6FLFTqtzon3olkWAdHuCDta8M79ILZmgrYa2lYMXvjQgl+qYnaSqu+7LWq3UHuUN6WiuJbovxp +hP8jm8i8rQ/aRT3bKXIvPzK0rg83EBMpYFJxkL0Rd1z+4RFjmVZVty6uImaSSDxDbZhnNkGPa10E +wDZvTdpZWbvphmvKpeNMpNj3Slo7VxtDs841bbe35MblQeT+D3lANJMZ2cXbHtjEyzCTxvPhv3+/ +1qRekcy1ofRMadTa4u6LdKgHKAVwbiHSPyfC5FXmXpM63JpO57Kr1+EhUhcYH54rbKXOiqRRMJiN +e6FP2J64e6MwfbilbPbmlz+faqmhmnxRp+m+2L20gNrYFjb2PyBcs6WFfLnfO4KAWbeL+tKXOz1H +g/9R9sgXIu5ffpfZzd8S4NZtQnGQXkUnn0iUzY7qDmCnF7OZwUZOoUPrulPMU2QrGruuT79qCf2f +nLg6Me0MAzx2rzM1YjpYJoPbbnx+lH9bIh2QqZnHhMz3IXOPE2XCXJ0k3mQetMeBjp+JP1e9p2XH +V8h8x4CuH92dSLsWe+v+1tv+w7nZq5qrOLl3e+RMYf0kHr/BAG7L9T0XY2T07dui3P8+ixkicpjU +ImOUgVwraTZLPrg3zpSxrqG+fYDwbZzoG3sxEWMmvshxmkg7Ct5TyfuLzFsf5OJ3zFX39fKKKK9D +OFSp3ZTX9+qrkltG1R/qjsxpP8sCBL8lv/0HD0UeppVSo3GnfePqvPYq475r8SpTG1nouTao1meR +8LvWuJtCXmOTWMe+vcrUm/rm73XQgQgPtqJs9GIGwY5dristQ8LSK2ngbWajD5sKzk9PJn9XtXaT +wnAErK8kUIh3ECjTwtceaU7l8WWu5UU8P1wojVN9/+Wf2sQqd5Ffv9JqxglsSKizU+VRA/vZe8qg +iYhm5vj45uAH3408plJEmJeBwJkI+oXcQP2ZOtyzC7mK+vnMfPjfaWb9n39fyY1U3eHuZAn9ZcDy +KnOZu4NE60Z/8Zc6+oW0IrgNY8J+pxkc3gTier0IcODKuTRnjEMQ+blMZ25v0yrbrooddWhXSK4d +j7Vz4Y998qeP4iBaqv/rrxud0bGYXHyQKYvEjvnDKh3suHYC8cKzIYAb37kUtbgKxxf72cep2rLM +XP/rUq677+U2vN63wCT89L2sMdIJSjNIedoLyS14ZU3TOexTnBJljgYAtkmSBh4NsXufiw980OdU +tvKk8aB6U7H3zVX5BSSMlBPGNf9p7cd07RB7mcqk6mqBMJQZqzvaTuOuwe5UJBqfqXdMbfUb5/Vz +TFhEiMymnZGQCYa077VTe37qoBu5XTs5r7XY99JK3emQoyUPmYVQDBXsBq8fmsSeyfk95P3OXp5q +U5nSUHysQKBJcUcQ29YoiBwb1t4rnzcibCxl4MOT8v2Jt5k4SXNbiI/o/Xe2Ke1as1+a8c/amLqS +u9FTeT260AbqiZbRprYCFBrwIxLa1llZah8DfczZeb35nN+n2oCEm8ivEHn5d697/62TigiOCAET +pwaWE5nJnEjRdG/r32rr6vOvNYj4qssRZTmysikCLJaziibSfsbhatW58QmI3LXMH67+rm2XR7Ok +TfMR722PAMprumS1XXypT6UUvpWijfNXPn68rHmgVsfNa5CtqCVFb+Ka/L7Q92spZeGXD/T/er92 +9VyTTfY73ch0BSuQc53t0vBZaZI/6lyf97qH9cu13h/k7I2pjHUtlp9pcgFJxsyfO3tu0oQC0s4r ++JZvzN/10kHcQdJArrTcaL4uwUFuGh5kL3x1pdNMBaa5z8EGyTRai8lvcmhf263L3kczH8J8lXuu +62sd4CTtPJp5ymenVClKljkJfXN9aw74OTytIg3NmK++IAhMGrYnV3A0ll9o0CS5kv2bHbGttFZM +49UJAHSM59u3xeeOocLsSYJkM/RvsoXBvqye6WrTyl9qoHIzyoQTc4rQtD+3tHaTvsuR/uQ/smu8 ++CANh+kNFpNrHdZ8I/ey1+p/aOsh891gfnSx0HlrpLz9JgcH+jC6rjRAVRI4p+XaUB4d/NGJbZ0M +mSMMidLa+e9q55qU1wqYenPyjUwjIO+dB/esk1CEPRwCtnFTctV5QX99qU83dW52JwZ+JU07Zo/s +sYRczvTf31LA3OlkVAixnXyPa3EpiH//7dY2pnPQHpp57OL/+uN2cnWq8V79P5z66qt+i3/WXFOq +O3GBv75wYFPtKhw+O3TVPYl1L48u9+m+skljvTmVy1Z55cfdWcgYo+ztNO5Kj5Ml2X3L0eGg6R5j ++G1El8JD2o3E39oRr1zs7Hf74as/uZkepI7z+uvD5IZ7kGeWt+TFyw6g6kljGz/u5Ie83HGwU9K4 +NeUxAdS2ztGpH66t7jUlr2PqxG/SKZk/Dkpgm0YM4nvF/5kjp8/CttFknjQj1l+lvuqZsxfJ7xEB +CN3db0k1xzhkqpiYrO0R8oNH7eP0LfbLrJyy65ALe/fo2w8uj60SpItO4ruRQ5IbuRF35l7bhyef +/CRi/Tcr6eKkUrq5hYQ7ciPIV3MNmbhcmuSxt002H41Dgtsersl7q6G9jsnGvd5lCm9ETyAu4aYy +PXYw2LQTjPEnuR4qnh60HXFPg57NdLjErB9t/sgyap4cauW5XXz3BmZvs4LdKpgdU80jLGTcbnAg +ja0qSJ1984LTXKRVSytI+7bg7vl9q0s2w60H4rGXhUzmW4/eGWtsNjahCLdTBBBP6s83itf6o8zP +Nn3PNvFtlIl4aW0E0EaZto1Bd8NtTbkHMnr42vtMXEeFQOrCaZNsJNykO7aRAE7Ucu8a8j4qSY/O +jLV352ctHsgZDd5/11wzEWbkn/O3vM9ILzj/tFNNqTObcs3GVEYRy/wF05wp5BUtNVlXnnEJySZV +NPukhbb9RGGZnEjfb4kfxqsMgI0Y8ZowHWHtWet++95BGpvyMKYso2taBDwYAk7A10nQqlxH3Vsn +jgj7fAhs6vFnqC96vtJEyqMRGD8v74zyIGPJ6MJEwBIC3yE9G7qMC1mX0H1Bz3fBNVuT9PZYYJxg +YCLvTg62QS2fO3hS63Zp22nct8l9vBsI7AAB7NTu5LLpaq7j6mW7yPQ6Jl87APZYo0gTrRt2FKkj +v+eo2zB1OFZp7SRfrsS5k8yvtIyNRwakHqRsJ/AeZyQo0ZQzXPV9xWUntsUn6tvDY89xyity1ZwJ +Yit6qq9TqdGNpKdVAj+AibOOZMhjXmWYJNwnd5Bo3Vfq1LTLc//uIENegcBzI8BhG4svygWd+ojV +n+fOb6S/HQKIeKHl72t5BtlU675dDuLt50AAmV+ZN5i43goCbB685qAkriDtb0XsL7OcT7zKyDSG +e+YyJvMqI7fpXGjZc68y9e8DeZV5mQhHrl8bAvmeiddWtihPNwJB2t9gzQjy9vaEHjJ/ezJ/wSX+ +YfYCYa/t2x9daePqNkXczlSGDCwxpR/jwGabbI54107Ea+1WsvzVU5uVTP57lbEsUayGy1C/Sxo9 +F36DSGpdY6URRYsg4xAI7MfhFKECgUAgEAgEAoGjRwCrEziVqaOPgGsqD48vU6nXtzq9ypDvmhQ2 +pjK2dCR3kOk9N5WZykOCtqnWpjIKkfwsdopoQ+Je01jyeXw8lVzZEU51gcmgSHWl0xI5xMkun8Gb +z08BJDu61ZVg4n7X9nWi0kEdpxzmoINU81NU2R3Mbzu4BdeCm2x/7xRN3AwEAoFAIBAIBAKBQOBt +IrCh46gDgpVxzQ6vMthyTjOvMpjNcBCY2bMrl3iV8QOYjK4nrzIlk9+1ibsRdR1GNNdRsx8/cboo +CR8Qp56kdFq5nYJ3ImKdvGeaF54POiJ3eXrGw8lKp7ya5960GcDAga//cT05u9cRtzowc2bvty6I ++Vzv/nwxWeq5FdeXO/AjdytHPr9f1RMuiyPY+/PXiMhBIBAIBAKBQCAQCLwEBFCAnohm4Xfd6RXm +4R908uns1zM7YOm5uaYrbT+IS05PdIBbAdg2E7TfDXf84cAGKppPUkrWYWsTd4tQqfz8aT75+WMX +y33GKpImEDoXzTLB0bL/+Ofl5FKZ/mNRTa6h1kx13KO/vi6kbWfac/mxmvzyj3OdZvV0FgLYKNNt +/6OIesX7IJ2cSS/k7QARfvrlfPLz51NbErHjbeM6CAKOdK8p1EFyEYkcEoGQ+SHRPp60Qu7HI4tD +5SRkfiikjyCdxKk4uMg9R8GrPh2b6t25XzJrMe6RTGUee5XhFKbHpjKLzKsMnrE4/RVtsO1h3bdX +mbl8dFXtE5OeXe5Lswuy06oMx2pyankUOb+6niylTp9+lPZdt2yBA026jrddyN3U7B+nMoU5aY6d +9XriM6abO7kb/ConPpcyuflw8mN2JEf/q79uhf795OSXs8m5TQlF3Ksg7oeqDnUTB/VY6TgU5s+d +Tt26sBP0HvO5cxTpHwKBuq3n5xwfItVI4/kQyEfz58tFpHwYBHRskc4w9RG9TvMUf7BHyDWf+Lfq +9CrjBzD98CpjSwrpAKbcqwxjGTYsdgBTAe61Ne4Wn0bNKx0ru7ivj0U4AksZy8dcJ1Cdns/qk/UY +1i2fi8nfX250tP3d5EGc/UTmNJWWXgzjW5VB91cPy8m13E59+cox6DUhyIk7BP/69k7HpNfH6871 +/oRjlPV98fVmsry9nzzgtuqrbrjrqvZG2cPU+zeXCnI/1dF6yOReruIwmTqWOvnmhHGgAtdtXU1w +Ptfy6b3JPK7XjYC1c8Y7mSHixz3a+euWN6XzMR3z15D5G5G3CNjJxXyCYtg4mCjV1yPimk6o6YtO +z2UXnZb5czXtj+88fMqO0bL73Zyr8N7YhYW1iTtW4mi1b67uJ3/+IT/K2abaZ61ayse5SPvnf55P +LmV/D2T4ef7jj5vJ1ReRbo495swWhZmeyVYdlHRgz5RDe/Tu9bUIgKZQNXAt+PRzoU0D+JTFSmZ5 +fyb7JlUsGTwtbkT8iVv3v/yltP5K6vzRInhW1F5+4pLNxceZZH86uZEsbv/Wqsix1MmXj+5xliDJ +/P35ZPJNE+rrK3V/IfPjlNUucpW644vL2eS9BvWlVje/svoZMt8FuscbB2O6ZH4eMj9eGe0yZ1iM +iAv/8k8pZi5kAaG470TUrr/Q3o+Ea6a+6PIncU0pDLHSGPYqA2F8bCqzgjfO2IoqhaPePpxXGWX+ +QXbhNzL8Xi2+m9eWZ7/kDtK83ORrDPp+920xub1OphSaubGR1PwL6Sva9olMXWx2z4Eujba8VZq0 +cbhiEUOndKKpn55K83Oj8l8vJhXRSz4Pui/lfVyHREByn9GA3q9scnYj70ArXIMeQ508JA5vKS1k +rh4PmbPSdWf9UN0G43qFCFgXrf5d2vb5qZbS1c7vULSYzI9g7HmFkB9FkdKYfirzU7aiRd9+FFLZ +Xybo1xesqGVJqO1zeu7RyN7cjmN1UTDLRaVOOR7tdaQjk4l50rc/1rC7rcc4eNfWuBOtcVP6SwZK +Os5jMC3GD7sIXM2ic1VMylwa1O9kz36itQo5gBTp1iyOsszkLlIorgbKUZtYycOmTul8kGYddc8D +75s/nwzsY8BinOxfRyhqv/CnOtrELcnpKOrk60D4uErRdD7TyTu16ZltNNeHBhxt77hktcvcpHM2 +Kvp4O0o8EfaQ+S5RPrK4am5RyQS2cq8Y0c6PTEY7zI7auBmX0MRTtCu3Njmacb2tKEhaXSfGqqfm +vEQVd8kmVGmU5jO08lBGKXzl6nCq5zNxUAzNmaTU7iCT+fa+3EE+EhPoYiVyBJquRsB5BskfuDKu +T2vAjXj/8bUm7NLWNVo6woysg0sR9jst0WN6k3uyPwYcRhbh1QQzuSfB0eCRgc1rxwrz1SDxdgpi +Cthcvul7yPx11oF6TbSjTdNnRzt/nUL3kTVt4mu8uEXf/nrlXfPdp1c2xj93e/e+qDOf5J36ikWH +9uFM58r4QoS8MZVJBF4cdDFfas+OCLtu3WOmIdMZO4BJBN7UyU1C3eLeSOP+omsO5rDJPGY0U28X +OJnabPz+iwYwMh8IBAKBQCAQCAQCgUAg0CCANtg07jWB5/vUjD9q7QIE3k5PxasME1OeiaCjcXcu +iRZ+f15lXrqsdqGl2UUcLx3HyH8gEAgEAoFAIBAIBAKBQAuBbrV58gZpYTHacMOQdeB7exr3ddCJ +sIFAIBAIBAKBQCAQCAQCgcAIBH7Q9Vy7W9+1HZj4RxFbT5Y1jc/2dbbrBHEfIYgIEggEAoFAIBAI +BAKBQCAQCHQi0GbiIud2fFjyNMi+eq76eCUOD6xvPHAuBUQeG3fp3+UCxbTwcnzYewVxjzoYCAQC +gUAgEAgEAoFAIBAIbIpAY+Ne77I1j4PyEjNNXmXu5VVmpt2op/o9k3ck+ZQxrzJsUq3M02F9XhA2 +7qX9k0HcNxVSvBcIBAKBQCAQCAQCgUAgEAg0XmVg4HB3CPwPrzKztCn1Xs5NZjPcRHKEqg6SS15k +8ChD+FV4lYm6FAgEAoFAIBAIBAKBQCAQCBwCAezZ5d7RTGXsq13Sq6fE3VRGAXRL3iFrd5DG9fND +gfrzGhr3Q8gx0ggEAoFAIBAIBAKBQCAQeJ0IPHEHKfeP7ETFWTv8PbmDnErTbkcg6RlHCdUHMNWQ +hDvI11k1olSBQCAQCAQCgUAgEAgEAkeIwGOvMjVp9xOVurzKbFKE0Lhvglq8EwgEAoFAIBAIBAKB +QCAQCIDAhl5l7uRVBguZeXiViXoUCAQCgUAgEAgEAoFAIBAIHBKB5FUGlzGYyphhTGPqLtMYmcoo +SG0JP5mcmE/32jVkMocvZjY07kWIIkAgEAgEAoFAIBAIBAKBQCDQg8BSDHzxYNpzo+BL2PlMm1Rr +A3Z3BzlZ6Ld5lNHNB9xFirTrJSPt4Q4yqlcgEAgEAoFAIBAIBAKBQCCwZwQad5AcjSot+rxWuLMp +lWvum1TtaCUOYFIAmcDfP4iyy6sMpjL4c39gi+oPQ/nOTIfGfc+yjOgDgUAgEAgEAoFAIBAIBF4x +ArB0adMrNOho08W++7zKmHK9w6sMhzLZAUyFK4h7CaF4HggEAoFAIBAIBAKBQCAQCBQQeEy73S97 +fXesDXsJ5CDuJYTieSAQCAQCgUAgEAgEAoFAINCHQO5VxvakVukAppq0T+v9p5OFNq0uZBoj63eM +ZSbhVSaqVCAQCAQCgUAgEAgEAoFAIPAMCMhYBpou+/a2VxltRJVRe5V7lVEQNrNC4vk3mcMXcx0a +9yJEESAQCAQCgUAgEAgEAoFAIBDoQSCdnGr27TiYudcfKdt/eJUxPq//IfZ4kcGiXZtWuSP2Xuvl +axt3HM8MXUHcoxYGAoFAIBAIBAKBQCAQCAQCmyIwRXX+II+O0HF5idHv5fSHucwseZVZaNPqw2wx +OUPzfiLyvlqapl1B9ZlN3uldCD++Z/quIO6bCineCwQCgUAgEAgEAoFAIBAIBJJXmRMR8kpadLlw +rw9WQnuOOt3s3qfSta8mJ7Wzd32rD2MyFb2CisMnP/AJzp7drEHco7oFAoFAIBAIBAKBQCAQCAQC +myJgm0+lTccN5EJadNj6zZ02our2/NRind3cTm7QqM/ndgbT6v5+cn+3mMxOz/XRjQeF//tmskAF +X72r1fAdVxD3TYUU7wUCgUAgEAgEAoFAIBAIBAL1UajyGnM3ubm+s+2my293te26iDumMnd315PV +99XkVr8vCH53P7kW0V/eLiYXZ9qiiscZyP4DhF3EvecK4h7VLRAIBAKBQCAQCAQCgUAgENgYAQ5e +EuGWFh079xU2Mici39i/3H2Tpcw3mcvot21cvZt8vdLpqdKor2a6p3f+Xmo3q5H1hTaryvvMQD6C +uG8spHgxEAgEAoFAIBAIBAKBQCAQSAjMUaWnY5hW3+ubmL3IX0z94cIMRnbwzT1+6/ZSz7GFL1xB +3EsIxfNAIBAIBAKBQCAQCAQCgUCghABeZWYi4EbW0bhLd+4EHg08V/7bbin8d33hndmJnusvJL7n +CuJeEkI8DwQCgUAgEAgEAoFAIBAIBMYggNb8BOKdyLtr2iHsTujtXFWp2b8ntzPNM7T1YeM+BuYI +EwgEAoFAIBAIBAKBQCAQCGyBAP7c3VSGaJysi4w/iKxjSVNh/66/pnlPYWU6Yw7d7zlOlYf9V2jc +txBPvBoIBAKBQCAQCAQCgUAgEAj8QCBp0yHobE5tCDokHT+Q+iuXkZMTDNtTGF52n+4DHmUIFsQ9 +6logEAgEAoFAIBAIBAKBQCCwNQJpd6lbvPgBTMRrRF1XUrI/SmrJ6UsyrZnr7p2b2XRnJoj71kKK +CAKBQCAQCAQCgUAgEAgE3jwCnKxUuakMmnd9xwzGrGKSaQyaeHM+46Yy6bAlN5UxO5r+K4j7m69l +AUAgEAgEAoFAIBAIBAKBwE4Q8I2maNDxKoOHmMYxu75jKuMbVl0jr4OZLAzuJO+GcxHEfSdSikgC +gUAgEAgEAoFAIBAIBN40AuYOUiT8XbJfR+OOPTuHM5lHGV0PSdOO6cw72Dp28Ppjz7F5D3eQb7oO +ReEDgUAgEAgEAoFAIBAIBA6AgJvKGDfHVEaXb0I1rzIi5fYbbXyXqcxD8iqTSH5HlkPjfgA5RhKB +QCAQCAQCgUAgEAgEAq8cAfamNgcw2XGoSZue70jVdyPtHLoEwc8PW8L4vZ+0g14Q91deh6J4gUAg +EAgEAoFAIBAIBAKHQADmDjEXaXe7dog55i9o281FJOYz+rgmHnLfeJXR87BxP4SgIo1AIBAIBAKB +QCAQCAQCgTeNgJvKsCkVgo6HmSq5gWRDqt3r8Crjm1QXMpVZ4VUmTGXedD2KwgcCgUAgEAgEAoFA +IBAIHAgBCLtp2tmUmtI0axm3a0/mMU7Y2aRqbiRxJ1kr7fuuMJU5kAwjmUAgEAgEAoFAIBAIBAKB +V4yAeZXhMCVp2fHfjm9225SqMrvmvcurjJvKnIi1X4dXmVdcQ6JogUAgEAgEAoFAIBAIBAJHgYCZ +yiRvMpB2NOyzZO/uG1JPkn93U8ATJm1S5fdDMqUJU5mjEGdkIhAIBAKBQCAQCAQCgUDgVSPQsk/H +pp3L/LnzJZnFOJHnFqYyEP2lNPar+SA6YSrzqitPFC4QCAQCgUAgEAgEAoFA4CAIuKnMBC07hB13 +kGjg215ldM+9yvDcT06dorFPXmh6MhzE/SCSjEQCgUAgEAgEAoFAIBAIBF4/AhB29yrD16SB9wOX +eMxJqljJ2IZUruTXfQQ4QdxHgBRBAoFAIBAIBAKBQCAQCAQCgUEE3B0kgeTZcTLL3EH64UxsWjXW +jmbdY8PuPX0f8ChDiCDuUQcDgUAgEAgEAoFAIBAIBAKBbRHAVMY2pIp94yEGEo4XGWzb2ZSKJh4P +Mn4Ak9m2Y06jMGjm0cRjVrPMT1N9nKkg7tsKKd4PBAKBQCAQCAQCgUAgEAgE0Lhj1277Ud2rTPIa +05jKOEGH47e8ykDozTY+DmCKyhQIBAKBQCAQCAQCgUAgEAjsF4FHNu0o2SHiIuSQclxDFr3KcHJq +/xUa9/2KL2IPBAKBQCAQCAQCgUAgEHgLCLgdu5F3SDpmMGjRKXxyCwmJd1eQxullFoMrSL4nXh8n +p76FyhJlDAQCgUAgEAgEAoFAIBB4RgRg7hBz3DqmbEDMV2LktlEVu/ekfXd3kJB79ypjpjbDV2jc +SwjF80AgEAgEAoFAIBAIBAKBQKCEwCOvMhByNqlCxvX3gQOYROLxKgOpt02r6ZTVKRtSde+eA5jC +VKYEczwPBAKBQCAQCAQCgUAgEAgEtkPADmDCI0yyZzcFfNqsin07hJ0NqZB28zLDc0xlkleZuUj7 +re4lPt+VmdC4byeieDsQCAQCgUAgEAgEAoFAIBD4gYB7lZlBznN/7YmomxG7G7RD7BOJZwOr3Q93 +kFGdAoFAIBAIBAKBQCAQCAQCgf0hkJvK2AZUXVU6cMlMZcTo+Y223d1D4vrR/LmLtC9R0es7pjM9 +V2jc9ye+iDkQCAQCgUAgEAgEAoFA4K0g8MirjGvbk8cYI+3c6/AqA8cPrzJvpZZEOQOBQCAQCAQC +gUAgEAgEnh8B9yqDPTseZDKPMXaoUo9XGbOD52Cm8Crz/DKMHAQCgUAgEAgEAoFAIBAIvH4E4N1G +2FGsJ68ymMZgCtP4dNd3czSTNrFC6JPL9wm8v3CFqUwJoXgeCAQCgUAgEAgEAoFAIBAIlBBYiJHj +rx3Nup2Uygsi6N8h8SLoVSLrC1xBEixtXP3OsxQ5r4dXmRLS8TwQCAQCgUAgEAgEAoFAIBDYBgFU +5rh2xH+7a95zDzFsSiV+7N4zrzJo381Uxtl7fx5C476NfOLdQCAQCAQCgUAgEAgEAoFAAAQeeZVJ +avPcqwxqdU5M5YylR6YyyavM/YMe6CGcvucK4h5VLRAIBAKBQCAQCAQCgUAgENgWARTuzcGnyauM +x9m77xRTmvEJB3Efj1WEDAQCgUAgEAgEAoFAIBAIBHoQEHO3TanJq4xtSE327X5vJTOZhe6heTcv +M4RJLiPnYvd3um3mNN1XEPeofIFAIBAIBAKBQCAQCAQCgcC2CJipTDKRMTLutuyK+IENqfzGqwyb +UjOvMpi2Q9YXj1T2nbkJ4r6tkOL9QCAQCAQCgUAgEAgEAoFAwBBI/tpN266fj/abuk2Mm9HwO52c +SuABbzIObhD3qGaBQCAQCAQCgUAgEAgEAoHAtgiYxlxk/EQkfCpTmCVeZBJZP8kIPa4iMZXh1lLP +l+kAprkM5G91k3s9VxD3bYUU7wcCgUAgEAgEAoFAIBAIBALmmx02DiFPhur2W0TcTGWS/bv7d19i +557s281UxlX0KY4ORIO4RzULBAKBQCAQCAQCgUAgEAgEtkXADmC6/0HeIewrNqpyGBOkXXYzfEfV +bhxd/5hGPoVZJhv3cAe5rSTi/UAgEAgEAoFAIBAIBAKBQKCEAIw8eZUxjzItrzIw9gfdm2Xs3BXt +cwUPrzIlgON5IBAIBAKBQCAQCAQCgUAgsC0CaMxx8Zi06V3RmQUNmvf0F2JvWnhdaOwLV5jKlBCK +54FAIBAIBAKBQCAQCAQCgUAJgUcnpyZ3j3ZyKqQ8dwfpJjKJsL8Ti8cm3k1lBtIJ4l4SQjwPBAKB +QCAQCAQCgUAgEAgESgjgVQYf7WxI5YN9e9urDOYzZsqe3EDiUeZ7eJUpQRvPA4FAIBAIBAKBQCAQ +CAQCgd0hYJYuHR5hMIt50IcDmGofkPqI1JvXRwi8vjQeIJNv955chcZ9d+KKmAKBQCAQCAQCgUAg +EAgE3iwCnJyKOl2XbUzVZaYyEHY07WLw+G+Xu/YfJ6cSCM28wtzD7vUwvMq82RoUBQ8EAoFAIBAI +BAKBQCAQOAQCcHZz+4hWHfbN6anJDAYvMhWq9+RVBgJvG1n1HPt2HoVXmUNIKdIIBAKBQCAQCAQC +gUAgEAgEYO7uDjJ9bYPiXmUe3XfzmPAqE3UoEAgEAoFAIBAIBAKBQCAQ2D8CjVeZpEmHxE9Fxr/r +7wLf7SjZMZdxX+7JsH0q7Tta93sR/xV2NP1X2LjvX4yRQiAQCAQCgUAgEAgEAoHAW0AALzL4ZTev +Mrh5zExlKD+27OxEneHLnTBsTHVTGTH7OIDpLdSSKGMgEAgEAoFAIBAIBAKBwLMiYO4gRcLfJft1 +NO7mvz2ZwqBt59RU7mPjjv92M61xUxl+i8wvGxczT4oTGvdnlXAkHggEAoFAIBAIBAKBQCDwKhBw +Uxk7eyl5lbFNqMk0BoJuv5Om3Ug7P91URl5lMJWZdriUTAAFcX8VNSUKEQgEAoFAIBAIBAKBQCDw +rAiYVxnXnrtXmWQG46YxkHUzp0nknO9mTqN3sYd3JXxPQYK4P6uEI/FAIBAIBAKBQCAQCAQCgdeB +QJdXGSfyEHU2rWI+k0xljKUnzTxa+LJTmUkQ99dRU6IUgUAgEAgEAoFAIBAIBALPiYB5jeEwJSfo ++u2mMmbrjvYdrzK6j627aefRtGPXrr94lbGH/VcQ9+cUcKQdCAQCgUAgEAgEAoFAIPBKEIC5y04d +rzJmvo4tO2Qd0s5vM36vTWVyrzI8clMZ3nMlfAcqQdxfSVWJYgQCgUAgEAgEAoFAIBAIPCMC5lUm +2a9Pk7G6a9o5SdVu6bmbyphXGbH078mcBjJvp66GV5lnlGIkHQgEAoFAIBAIBAKBQCDw6hFoDmCC +jyc3jzMRccxnHpLWnU2pRtDh54TRb+fqEHpMaexG9xUa91dfi6KAgUAgEAgEAoFAIBAIBALPgoAR +cQg6H76n32jenaCbBQ3eZeLk1GeRUSQaCAQCgUAgEAgEAoFAIPDGEHjkDjKZweDP3bXsdlIqXmV0 +zzatsolV343T69k8Tk59YzUmihsIBAKBQCAQCAQCgUAg8HwIuJmL7U79oVX3DMHnMZUxsp7CJMuZ +CcS/cIWpTAmheB4IBAKBQCAQCAQCgUAgEAiUEDB3kATSPw+JlLt3R9fGT9NJqmxAdW5v3mdS5M29 +7sSCuJeEEM8DgUAgEAgEAoFAIBAIBAKBEgJ4lYGQ46/9JJF335Rqm1R1j1NSc68y/PaTVN2rzDK8 +ypSgjueBQCAQCAQCgUAgEAgEAoHA5gjgVQbVuW9ItQOWIOz6YwSeZ8mrjJH15FXG96+GV5nNsY83 +A4FAIBAIBAKBQCAQCAQCgbUQMFMZEXLzGqPL7dcbrzIdpjLmz13v4FUmTk5dC+4IHAgEAoFAIBAI +BAKBQCAQCKyPwCOvMhD0lhlM41VGpP4kbWI1N5B4nlFw9yozkHLYuK8vlngjEAgEAoFAIBAIBAKB +QCAQGIeAn5DahMZEJrmDhNzb1X/oUp5IEPdxkEeoQCAQCAQCgUAgEAgEAoFAoB8B8yoDIdeFf3Yu +fnNCKvbrbD7Fq0xj857CcI+TVu/jAKaoXoFAIBAIBAKBQCAQCAQCgcD+EcCrzCwduARh57AlO4BJ +Sc8SYYfEP/gBTBB8N5XhACb5jrzVPVfCd+Q4NO77F2OkEAgEAoFAIBAIBAKBQCDwphDoccj+6DaM +PhF9sEEr/2M3aydaQdzfVCWKwgYCgUAgEAgEAoFAIBAI7AUB3EGai0cR8sZURpp0zNcXIuiYyqCJ +N6+ReJ1J7iCxgcdUBq8ylZ/Y1J3DIO57kVxEGggEAoFAIBAIBAKBQCDwphBovMokQm6no+KvPflu +f+JVJhF8zGcqhQuvMm+qukRhA4FAIBAIBAKBQCAQCASOAoFkBtOZF/cqkx46uR+R79C4jwApggQC +gUAgEAgEAoFAIBAIBAKDCJhXGffP7l5lkqmMHcrUZSqjd6YKs9QzzGkKVxD3EkLxPBAIBAKBQCAQ +CAQCgUAgECgigK0MFwQdop5+fseeHTeR6TTV9l+7ne1aDa8yRaQjQCAQCAQCgUAgEAgEAoFAILA5 +Am7j7htUTcuOjbvzeWnWcRGJ95iTdAATm1hzO/geZzSeqdC4by6eeDMQCAQCgUAgEAgEAoFAIBCo +ETCvMgmM/AAmCLyZweghhB3HMQ8i9LO0iXWavMrcP+iBHqYznLpgDeIelS0QCAQCgUAgEAgEAoFA +IBDYFgE07ieuMsfWPbl8xN3jLJnJ2GNIuyem73iV4V5SwudWM+0sBXHfVkjxfiAQCAQCgUAgEAgE +AoFAIAAC5p8d0p68ypgZTCLzjTvILlMZvYLGvnAFcS8hFM8DgUAgEAgEAoFAIBAIBAKBEgKPvMpk +ZJ33sGtvDmBK/t1dtW6EnjCmsh9MJYh7SQjxPBAIBAKBQCAQCAQCgUAgEBiNANr2RNz5w09Iu2ni +k107cXHokv802/jQuI+GOAIGAoFAIBAIBAKBQCAQCAQCGyNgGnORcezcfcPpItmzm393sXM2rbpX +mXcYtev3MjtddYVPd3cb+TQnoXHfWDrxYiAQCAQCgUAgEAgEAoFAIJAQaExlkpcYbp+kTarmRUYk +vRJZJ5zZwid1uyvoIfSmnk+HOHUAG8Q9alsgEAgEAoFAIBAIBAKBQCCwLQILMfIZLh3dswymMCLh +aNvNq0zy427pcD9p4O17Stz/9uQliPu2Qor3A4FAIBAIBAKBQCAQCAQCAUOg5VWm+a1HuWbdDmBK +V3MAUxnCIO5ljCJEIBAIBAKBQCAQCAQCgUAgMAIBN3PJ/bm3XmOjqlvF8Mjs33VhIl+4griXEIrn +gUAgEAgEAoFAIBAIBAKBQAkBs3FPanWzYc9+5+4gYe3NyakKwwFNS91birmvwh1kCeZ4HggEAoFA +IBAIBAKBQCAQCGyHAF5lbAMqNusyhVlB0PUbQm+mMe5Vht8KY4Rdz7+nd+Yi7bfhVWY7IcTbgUAg +EAgEAoFAIBAIBAKBQAkB9ypjjmSSqcwsbTxtNOxsVBVRX4nI20ZUCDzh9QmvMiWE43kgEAgEAoFA +IBAIBAKBQCCwCwRg7vIq8x3NOsQ9+We3qPV7ofto3o3T43HG7eDrx7WRu7Tu2b7Vdq7Cxn0Xcoo4 +AoFAIBAIBAKBQCAQCATeNgLwbnP76DtP0axnhythQgNZX+h+bjqjn7XLSBF/9zzTg2QQ97ddxaL0 +gUAgEAgEAoFAIBAIBAIHRaDgrH0gL0HcDyqoSCwQCAQCgUAgEAgEAoFA4FUiYDbuyc5lhRrdf6Nl +1wc3kFNs292rTArDPbzK3IdXmVdZL6JQgUAgEAgEAoFAIBAIBAJHiACmMCjUzSwm2bHze5YIPZtW +ue+bVvnOPcJMw1TmCCUaWQoEAoFAIBAIBAKBQCAQeHUImDvI/ORUNO2QdEqKdxl3B6n72LjjDnKC +1j29YwczhTvIV1cvokCBQCAQCAQCgUAgEAgEAkeGgG8uJVtuKmObUJM/dwg6v/HhnruH9AOY7uWR +xrzK+OmrT8sXNu5HJvPITiAQCAQCgUAgEAgEAoHAC0TAvMqgQYd4Q9jxKpMOV0Kb3niVgcATJmng +OYSJZ3Op5u9qnt93BXF/gfUishwIBAKBQCAQCAQCgUAg8PYQCOL+9mQeJQ4EAoFAIBAIBAKBQCAQ +2AsCfnpSh1cZNOxmKuNeZVIGMI0hOF5lMJUZuIK470VoEWkgEAgEAoFAIBAIBAKBwJtCYCbijatH +rGC+41VGf83LDBtU2YiaDmDC1t28yuBhRn/Zm+peZXgncf4u7IK4v6kaFYUNBAKBQCAQCAQCgUAg +ENgLAn5yKvbq5jFmpFcZyLqdnJrIvTH57iuI+14kF5EGAoFAIBAIBAKBQCAQCLwpBMyrTNpZyoFK +jWZdX92LjBN0I+v8I8KOdQ1cnUOacBlpKvsg7m+q7kRhA4FAIBAIBAKBQCAQCASeGQF4uF3G1DNS +zuFMiaBD2o3vh437M0srkg8EAoFAIBAIBAKBQCAQeBMIuKmMaczT4UqcjOpadnMHCT9PBzC5O8jG +VMZOahq8wlSmhFA8DwQCgUAgEAgEAoFAIBAIBEYh4GYu7oy9ReIh9TPZxrgCnjhdKw/xD+JegiCe +BwKBQCAQCAQCgUAgEAgEAlsigMLcSLj+wZtMQ8rZpKof9tyfYSqTbNwJ5zzf//ZkJTTuW8ooXg8E +AoFAIBAIBAKBQCAQCAQmC9g5p6KKfU9F0NmgaptSdTs/KdVNZfA8w6mpba8yy/AqE7UpEAgEAoFA +IBAIBAKBQCAQ2B8CeJVxG5jvLa8y2LrzrPEqg+27H9KU2b6HV5n9ySdiDgQCgUAgEAgEAoFAIBAI +BBoEzFRGBN2Iuq7Gfl33zE87vh8TqTevMgrnPt+X4VUmalIgEAgEAoFAIBAIBAKBQCCwfwQeeZWB +oGMG0/Yqg7277p/wHHt3fcdcBk38XBr7u+Fsho37/sUYKQQCgUAgEAgEAoFAIBAIvFUETKOezGIM +A0h7++q3a89DBnF/q5Uoyh0IvFgE6Ny8A2S5UR9++nLkiy1XZLwfAbwvoJVKcq7026pA/+mCgeYL +RoBmzeY8c42HoPmSTAtC5i9YsG8g6+Y1JpFy+iwufmPvzoZUBio07Wxe9ZNU7eTUtJH1XnV9dTII +1HbEfYS/yYOKqS8/0+jcDyqHAyfm89aFGkTXHPbA2Ynk9olAW2nhaYXg94n688edy5exz/d/PX/O +Igf7QMAn4XYWDcLX4M69gpu8fWQl4jwcAuWjhw6Xl41TwqvMLJm9QNhx9wiBp/7iu93q8ZCpjEj7 +rcLkyvlWZrYj7kR2IrJ0PrdohxReTXvDrkcNseqQ0Koh3gqjAo5RoFm89/eT1W2hRZ+dTKbzuvcf +E+/GQosXD4rA6l7Jnaqiqx7OTmeT6vK07uNfRQ9wUChfTGL0E9P3krk2+VSzk8nsUv1gyPzFyG/d +jPq4MD2rJu/UvGf8d/5+stKwE+18XTRfTnhr0xq3K8l8Op2rna+inb8c8Y3OqTE38cLVzcPgO9Up +Y/z80ZlFXS+sxTUTTxjDCWuuqXzeDuezzlPXAUysFPPRMyPx2LZDSFOOsW83LJJWfgCNrYl7NZtP +Ts/VskzL3z9FmDJrtplGTdyXHdMJC2NXf5jHZdEbivNeAp3c3TTvNbZDTARSlman08mcfHJrIJ+D +NSceHh0CizNl6b0meZqUVarws4kIXWhljk5Ou8zQApe4TNIk8ynKDZQX3IuFtV3CfDRxIVuuGe1c +g90M8n5+EjI/GgntJyPIffp+NnknJd5MA3nIfD84P3esU2mllyLui/uHyaLNoBnLnSeLtM8uTidT +3evij16OR1wTi7qOcWFTrnk3u5us7ojUuW4H58UdZJW00LmpDPkwbbwKOYUPqyDu353Mmz93Cpe8 +ygysIm9N3I0ZQ5y5bCdsWhLIa4NPIAS6jbRoSQnrywdGtJRLaVQmc7T3CnOjeB7cPqijavGOtKwT +uPiVlToF6pk8AIJrYY/NxOe5W85LTj8n6ZKv7eEeM31+yWV+63nPFRJ+Sl1ZSfHWUXu55fc2nrdr +UwLpE2395cq1lPO2AiZkXkLsZT6nDzdO1teYVRGoC4SZpzDSfHe2/5wXThWxcU1sxhMvtT5DLAHO +CteEJN8NcE2vg8417/SeuW8cYNXkE1MZ46TuVSaZzkDa0axD0EteZdr1P5Pu1sTdnNkAhDQgsPEl +IHQkWF0IRNzcwJ4pFJhBuBOgUwmk0oxqAtgS4OpCkVzr6wOgP62PzNIqTHTMUT1hUMuAWDegRGET +Ga4BQF5mzX/DuUbsVIG0f4l2inzDUuYV1wlk7m04n4RHu36dQk8adytcMndu9imGzF+nzClVLncf +t/O2/3pL/qZKZtr2ZvNx/0x8KtI7PZnbqlvNNZ9yQ+OF8EjxSRlWpbCiB5mieCrSXocRS5CKf4UK +n6uPa55odfeiNgevjc/bFXNTcXWVdVzcWxN3yzIsSaYoFerv5e1k6ZryVJ7pR8i6iL2WCVZ3olYC +rWI54UILHpBzbFXP0MYLwBstRfCeJgJTaeiX1wKqFR/WEJNzvX+mz0vuuJFRLO9vWuvjvUAgEAgE +XiYC0fe/TLlFrp8PAWy/mbifi2tOO7gmpB2rDrTyMrsxIn56KgUvhFFcE/IOacdkGs7/9WZSMRGA +wF9Uk+VXBfix0bIup161OJWmaebHXKZ7TsSuMZXRb7vF6gFad8WHjaefpJp7lcGcxkhu/7U1caco +tokEtSem7ksBdX0/WTwIBHVO0/f6LfBWIC4SvvymN7RMUH3SfV5YCGCAE/FfXT+I96MzlQWS7tnG +lMWpgsh+3Ts6JikQf8VpBu4PFH4MmkcWBrlQCSluV/4pJ5O8vudHVpzIzggEkKkvC/bVWeoF7fYl +1ukRELy5ICWZl56/OcBeQYFLMvW+ncFzzD63VwDJqy9Cabwu1YlXD9A2BXTNtBNn/U6Eenkrnoky +GK6pfU+m0GWjq7TxSzktMWb68bzmi2psFWG0Z2J1LY29lMSTe7TyF3a/Egdd3Grwbbim9lYQng9Z +aEw2RpSF8RtrEOzYIec+ni8g7IzvxpwtfxYOLzO4i7SLALD8fu371sS9KQI2OxBRCqlrer3KNO96 +gD2P7TnVdwg3uxCS5Uxt2sRDt4/XDZcRwXlCOTRLmV7qGV5EzETG3xsB5DEFUdbNIiitvthMML8o +GvMSnvNdz4F37csh4sW+9z3M4HNJYJsNvaQxlH9zmTQwmy3lcRQwydZsVNg9BFKVRUlAZTZ5tydk +qeO3MDQVVqI2kTlZL+K9pTzGwFPMg9v+DURWimNMPtzGcFTYHQeinSNPzALHyJwwmxC5Me2jFMb8 +DnebOY5GpZSG1c0RbX3Tej86o3sMOELm1q9TL9QHGBfYtLxjsBzq+8f0FSWoSm10zHPa6DbjSymP +9nyP/X9Swk3110axjr7dZd7ZD4zKfwo0RuaDY+0W9c3zWWrnpedj+oEuTIxaqsEskyGsuObUrDyk +TTcbWfqXVOERNyQr45FNNYCLVvpg9YFZtuqeKZ7dvrbhmpB2iFid7Oh2ahtQk02XHbqEQPSbr3hQ +MO6qew/6e0Ji6Tl/0NSbtxmF79pVm4q5O+JOhA4Ss5eJ3H1g5mKWRukBNkqa1dQbEXQBNt8BxgYs +EXOeO4LMQjwwHeIlsyJIO2E26e2WpJDehwx3k0XspKw4Q15y0kC3NqHOOnZLBEIHUcsHbEz93aSK +Dp6iFo7ATYj++EM6+Azloq53OQVNYQyFtjkS96yigZiWkbqeP0m044anYRW/A297rn/IY588Ujmw +hdtI7NQsa9DavV6QeZ/cvU6U6kUXJJa2T1LJBR18S9vWDOZEQFjkTvNZ92JyS3/Vh9UO5FHKkmFl +eRiSeZJHZz5po2lyO1AvRuVDgfra6Ph2PtwXdOYDmSfSTtMzAk9esnZsMmfQTwOdTebVDwxMYbuL +bG20X+YuD0u/p15YGxx4PgrrNPD19RVNveibnHjd3bSvsUziaaxGsEvue5U5iSLn1Gd3ydzaNjLn +op/3OrHOcJb6sqF+85HMe/D8IY+1a1ydfx9jCn33j8nJ03Sszm8zviBxP+zGZN5VFuqExjDDuCMP +xfcHaj+aXlfCpXZuKXzTB05mNTLVCb6n9l7bXA/E2/XI2sfQWFz3/aWxdEgexRylNmpI9nAGeIdh +0NP/1/VO5egbH4qZ+BHANOirc91IHaswtSogeZsG3vIBwaq/2pZKo5iJaxp35yV9nJfqcKSpNPdY +fNi1LskzrzIpj+59xjkreYHUo8BuDmBKYTlviHxi5mPEsB+I3RL3Jh3lmkHpDlRaDcXB6cuToU4L +YONpwk1/8MGOz+YfjnvXrfU/4poLWAawIZdCtgGip0NimJwpDjNFWn+YfVxymxlmt5ioNUJP3/13 +vxw7nijSmq3qElZdgyWEWOWYCvNuLPI41kr8R2BLQ41I+xeerCxY1kiDD/WlZwChHF6UDQd15DUk +83rDi7JjPlS7r1rmpQpcwMmjp7xdK2EbjqGWKgMp9n0Upa9u0qkIby0KPp4s5tm2OqlIfOf+uqK3 +SQraEKXRqamo64Rddq7D48u6fczn6Oy2wNu1KEOTTvba1JPm7gGdejFUJ0ZB027f7Zc268qaWOzE +C5+kd7UPk4fCkE5XvUgTLas4PVgUy+lpDPWJ7hECYt0uc1N3E1ibtnNtJJtqNVfGmj2NmFLW5ex0 +SYydrE9iNszDk4Rp54nEDQ3GRYw9ANjh5cJk3te3ZzLvwbvxBrexQiS1Y/amdSqW6NclD5xRYLrQ +Vc9hmtZfKeyGWvdS3w1pn4GV+vY+Dra1zCmDEcYOKboSLn8GFnm9GCN8X4HvwcomBda/g3fHQEI7 +Rx48l4nIunyULJos5QK8ZsAd7ZgKznhvfU2jsn1cOhujanlsf9EO0IzrM5qPkTZNR/nnr2neaUk1 +ZlONfcY1mWVD6LtkOpRxi9PLRsOXoC0e8qrvxIdZDFp108CTuL5/55l+e5sYgGc/xF2ZXNwsJlN2 +/Zr2XTZFgIKgOM4VosaGgeT50TIOTriAvJPtkQCbsuu3VvnqbanmVRFXlWyUAEyd8zbXGPI1NFhb +h78Nf3OBUBhfQs8LZIJPH2sAG5ZWjXPwsnj1z1D8pThKWbO4lY/eNOhECpGQh6RJKCXX93xI5mgA +xpCzMfWmM33EkMs8zU0fhfV7TvI27dMcq14gkMVA5DzaicwH6lXq1AdlaeXYFIQ6Ztb6Sm1nZROD +/tnSmHrRW448+30y52XruLM6sm4lN3kOlLXYBukGCKTK53lZOw+ldp7Vqz6xusw7JnNjs4M8B7tL +PbR6MXDtRObg2FW1vKrlMt+kmq8j8774d0GciKPUtw8N1yZzQMlnt2OlXbedQXkZYRAfKZR1K5kb +CdSHcvK33Z1427e86OpSoo0pMuPkbKB2l8ZziGpprC3lg0nDkDLF+/YSp9iyb2+yCde8v6u5pmm6 +02T2ThlBa2SHbmLu0hIKWHyDi+oxbiFFqitbKdA91cnVibimnXK6aYcoEm6zdd4nbX57Q9R9x0na +/SYM9yrIfEkIk8nuiLu3OzpGQLy+kTlAGrzVEdsJd5rJLKg5nIrKBgFVALSg9asQeQF3K6KuslYC +bMUsRUR/SZm5tHEV0jydaLMBGge7+gfdp8WvUyodwFR6PiaOXuipT4KgMZfwRu8vIGsatmk967Ab +NXTTohcuwgx1IkkDUoxnKJlSGmPlsWln57VkQJuzV3l7Da33ydRmLJSFj2vhCJNkbvWCOrFJB0vd +QuoFmZbkOQaPIZHb+4PyGq53lr9iHKXKvX073woHOmFsmMfIHPlvKnNvPwN4F+XRtNFSzejHvJhG +qZ2PqbtlkW/dt28lc/KHzOm6Mb3taOem6U9jJX8a29wRZXsUpNCvFuUB3tuuKIzt20fVzQ3rnteb +PvxKz0v1siQXrBtS28VIwFaVe/p2ouIYm0bmWf9fSsaebyvzbcs66v0CpxjRXxWxsIYDHppDsMFU +zlCMaxpnF9dEoy+t/0JATyHzKM5thUEqYfpltPMizMtvIvxaPbBVVbgm7UFcc4l5y7XKwVyAk9h9 +dbiYsRQArtt4lUlkvTGVYaIKwWVlgvw6oXfNO30IJBpNdv+1O+JOGoAJab+RFxjy+045ExhL7eCt +zlSr5fJxygwDMNGq3KBJpyB8FF7LE+Y60mq4PhKK+erMKzi7fieckiq7Jj/4aSygxxIObNh8OHRB +3MBzUH10LAWKfBQRQOa+nOwT7/ZLyNwJe1+YYkIR4GgQyGXel6mQ+dGIaycZSRO2wb47l/lOEo1I +nhUBb+eM1X2TlGjnuxWRYZ48yjjXxDzoSvc434cTVnEbmdyQo/StV5L14VTTubjmT7IGcf2vcU09 +c65JUPNwqOtj8mC4TQkakxsSJBESSFp5blkZ9OH7YUxlmJYoMamWVndy5whwbdIh8o3rSvOpKcDo +1FY3uH6sWalpJrQRr3bVY5FJG6/n18w8ns7Ejczr6NnqhNlQWt4dBeqGs/pRca8XqGRfZs+31DKv +l6MIvXcEJNPBGlh6vvcMRgI7R6Ak09LznWcoItw7Ash0cNWrzsHxjEZ7R+T1JxAy37+MjS6yZF1b +dSyv4IdZsmjL4ZTsm8OVOI5MxL0X5jKybm0LfZ1qWcR8uaNpJwJtBjWu2dEiF3I1OZWS1Xy5Nyy/ +UFT3KoPW3V09LpIJjHuVMft6pY0i2zzP6DdZbLzKsJTjpiZP09te4876EGWGtEuz3rnBxAEFNXg5 +y0U4x88uO3GVdSZbRtSOY1su6AGIRsLyCGKst6XrYrpSk/6uC2jYXMj12J6tnnIt2473C7KJx4FA +IBAIBAKBQCAQCAQC+0QgEUFILr7ZZcNSn5ra4npQQLgmft0xd8GNtq2CPA5Xm4jBNcUzjbgzE1iH +axbK2pjKiFtaWsqYuX1U5h4g8LpnpjK6Z6YyKX/s/URjy9lGtumz35Zqe+KOD01s1VlW6NMyePoi +60OaiB82dyN0EQCtWdKCzRr1CVCdFza/2MvPtWRyak746+Dt616TikVrMrHPqhhxBwKBQCAQCAQC +gUAgEAgMIGA24XDaxDUxaenbs7YW1xzBM40wJq45R+Ps+Rx4d4HlCCRTL0LOzasMliH81ne06u/0 +nY2oD5B6guo7nmZM+a73e/isp749cVdMU2YVmkEsk//cg1VCzVxQ0Hfw8CdZqLQ7dpq08/i4eXrN +TRM/YkvnwYoXCY1AgLZAMCqCvuBFcJ0DzkakEEGODQGar3dsvuBW6OiOrQiRnzUQyLtrHy+Rd14P +1ogugr4QBOjb4WwoIhnkQ+YvRHDrZdPOm1G7fsArSZ/mO4vS9qYeur+Ha47k+XVWk6bdTGX4nn67 +Ibtp1vV55FUmkXpzpT6M4U6Ie+3tZq1SrSfZvtAu5PZJZU/Cy2XQw53tmbWrg+nbWU/PUYbdIPF2 +Y9HySYWLqiRX27FvKzDO6N4uNK+25LZkluRrpomsupW1FK8Wj9deMOvnJeOsndcyp8+Odv56xY+M +IXX6WDtPfXvI/HWJHGKbm0H0bQA0s5dn4mnONe0vWvTEOXolkVT/Riz9Hf6ifU/3/GwNNPFNGD0f +oYnemrizIdW40pg9ojm3Hyo34Uq4pKKadQ6duMXtL6UI1KdPZTcELHeyv5+yC9nCd6Btxxhy9G1c +LwmBlWRPm1/Jw9Dqdjm5+yo5q+ZXzd6Hl1SayOsYBMxXN4qM2ak2G8nE7e87KTV0ONbIPmNMGhHm +eBCoV8WRubaSzc602Uzelk3mcPkg7scjqd3mhL59IZKzqk4n99oDV8tc90LmuwX6mWODw1VwOJnA +zPAU2BwcyYP0gWNqE+niqubuRZ7mHK80JqzLNdl4+og/mv7/MYJm456Wh8yGPftt5wnomWna9d1s +3iH5+lBuJi2mlNi3O0gUIbe1cXunzt0R9mVNO5FUP/qWRHKJjFg2qUFJuPX14ezoVQcwvCZQk/pn +WDd45mbzspNfaef1/Xw1OXtYad/Kg8i7Pma5VdfJuF4fAsh8eaaO5706CHX2i2sN7to8k51c/voK +/YZLVBN3yVy6le9q64vvGsB1WJ8trNkRjXG9RgRo5wvZJyxPVQEg7Nf07d9D5q9Q2NbEmYgPlU0U +bfGwJtc0e/ieSNflmiiLiAvOPTQhMK8ykBCRcQYl9xEPP/VDndpeZdhMi8UH7+B58VZ/9+ZVhoyM +UOsDHBrRyQVG+yx7SbON+50bPciV5MR3eVK7jtSu4eo2OuVX2EZ3W6RURZiz+lm7UWt2C/Fxx1bU +vRx39iN3ayCQ1CoMENHI18DtpQctqU1fevki/ztDAK7J4ZyXiWuK7Fcc0oRetoNrmsWlXJPjjrx4 +je1z7ATXFBjSbq4gIfFKAQ28+XTXbzOVwa7dSYzuoaBHsW0a+2Ru05GxrU1lDIzS2IkzF0g7ftpZ +CjB/7WmJQaY2dhmxF/W65EeKkGeUqRR/EfEUYFfxjE1v23C7LPu2eTnW95FpLlf//tJk7fiGzIdr +mvVxycYQGefLkscq85Dp9r3HMmmvGg6XBrWQ+fbYHm0MkJs8cxI2Kywh86OV2FYZK5k7jOKaqhwc +4ok5FSRY53QaQabe+IZP55o/6T5poiF3zXypbiWOPa6cisxMYzKOwm87iTWZypjNexabfT+EqUyp +BGQSQg5YN9KiS9O+ulBe5QC/+lkv/6H7MnPAHcjqXN9pqGuBU8rAET4fO5CXKtERFi2yVECgtEoV +Mn99Vagk01KdeH2IvP4Sucz7+nqX+dix4PUj9vJLWGrnXsKQ+X5kLROT1c+QdgEsnlmJb64u5S0Q +N+Cz1aT6PTH388Q1Ja+9OELECgW3j+YOkkqh2YFp1pOWnb+midd99yqD6QymMRYGjf0wD95e494n +Aiff+Oe70ClW+EjHFp6lC/23kn3qCtsG8ojSnZkPTvWZiVhhX+nluNQu5WtTo67dFgkbe55O3n2l +iLz+YnlHjcxdY9iWOWHYj1LvkS66g3r9oL2SErrMacNDMqdeuHesV9z9vRKp9hcDQs7H5dneakM7 +p054OJbog8i97Grh8uYvbbjLfJhn3rePMct42YgcNvc5p3o/m1RYamD+Atec3UtRnMjWXB0rmnXk +Y1r2MSr8XRWly+wln917OiLvI8zP90fcPR/mgDXlBELO0gX5ZULCZTvEsTHS5jJsF2VCg2e3V3tR +djXg1akAoN7IRWW1yITqBE51bcWsDRLHnoU8zKsF55UWrCXzym3XvIFmpN3qBfJeqU7EhO1lV4is +nVfmOaDu6pql/jRRM5mrLli9CJm/XJnTjtmLlvr2pp23ZG79Olwi2vnLlXWWczzqTc6QvTbO0s5R +7ObtWHxmNa9lXmHyxUWYmLDtQf7qSOGWzjWZIWPRwRjsPFmbnCvG3p8lECZTu77gr+4pwQ+Kci8z +uVeZE+XLvM5QJ/Q5qFeZMYV2gsISgJHydCOfWSSCOia6rcNgtkOr6XNyb7sc0+ysKzGbPes5Qlh3 +oM01MnynA6cBtxp6Y9vnzvhHzMKeZNVcK6W43dYqD2RafcpBGJ+2tmIxrHQxU93katLowcrwKMlD +zwlCGTbBgXwXZV5Iw/PJEtemMvdOAsJmtnUZoMTPfZ+0uvZmXcxNw6uyIM8+zY9tihkoRwmrUp7G +tI9SGl5v+spRyoPJnH8G2nEpDS/HpnlwLRtyoP76aosP2LuSOX0RcfX1R8jb6tVAn7dtO2/ax1Bf +sqU8xsgcc0v2UfX27QmLofYBnrbqtUGfh5ypV8g8kfNHJ4p3ybzdF4wp55i6636ie/v2gjxK+RjT +J1Kvhvoar7ubtrGmbx8YH0ppNP3VQN0dwiJv50baWoFp93nfPuKAnc7kxsi8xEtK/e4YmQ+1j2Y8 +H5KHnlE3t5F5Xz7dTh5LD7toiMym009/XrKnL+FQek6dN4W+CDlp24ZUyp0NAm4+wwOe+8mpKLMT +JepLZv8ad1IGQ7QOwhA/rDYZSRPPhqgcauM4eREwNnbOlKmc3DQDKh03kww99NmaI0gYqwdakrGX +1+jcPWirTj0RksWv2btm56adWRcbK4fVkjrXU8rBvXY1kMmSlYOrvabL63UcnViVKi7PUz4sDZvi +dmGlPFBRqReyQ3siDzo8DlOyvRwd8hiTD71uaeDf3VwcdWExnMYKMqw4KjsoYnOZo4Xzt5tzF4hR +2hrKaM/NPm6Dy8Sd5G4yT52FR2V1ty6HAd3Gm3CSOfIyrLqel7LlaZgNXF8alLfOa28aXn+7ylHK +g5WDNOp2/KSdp+dNG8F1aJcZi/kTTv0AUltn0uht3EopmSJfRddoYVM1bNq2DXipYJ31s6fQRhTy +DqVVN1N/ZTK31c9W/U9p1W2Q/K1Zv8lWXq/4vhKYeV/DPbXjQXlY8VJ/1CWPsTL3ftmqcEc7FVZW +5JLMLT2VYx1ZUGbqtSC0vhsta5L/o3jy/px8rmsilY6At3aq9lHl5fQiN+28oxyj5TEAersv8UNl +crmnftfqVE9fskp1t1MeI2X+o+H01V2XuZ6368Sj/iphNSZdr/fWRSQ5I3O07pCbvN54vdigeT/K +ivpEG0upu119kdWr1O+25ZFk3vQDm/TtRO99opU/cQaXudU9H19oB93yWKW+vaJv72qjY/HvCudt +61727crPk3MY/fm6nGqdPJk7SNWJ705yeVm/rU5wTxiZO0h9hd9YMB/z9cMm3Pq7N3eQYwpjJCFV +poWWKNCEuHYnG9wsqnZlpKDMjPLOYEyaQ2EsDZZKOiL1W4RhkDO3PK3I+K2O1g4MWLfS8S5x01Fn +nbzdyyu/m8YkfrW2c3mLS3mnAhF3Hn9eHM14B8th5RNWZG4d0uJpWHmVRk8DrmWu510rMDxzvKyB +12E3qgsMpHkBuuqTfMA35yh3VQ1bEdpS5gxkatBmBmOdXCojxcIUStEbaad+bKIRMBm53Pvqru47 +geuS6ZA8htpVLnPLf+q0O9PIxNj13EmX1ZusbYxJ38OYzK2CddfdR1h1pGHtPGHFZqd16z/hE4kz +md/V8s0vm7hJzmYaCGa+krNOf5f6MzuRqpOoprrk8mjjmdKqNNDVl1fMNcAmjqZ99GBZkgfJNf3R +NjIfqHdWHVLf39Un5jL34q8jC97JVo6r+1Y7d3gJg8z56zbR66ST5Gz9arteejwuj65ypH51sH2U +xN/Gqiv/yJy+2453746wKUPfGFXKh8VbkLn69mo51D68Tywl1npOmWkuyBB5ongB93YTsrErvcvY +vu6KrSfrY2lfX5TLvC2PJHNT4vWdTDqm+H5AEGE706DwSR498ZkOz+ruBn3NUB4NFwniHRNu8Ypr +/fygTLqZSv5ue3zdZVZ8cykVwQ5Y0l8dBGrc/EEJM8ajvea+/yZv73QPfOEiVcPoO0u8P427C9U2 +AejgBMj6qTapckiOFUx0kIGxGTBS5c+z6QPCmAq1ThgftPve6VtazMNvU+lsg646dZa+ZOP+SNtH +md3+DZs4BvwtGnoRllI5SliVEhjzfikPY+RRzMeIljmUj1Ieh9In6VzmyJePtxGXuU/Y6FT6lvqL +5SRAoaylspSel/IwRjs9iLUnMEJmgx15CYeRWK1L2j1PTPDRsCQC/0Srn2Tc7GfY1O611MbGyHNM +mG2w5t0xaYwJs00+SliNzWdfHmjnTMwxk3Fb55zgeD/g/OYly3wMVqW+e1t5j8lDKcyYOtEnb3gh +YzSXmcCk8TqXORMY7lMnSMv79jbxLfWrpXyWnhN/SR7b5qGE9ZjnpTy0n+dc85v4JasCM3HNE3hn +0g635xJu9bEPzTtpcQATHM9NYxqPMVQYJvTqAJ54lYEAwAuV58L4vz/i3gxeyuBXjWA/q1bjhodN +HJpZ2PLele5jRwjwHFlv/t31HTBPtUn1UuS+2eix5SC+bmXYZ3jvtPOZeDs95A6pj+v1IODy7iOC +fn9Tovh6kHo9JaH/8pWzrlJturryehB6fSXxiXefwiXv2xkL1iVwrw+xl1+inJB3lSZkvn8Zs2In +rrn6qBmSDmBafYBL4mVGjezauSYKZPFmcVEzU+GCd36UyZlxzefmmajly9f+ibtpGyHvqrkcrsQS +AZUYIP1kVABkljFPzzhRlatZcnhlTIaOOi9SV8f9yopcroqvOESjEcjK2JZ5V5hXDMmbKpq35TEy +DxL38qvGkEJmTN//8hF4eyVwjW5f+y2N928Psd2XGNoIp8Qc6AK7JX1QEF+nk1ONUyauiSbc9pag ++cZsBW5KgGS3v03uLC7bqKgr2eTYxk7SSjN1/LfDe82rTOK7U2nb0cybFYrPKrozsn/iniqy+dbE +3aNfPrPxjkw+3M3O0sqgf8wuycv03LOgbaQY7wYCgUAgEAgEAoHAq0YgJt3PK17whzriw933MOb7 +K0w+CvCIa6YstyfU25TENqeSESJJ+1xsp6xIuTn8SKYyGL03m1X1HA5vppVi/lDegX1u+yfuOQCQ +defg5D+v6E9cb6WA0Ri2qULxbiAQCAQCgUAgEAgEAq8fAeeLfVwTZTbe1rquXXLNhVj4iUj4uzSb +4Ldp4tkPoXvmVUb5QPNuWyT0nGz56ap2s99s5rDE/fVXmyhhIBAIBAKBQCAQCAQCgcBzI7BLMj62 +LGbibSw8efCBtIuIG4dPpjFG0JM5jbki0oWpDI/Ne17SzPekeXji3gfkcwA8VhARLhAIBAKBQCAQ +CAQCgUDgZSDwrFwTDXqm2cdMBi06bp/N0Y0yh1cZvtuhJvru5u6cH/TsNu5tEeemMvmzrtWLIPMv +o4FELgOBQCAQCAQCgUAgEDgWBJLS+0l29s014d1m445GHRLLYR1J4+4+3LGL8TB+KJ7nd4RjksNo +3D1DHJWbTH7M7U4O7Ike2ExEl/s9Hji44VjqRuQjEAgEAoFAIBAIBAKBQOCZEXBO6Yd8moenjGu6 +ttvdjpNdwuyca7YdxDvx9bxA5Fth/KCorkO8WrAehriTKEBeztOqwHJSXWnn723KjQj96kJ+3s09 +zo8cVnfy14NryBEzkGeuLpF8IBAIBAKBQCAQCAQCgcAzImCHfX4UM+ckUg7Bu5E7SOea8uqyOu/j +monkb5v3xrUkESVC69Yjpo3nfiLyZvPORlQ+idQ3Guz+jByGuJ8JLJH2+kjgpci7DleSk/xqJVTR +vOMAX+S9YtZzpekGKwwf5ED/QmEw8ucAp9JpkNuCHe8HAoFAIBAIBAKBQCAQCLxMBMQ1JxzAJL5o +XFPnA62kEa5w0ahvdsgnXBPX4zcLcVH95rAmuCZhFjvw425p+YZU5Qdbd7zIcGFZYu4gc68yuqe8 +Nl5lsEy5TT7de6SwP+LumnOb/cy1KqC/OMKXBr06PxFQAovTVEXKq/uH2jOk/Guahh1flzjQf6+/ +dvRrpoZ/mdXpaa7dLIgn7RUFX+7xMLHi8DqknsszNxPzKk4jCJm/Dll7KUoyz8/ZiHb+OmQ/JHNK +GG38dcg5L0VJpvl4Dzd0DezrQ+LwJXJ6eCZe+ZNOSjVlr4jwtXjlz2KPOiV1JQ8unKpawTHBX5zT +uOWpfn+HzGftctsS4FXGzyLC9eRMeXGzGCPwEr67fUTj7l5luEdZjsKrDBUUAo7SHKAAzU+2eq/v +tyrkV0DkgKYavBXLHCLv1Tfd4ITVfVxt4rRuGtu8Txnn3ycV8gUTdhrnF5O0UwlUlam617N9Duhj +yjEmzBB+pfe94e2zM9s2jW3fR9aS50qbU6o7ydQmpNlF2XkuuT+7zLct65i2dCxplOrmmLIMhfG2 +jsy7ujJWF13mxLNpW9+2HK9FHmNwGBNmG7mXZM4GNdo6y/jUi02vbWW27ftj8l3Cehd5KKVBPseE +GVOerjDet5+o70ZT2tPOJ1IEr+TPu2qP9+uku89yrJOPbcLuQuZd6UO+UbYbp1JHCkeGqEujbhdj +LCerVj+4pj3DEgSFMWF3cike0kLTTlv3y3y6u2mMBah/s3GVv2t0BfvTuOcAuKULM5EzPYC4k+f8 +ZCh+o3261D9a3rBnf+pFP5hpl6TOTq9Sgn1yKj2nbLbkMRDHUAWgHlFWKhoXZc3zwnMqIM9dvpvM +X4gneRrqLKvV5wSsHcXbcRkW5K/n+VA5eeZpDL0PlkPPm3xuiLfLa0wafWGAYUy96MPDZcpzZNsn +c9fMAPcmMjfMB/Acg2VJHmNlPtQ+XNPc2wapuyrHNpuGXGa9ddvrf0+9GoPVEBY+kNAGXa65TMGA +usAz8moDTgncgTbah/eYclh/toN2PiTzbeUxBppS3S31Z6U+s5QHl/lQ3+5hvE60J/GlNMb0qx63 +uZ3r6butDRb63lJehvoa73cH64TSJ6+bji9NGgNxjJF5aRwcwoH27e3YeU3XeO7tnGeb9u1D9dvq +bqHP3GYMcwyKeBb6km3H0iFZMK7CjcECLml9WuuFnGtiOkPdwxx7V1yT9NCy24wg+Wo3zToJi52z +CRVSjztIDmDyA5mSMn6EifvkMMTdcFNG0UQoo5b/76nDcFApC0DLhAa7d5Y1dgZkLjebHVtP0d9Z +MMFgctHX4diyCgIfiKOrcnmF4f0kT1s1ITu+fEaY9BzNK5p5npkWdp3Lypk6RSMEHZ03jZxyGIns +sO2igRoWJKznm5AKTwPfpE9OxwVHpYE8ep+nMNiirYu34+Uy70uDcOSDNPrqBVjSyLGNW3eQSWTA +tO1oW6zjSjJPeTQ5616lhm0aWMKt27kTZ6kcLo8+LEvyGFMHPQ/JrvBJvfG66f1CV73yfKAFWRdv +zyNmeibTjrrrg5y145663bSPDfJA/GjYWFmTLG0F7Ya+O2vH2fLsaqpwHMCxyUUZiKsPq7wcXX1a +0yeS+AZl5bVSvSKMeXrYQh4lbB61cwJ39Hml/sxImPJpbY/BtZRo67nLnHaMto02/ZDJVb9X5NMv +k1vKauI75RSp10BJ36wMsk+sfZk8dHM5gDd9Wp88ypmoXx3qu4nDx9o+LOlTtxlfxtTdRuY9dbs0 +DhawsLaNnGnn9PEctpObwyBzwuiifzeS78rM0TJP9dLGwR47bKu7PO8pZ4PVALcpyd04hVfgrn41 +jecWT08+PI5Nx/NSHhlgT7Bth2tiO561D7gO8oBrCquKseWLGqBDuo48evNhDe+H1t3CeR9APVCa +1vQgvVSKlD/3KmPjZm5D+TShAxB3CsEHIcqbzIL1Qf08T65wlrpP/hOQRtr3omkHMIG3oOIpzZYn +nsfQ6Hm+GtDGzYRfCNMnVGTCJ0Fiwdr9rqc9mMdi7R0ugydMOYYGJ5vApDyPSPJpEAqnODrGlibe +OfLoCUDyhgN490VSyBhxWBoD4SzqASBscjNQjo2w6XgpH9TXjTPHCjw7i5Pk0Rt3er5N3SthafJI +GejMY+rQfLlzXRw8vNebwfcH2jH1rdqRzJFrG9OhPmadMq9SG+5rH6bJKZVjqBMYmRn68qHLVv96 +wvjtTftVb76086ELrEp1myhKzaQEiffxfeF2ALeNQV2knTRde+d1o50Pb4PIY5u88H5ft2x9WWpf +jLtdAcfIYwhrw3moAKSr5+RlEKtS++jIhJGu9HHMS3WrVG8G664SZDzOuUMefqnMlPrMpv31yGNM +/jyOLtghwoPy8ASoFxuO54N5TBNVKTEq6padYpqVNSPtphD6goJYESbF95jiF8OQBezV7fpe/2lI +eao0aNoh7GjiTZmjD39ot3wK0ByAuCNdfaz96O+1csTOX6/vmkGuLnVfRN68ykDasTcyIOtwxVIU +kSSAA5kIzVBbdw1fXxi730eMBjLjxeH95bt6vwIzPWbf/iz1M8Z13R56Xc0rWTDYaUQD+aGCgHXf +tammM4+PvA+aOyj9Uvl4v21aMkrmWaC+TtuDeBq98Y7IZy+OekD/waoJMneTCJc54ucZnYoaeqW6 +sfFgWsLK5TGU11KvUcIerL3e9bWhoXpH+t6BldIaeu5pdLUBb8ND9WrTdu7tT3K2FZS8HWcyNxnb +Bin1Bamb3Ki44D3YPrK+qnOwJdXUD2xK4orth36ukEap3x0DTqmdl7AqtY9SHpC5Bm1rx9ivg2dL +5raaRltHZm4mk4cppeHtQys4W/XdJaxK+fD2sU1fUuqvSnmwtjY0FmfjfW/d3mAsJ90kM7Nrh4P5 +imqXzK8VhtWYZaoX2ftjimhhqJtDMiuN19v0Z57JMfIqtWPKQFm2UVJ1gWbl0z9QPb5jz/6BLzaw +1lYOlxKarDpqTXuy6tg114Tn+oZUM5VRWg9q7JQXLbttQqXO6d4jUxm09Ho2l7b92bzKOLAAxhIu +SziYwYDoWVK33SmjzN4+6DfLk1f67oP+uX6f6D4FvulZGhpd41sBS4NT6XmqH5smX2+aqCtSJ2n1 +Ad20BKkSbprY4ARlRKRjsChFU4pj2+el9MfIa995sI5En2xi9ijbucz5XprM9JW5VI5dYLELvH2+ +2EdYxpSjlA9Poy+uMWmMCdOXD5chZSQv7bj8t2tYNpV5SaZjyjAmzBDeY97fVZh952NMPsfInHi6 +ZE7f71rTTWU+Jo+lMKXnpfZVqne7eP4S8mCTPX2gNX0y93IQblOZEwf9yNAkryTT0vMS3mPeL4Up +9culPPSOfUr4XqQK0ye4JqsTpwhFCX5Ly5v4cOfWtX6bxxeFZYMqBJtzgzbZb9KZH7eFprDflY4I +eaW/+bhnpjK615jKJOWy4af7A7tV96dx98plGo4HszeazKVV1wxjxe5abIU5hCkXMrMPuYq0CdKZ +/sGrypXyP6RZ2FTIz/meymwatoHLNLDbNPDnLF+k/RSBrg69HQorsnX3MrxUrIcGn12V6RBplPJq +loG+2tcReERfUEoinh8ZAiWZm+Z0mH8dWYkiOyUErB0Pt/Pa8GAgTCkNnh9DnzYmn4PkZtsIWu9n +XLP6U77bL/T8vbimPjK8Nn/tFZ4LUQ572FNxzQu5j2QCLXeRmJRX/MC74baXmecQjxIzTbs4MHsT +4OKmjdd9nWVkhP0BUs8DXVQNW2nmXWVowOxqf8Q9L7zyUZlbR/xpknnZusvHps1uKOPfAltlWLF0 +AKBczIbIPwVvXKtsi+iRvV+aPR9ZdiM7gUAgEAgEAjtAIPr+HYAYUQQCLQREvOVI/AfXvE9c0xRn +ovE34pr6/ohrYv5zzXNINX+3RNVNZYjGTGF0ccASzFz+5M0E3MyH26YyaN8xlWECoeCune/IzmGI +OzgmzbtNeBwYt3GSKUzl2mWWOaygFMzwf73Xa5g9v17pRMkCgUAgENgPAtH37wfXiPVtIzDENeGT +HMoESedy15nOSXdB2i1iIsJePSffmMrwG+26uK3NLfSPTeCdoUPy4b1kVBr3geswxN0zkK9CtDuu +5llrmrHt7OdtV+MofSAQCAQCgUAgEAgEAm8HgT6u+cgSZk9cE97eeJVJtvWNZxtMS5LWve1Vxrxu +pc+Ath0hHpa4D2kZQgPxdhpVlDQQCAQCgUAgEAgEAoF9INDHJw/FM23TKVp2fSDhthGWgpIBNO3c +RCuf/f6evMpgD2/vJdv3DnwOS9zJgM8k2gB2zTAOBfI+Kk7EGQgEAoFAIBAIBAKBQCBweASei2ua +qYsu07xDYtmgmjg7PtvrE0hF5vXsRL/fpd9mNsN7EHs9xy10z3V44k7G+pYBcqJeWCo4fC2IFAOB +QCAQCAQCgUAgEAgEjh6B5+Ka5lUmofPIq4xILRtS3avMiX6bVxnCiqS7zf39sXiVsZmESsJRv/jQ +ZCPqnW76DIO/J3rupylSDmx90k7go68gkcFAIBAIBAKBQCAQCAQCgedBoNGwF7gmbiEbpyf64qcH +lw6wGluqxquMjNbNf7vS+54y5wcw8Rutuj1XxHznHt/NVIZ7/QnuX+NO4pBwfGtezicrMqTDlSoO +W8IlJJdOUl3pmWXWbtVucioOaLpVOPM4Eyr4sfUmwgUCgUAgEAgEAoFAIPBmEIBnssGTA5XO5cPd +uKZo5Y0I5NekKL4Q1+TAT/OxrntmwpK45rW45q7Iu/HVRNrhs2avjukLH6VtJ6fq4yenGkkef+2f +uDPbOFM+AQt7fci4PN2sPtRTnoqDmOS3siLcg75z2BInXnFQE47xJYnqXk4tXTs/vmwRMhAIBAKB +QCAQCAQCgUDgtSMgTfoKTi7S3nBNccvVmW7e39eHXp6Ia9oBoCKUnJ4KxbxMXBOFN4eCbss1zVQm +KZptE2o9ObCI7VwiEWEIOx4f3T0khJ584QadFYDVc7uDxDzmJ/3D7OerCPi1MiYN++ST7gmwCZr3 +u/RBs84shDKeqoCXyvzikf+e11P16jnJD5OgdslKz18PEm+nJL481+fi1GUeJ+a+rjrh5oF9A0Kp +XrwuNF5/aUp9d+n560fo9ZVwTN89JszrQ+ZwJeLgoo+ArI72i7jmrb5+5MN9dcJfNbCiVTe+mbgm +wS/ERU/1hfs7u1zDniKEwMOB+QfzGPLonme4bfw+hXlWU5k00TB7HWY5aNNtNgFg5JFlArKqZ37M +LHbuZriv+wAqICsATuXdGabPHRFlYzC3MiY8WnlacQwu1kP3EvIu69Nzl/2tpu8yZyKNzL1e53go +zGr+fVLRsFl5iuvlI6AxwWSKRqVL5ig2+Lh+Itr6y5e5+m36796+2/sCl3XI/OXLnL77VDLHjV9X +O2e8py+QrCvnQC+/1MdRAuea1q7EJ7+pM6VNgTNkfKEH6QBT21vJ98Q1jWdy7ZJr+gmsEHU+eJJZ +pE2oeJExUxllzk1l0LST2e8802VeZfR9CfnvvvZvKtOkC2C1LXvVZc9DXjGNAUibmeoflgwwpdnJ +5TMgJUQjssbjEm8lYJMHPevVfBIHz00q43PnGrfUyO1FFiNw+8PA7dH5YM4EplK+mYhB4Ne5DMP0 +wlBHYVgo4S4sHIdtO5piGuRBhezVRJfkMQKYoTzw+jyB3yvTXOa8sIbcU522jt06CsmS1/MOPpc5 +gz51omsAKBW1JDOvF30yNywG6kQpfXuesBpKw3DoqXemlaD+btDG8vyBhZ1E15PpUjsfg1UfHi7z +89T5Il/aUb6AmGQOsaetGrnfpLtr8qn4u94fU45SHCW5l+od728rj1IeLI1Ur/gz1Kf19e3b4gA5 +Uzvnsr6bWn6b9d3+nL5ZaTV9f5btYjENR28ffaG9DfbUCZcH+cBsYJPLsFI6Q2Mp/WozfnSk43hv +s8roTi1KfVppPAeDdfOhIq3OJGfaMuM1fTztGG1vEhNytjrBWL9IdcGUl1mYsfiDZ6+8RvS7Td9u +NXRsqlm4lEYfVjnv6BtLS/3uBrl68or2Ua7mcE0E2iqnitBwTUxWzHQGgr9J59uR2dxUxrzGKH1W +A/DTbl5m6O/p8OF9PKeB6LubyrhXGbOH7772T9xtxy6AMBhrNuSt+LsynuNEJ2bgKSjCP5PdkQqH +zVJlSxibVLK80AkEQJxJ7TlLaeVBvCGZNhzVKBOHjnQh7af+fM1aRtly7zk0bifYnlSq/HTqNqgz +2K/ToRDPggamiIgL/NiI4R2JZ5lOgCUiM1HqMUkCB97b1GSpSaMDb88HFd3k0SNjnhtmCsOMuV2O +kgiMRCWZp2gevZJIViNzCPMTrHSvkfkaddFkkWROOuq47W3FnydhKyyJvPn3UrE6n1v9Uln7ZE6n +Sb3wTqrdV/G8kcdGOahlBVY+6X6SBvJQOl7vn/SXtFHyuWEba+q3viyJI2lg8uK4zC0NPW+3L4QE +KbH2MVB3hyBKq2pMuk2m9HEPPzpj2zwFBu3yez80Fn7qtpnjdLShvBzIvGts8vZhJG6DvtbScJmT +6R68jTT0yIPXvO52yWMMFvZ+alW9xNz7kh6Z0yrzPnFdWTghp51PJfP8Imt5f88zMAHydS1CG6z6 +xqhMHvTd7XI045C+LDvkNQZvk3nqSzz/eadm9Sr17X0TaK+7m+bB6y75hWt0XT4GGRfp6LuJw/qr +VHfHlJ0wjikypO9mvG7LnHCpTni061apJjtNOXrk5byk1M4Za4cUGkPl976dKNoys7EujS8ujy6R +eF/Rx6/G4t8VrqkD4ppGiJOcPGzTPuu+EMW3Tbog8FZ3122IHZkwjTttX3HCN92rDFr0dbzKDOCw +f+KO5pzGi6kMgwKkyDYQ6OODJxnkPho2gDRw9YXdwfy+2bBj6Su4Gf8PoEKahElyfxKS+5Sl73mp +4lGZveztOLKOzzoBayClCHueU4GsLD3PvRxDWGw9C1XkYDWId+E564t0qhvjQJ3q6dSBhkfUuTEy +30QUlN37EOTeVY7meRrsNy0raQ3VTX++jTzGYDAkc6//AyKx+rJNG3O5ItyhdIbS8PYx9P4QFrxH +1aYdE1cbcxvoUl9APBvLPLWPPpnm7dwmj12ZTjj1Ph8hdJd5H152f0Ae28o8x7Ivu2P6klJ/NQKK +FRvQumTucnbCnurIiCgfBylhlcu8r16Qtu3Y2/AaM36UxlqXx4ZZMKI41Le7eqS0cr+JzH2sBkJW +UpB53wVWalsrzB8GDtYpwkA+uyb7Xq9K5SjJo5gBBdhFGtv27X35hG9CvlFcoXTF9aM8yTSdL3KA +m6PINJnoxy8SnjT0ExynbLLK3ZkXGp2Iuh/ANMarjNu8U4TCtX/iTgasg+CLCsN314p4n5EUa5ZX +J1GlnG/63DrKnp7MGyJa3aFrKI6h94jfy+dlpxJRgTLCbmGwcWZpzeyj1iysY51rnfL4PTpwGIq7 +9HxMtkquPMekka96dJVjVD4KMi2lMSafXfnw/NpAK1mKxJnWtWkT9Uu2hArJU+dvy+frytzTLpWj +tCy+aTnzspfSIGypjY2JoyT3oThKbdjaaqF9lPoIlDhoXmUyYTKnLWT1t+I3g7mNK5nM163jQ+Uc +U44SFiWcSaMkrzFplOIo5WPbetWF1bqyUDmru0zm1rizjIODKamoX+nTDlMq55h6mYfp7PtT/S6l +VarjPpZumsbWMlcbHVyNLj3fsp17343jO0wcUz/+SOZGFun7Gc9F3H0oWrdulbAqtbHS8zF1odTX +ULgS+S2VY0w+usJQPrAW1I3pbZc1g7+76RhbzF/Szlm/7sKGxPObyZ3u8d1s2alAHobMMuaQMUhx +/7U/4u6VkpmVNOYrZjTnJ/KKs5C9lwqmnxXueJgZabl6JQ8z5iqS6VCujbbKZiUuwvViAqhimx2c +DRR1kR81dJ5j/8RFsfdWwV4MYi8/oxpcrEmw8ZSBpi1zflMNeB4yf/nypgSJUFhbpg1bJ50Vjd/U +CyZqIfPXI3MNY9aOu/p2tfPGzhmZv0bnC69DkuNLkVaDG5m3x2smc8RmKzCqF12mmONTi5BtBBqu +qQc6G2iF6cuFuOZcXPMCzYga2o3Ap71dnprjE+OaKzVGNPJtcr8twvBX+nyjcBB1ficOy0TXzGVs +FlcTeeqEnZya6kjfSl2Wr/0Rd0+EjOIGkhmECPtqTu50ANONarP7zLyAvGPPrmfYmlFwLXmYbTvh +XhNpNyHWA3Zz5YO5P+dvrpHZtjLF+8+PADJ3uXfJ3Dv8mKg9v6x2lYNSOw+Z7wrp44lnSJPu7T4I ++/HIaxc56Zqk5fFuurqyi7y9lTgeHuSHXZtSlyKQ78U134tPfodrqrFdJcWvOQRg46oaIkptOKdI +tfHRXbmD9JNTIedsQsXxhm1KhQbX/Lf25677vjl2qd9sXoXws++KDe3P7lVG+avwnwl2toqgDPpv +KpWWTqor3XPPC3ZP4e3U1FekaV+3AQWBWxexlx8+ZP7yZbhuCULm6yIW4QOBQCAQeIwAk2LZrlfw +RueaC/HKGzTbKahOUa0gofBQ45lYfSTLj11xzdyrDFyXy9xAwtWTqQy/VyLxZtdO5tDQqwDf9R1l +t2no0zsdct6/xp1EyQOZQcPurqwscylHNhuFpGc5tMHsDZP2aJSBQCAQCAQCgUAg8DIQaK+ivoxc +v65cmjWDeCMuFeGarhRpuCY8VDeduDdKk31wTRJNmvV3ShBSbpw2ad0h7JjIel6c8h7FyantatG3 +MXRT90Svq9pFaQKBQCAQCAQCgUAgEAgEtkHgubimm8qQd8xluEzzzr7GRNqxu2c1wE1n8EtJGDOV +EZPHlG5gLnEYjfs24Me7gUAgEAgEAoFAIBAIBAKBwNEjYPbgtUVJQ74h7frtBzLx/YlXmWQa86xe +ZY4e3MhgIBAIBAKBQCAQCAQCgUAgsCME3KuMRZds3M1mPfttbhWxvc+9yugeG1mPwqtMjgWzj7AD +21HtiGgCgUAgEAgEAoFAIBAIBB4h8Kxck82xbDqF7yZXv3ZEqy4j9fo8MZVRhh95lfnB+bskexhT +GUDE9Q7HG+M5ht+43vFDWJzMO9h+tC8bDErO/KO+BgKBQCAQCAQCgUAgEAi8bQTgkHBMuCack5N5 +8SrTPjfFuSbnC3FhDz94kNcasLLh1TacdniFsfN58CzDldJu/L3n4fNwT9M+DHHXMsHqXCXhk04h +sZUDOzo3GQG5LRA+LH/Gp7t8a14lgk+Yt6apdzzeWrnXaB+vMuizagpeJaLPX6iQ6fPL4NA5CJkf +GvHnTy9k/vwyOBHXPKv9uLsHl6qStvtr4pqm7U7Z1IGgq4/15tGKA5pQFO9Chrk7yOak1LRJ1Wzc +sXdXRmZKzJ+7O0hMZchHxazjOd1BYoAPYb9QxmV0jz93Tk5dneukVBDD56Zr3jnFCtL+Ptjq87eA +yEEgEAgEAoFAIBAIBAIvAAFxzdXlvNa4y6KDAzxXF/P6ICZ0v7iBdEXxhTgmpB3OiYbcTFlyVr9F +eRuvMhzulAi7EXQRcSftY7zKDGRhfxp3n9Uw8dGxs0bFr2T3cquyyE/l6lQE/VKF0q1qqRmGlixW +HwX6VCGTOdAW0B33q2BD5eJieaY9y/PfgsOwiANajluepdy5PGkLfqxx39JdXi9K8cbz40fAZd7X +zlGs5HWCEoXe4vjlOpTDsTInDkxBQ94vW97kPpd5X2kYz0Pmu5d1Y62BgliWGjqEaXJdm1lXIlCr +z8lROubZN0peYVYfEEYi7TvnV6SnSL1de/4oeWNG0wGDmdGkMAPadoLsj7h7vqYqBEsHD+RYJfFJ +DYXhFoMWs59LMRZ22ero2UqYopV/lRflZrCGoIEFn7YdP/fsaF59IHiNMF8lIm+jUFRnq9fftSyn +BtruLGwPSHq+0vOYsL38eoHMzyXTmWROp9xu50nm0c5fvqibEsAHhvr2XOaMBbTz9iT+FcHxJoqC +zGnrLlsm6e3+3cdzl/nOyeKbQHq4kDZ50j/fU4OCR3GY0UL3nGvJPGbyMZnRXKtDhmfCT7lygr0N +nETXaNpTpP7bXcZgKmM2+GjiUxhOTjWvMqwMJE19Tz72T9wNOFuLMMfyq7lMZHA8z6bbRGJXgK0B +bvKt7sQIA6FHKw+JN1v4XaFqwtWMCzebbQf9jaY7TZWsU9XNXCPiedayTEW2ep38dyDupB2CVgmA +NAOvIGr5oH5REzi79N1cgi7SbGxMhbJyKNOUNU3+npSDeFhaok73lQMzp3qVaVJtchzwGKxSHmwp +q52GNyTZrf3QSrbkMQYPag8bnr0cLufm3YRVo/l8msYqYYG53NoyJ2kIHKRdM+lV0qpXWn3yC3JH +GHs+Vbh5B7kvlTXV7fqk4r66m2HZdegZ8kh9RkUnsu4AYzJPeNq73XE08uhLA7yH6u4ILFas3vXV +XceKAOShawUkr3frtHNrW/Wnkfm55Eu1uvvRjml71u8he/UFFcuo7Ot5Uj8LhU11s57seaNJ77g8 +vG7zPI8/fV+xZGxtMMmshG/+vCuNJ88ZiwbkYZh5f9Qhj5H5sb1RXv+7ZDaURgmrUh54P8nc2g2y +zWVOvvw5fT9KOW/n68jc++VUzs5xrN1nWkPI68QIeQyVt40V42SeRmoDyNz6zL5+ILVzK8NGE5jU +T3hen8hcz1GY6E/nOFequyUMaDa0X8ZpZI7+cal2nJeF9Gn/Ntaqf6ef/5oidpmU6la7fXT1y3mf +NuT4g7g26dtTHh71y+16RePzlYWesdLG0iHeMQaLvjDwTS7MseGPdIqYpUCn4J9m/67vaN6N0Ktj +RD4LhYVIL1xTukUmzFQGgqt6gHzhd26iY6See1S8FIYblkc6C92GD/OMetRz7Z+4NwmTI4EIaffL +Ky23qGiURWAbSeMi35RhV7t9PV1mWDMmFD2okCYJeyVoByN/nG7FjuV1iQ1xUbGJg3f529N46QCs +UxiefPUK1/JIWchn1+Xl4FlfhfXZKBsmNrlopOSDwvZhRUWd6mGXltkGO55bJjfJQSJRKQ+ddUkV +j13oQ2nwzDadbChzz3kvBlnRrP5teBlhTHWzT+ZD5SB/Lq9NTdaaetWDleUxZa4vDZ57G9sQijoN +2rHqbhfu1C07pa6nbm8rcx/APO2udu7l30rmWfvoKqeXY6jPszYoPExJssHVYKV3H4RnV1lL8mhk +vmlfo7TRoDFw9vbteo5cVgMytzjobtbMh5dZ71rfPWdg7sDS+/5UPa2erpmUxds1BiX+bLK0dp7K +4ffz7JTkUaoGefvoy3+pz+T5kDxKeWCDHw4tuDrrLmRIz22iNDAO5liV0mw/T0OLyVxKlydXVx0w +8rZmQnn76Gvn1N2hNmzjeRpr10zegnvfzl/aeRcWzhn6+JOtUKR2ukkexrxj+KhzbferTDDfpQiM +aybhWF2uodnJRTxUS7ToJuhUL9wcht9MMjk51fKj3wTjOZzJbnbUpZS5/RP33FTGNqeSWWXsnWzc +AUqkssJdz61Nd+qO5kQzI32pOALWtfU7QTNFog5ZsT+NMet4a6JJo28F4zcacOylmLVuckEevUIh +YBNYiojvDObMtryDX5dAWVyKyAcw3u/qPJR/K0efNt20F8LKK/e6ZbVBQ2kwcejDiueQdq52o2kw +0QOyQj67ylHKl1Ur/eMToK44wMqx76oaJgMXVinB7LnHlWRuZhPI1qtOatd2D+UAgz3JrCtzz57V +mYR3Z90l4VSOzk5K8vABbpNOLLUPc/faJdN0r1k57ErDT5SzNtZTd0siQOZgYSt+HYG5x/O+NCiH +tYuUh1J67efEj8ylgTOZ32cyT2FtxVGX9YMenhvr1nFIKCrFrjbm8shByONP3xuZr80msvxavevI +v/UDBXkYEKk/2kbm3i/31V36frBCNn3tw+vuJrLI60G+Stru35PGvZ4grFm5kla57ldb73o63l/5 +87bMXR5rJ+6Vl7blfUl/na3rlXd2T8tpz61v36DeE51hR9/enwYTMJN5Vz7y9rFun+tR+nju40s7 +K96fpzHfNP+bXM1Y2vPyUhnyuttVt62f8cR78Cjly5SsKY6uNKibPp73xFWvfAzXi1I2ep+bthpF +jWSOFcOZMqk9lr7iU91IWBZG6evPaq6GKNyqe+eaG6f848XGqwz9DJMHYeID3gO/dZ/frLCaqUyq +eFPdOxqvMgyc2LebkFONdi2zDVZCzzQ0DD6p8TKRm+sHM0cGT7+/A0wtipIGv7RcvFBh1l0697x7 +R+0zPCpXe4MSv3nOXAYsNm3oJfMWy8tAh0eex4QZksuY9y2f7V4gi7QkjzH1gnIit77LnvOwJ0xe +jnVn5hQvl6nLPM+LP/dmsrHMs3bWVdZdyKOEd5PGkEzHynwgjlI+SvWm9Nz6ii06H3Dwtk07bhOD +vN3znN+bJFcqR6luN+UsATrwvJQHS6NQN0v98pjsleIo1f/S81IePH36iK52nhNUZO2blkvxtp+X +2tiYcpTkUcrTqDykSNqaT4+7qZulxAaeexy9aejdoXFuDFZD2Wv37V0TADeFpZ2X6mhfWs4dhvIy +1F/l8urDqiSGMVg1vKOn79623g3hA2d0jTpycJ5lPAouColOXBN+xaFHXJSry9yrhEfvcxFyzF/M +i4wH0hfStEkkF6SdyzRMKS8Kz6S+cH7R/jTunjcajNzwrD4ocx+U61MhdDKX1lEBcAWZL18l+6gV +ywfYImopsGqWdwsEcyzADSEaiq+UVul5T2ayemw26zZxaYVNYczW1OY7KdzY8r3YcNvIY2yhx8it +L0x2f11S7TLNZZ73aU1bwRKn1rxvPFnbRGPaCd8YrPpw93dLcfQ8fzTIluIYkn3p3dJzj3tsuFZe +aL9sRKZf9nbeHssIc6swfc/HVu1R4TYsx87iHpP+mDDbyHxUYTYPhDyndZ9d23brymWu4lkb9/0t +9CXtOrFW6iP6q974tsV6TPtIaazbZ66FQQq8cRpb4CDZNWM0MmdlrS1zH8dd5pBIZL6R3Afy2vSb +pfLo+UGw2qZurlEBHEeZ81ayXTf3jx/FNc9UyFNxTQi5+WnP8uN7ANFys+dRE5kKS5CSInNMtsDW +teyQdNOqI2+ln29GtbFa6dt9AqS6g+39s3uVoZKaLZQKYD42lVEKg0/NmzTzycFgmYH8mzZKYYds +08aAeKxhcsLe1YDHzK6PtWyRr24ESpqW0vPA9eUhUGrHpecvr8SR46F2TF8fMn99daTUd+cy34iw +vz7Idl4iaOZXOCWkXdyRQ5jY8Hkl4WCODTnOsbc9Irrhpk5md08kO7gaW9A0WfB0mRjMkskJ9yDy +bF413m430t5Kt7vqzsv+NO6eXsoLMyFDCHCw+2FDWBtIgsi0xk5Mxb49rSDsAMaIIhAIBAKBQCAQ +CAQCgUDgNSLQcM1kyWFcU9/d8Ul7wqRnZm/vJjWlhYqxmD0yQ3JzmJwQd0Xk9j3+jPfa9368t3/i +TloGGMsV/BVS7hrnSf4Vxmx7Uhjz65XeHQtahAsEAoFAIBAIBAKBQCAQeHsINPsmepTDziltT1HS +sLvpzC7QeuQOEgsTN5FR5JB6m2DoHh4WMQs3d5D6jrIarzJ4ScKsqmuvRMrfYYh7DgYZLi0VeZgx +YXcBdMQRCAQCgUAgEAgEAoFAIPByEci5ZW7R0cc5G90wRHpHxc69ymBfP4OQJ9OXR15lMJNBsw5D +T5tYybN5MxwmyYcn7iXSDnYeZkzYHWEd0QQCgUAgEAgEAoFAIBAIvAIE1uGP64QtQpNmAOZVRsS8 +iVtf/GAmiwNTmGQSwysnw+YxebKHJ+5uR9QGqs++aKeAFhGPAIFAIBAIBAKBQCAQCAQCLxWBnE8e +mmuaV5lkOO+advcmYwcwYRrTMpWxQ1yS0nqEq87DEnfPUHtJgvt+z8KoYM3vHS5hvNRKGPkOBAKB +QCAQCAQCgUAgEBhGICfAJa6Zn+2CC8edmMtAYjGP0Z9mP2cyhTFTmUTQsW+3vKKZ52/ajGoeivo3 +pvL0MMSdzOEjUydYrXDvqIxVt9oUwGZVMnx+Uvukb7zI4Fuzlk2F/819nbIVDSAQCAQCgUAgEAgE +AoFA4OUjANc8FZk8S1xTG1ArPBiyEZXDj9pcEz/u6TKuaaeu7gIGJ97uw93YrD7uZQate9p9au4g +9cEdZHMaMWGf26uMSPuKY2flGL/CHv+9Pto5W3GqqqYcK3y7C+wKB/l2SEEqkGG6ExR3IYmIIxAI +BAKBQCAQCAQCgUDgGBEwrintsP43rnmeDlda1mcJrfDvPhfXxEWkUcsW19wJ3cQdZfIYg6kMWvcH +ZQaN/kki42Yqw+9j9SqDRv1S/+Ds/psKxGmpkHjI+qWe3ei3a9vvVFgOZmL9oLHz0cPclOYYK0vk +KRAIBAKBQCAQCAQCgUDgeRAQIZ98FGPXSajGK/HhzqGfc3FNadrttyuxnWtCpv3ivV1dMzTqHhmk +3b3GpM2qmMVYGH6ngG4qMyIP+zOV8UyTuQtp2uHjkHadYIWmfQWYkHcmPL5k0Phv1z0mSGbfr4h2 +iGeDidkWjUCoL8i272MyRPrg0jXL47lPWEqnsm1RjM5DsNrxbVvW0vteV7aRRwmDbdPY9n3yN0bm +Q3WiVMaxz1+DPMaUdYzMSliMSWcoDG2YD+l0tePS87Hpb1uOMViNzctQn8mzoXa+i3KU+pFt0yjh +4O28T+al56X4/fm2Mtv2/TH5LGG9izyU0iCfY8KMKU9fGB+vaeN94zn1sq9OjE173+UYm49twu1C +5nn6Ht+pzGNE0M00BuIurXqlTnf16VT3vduREIxz6R/TeieZWHz6Xeo7xpT7kTtIbNqJNw0CdnKq +EmGSAGE3TTzmM66JZ5yA/GqiMXB46v6Ie1NAMqxMrJJmHfsjMkReHXBuLHX/VLX/Ihnv8z7gU9Bd +XwCHf82+q28T7aPwimPTzQwq5uosufa0Q6lajV3prxDmBfZZEigTmE2WcJpVi573ef5AOXjegwdY +WdrbyKGAFT5Lew/lUtKlcpTqh5dzqAylNKxBbyfziWS+mn/XycCpkeYyTQRudarnCz2nXmwsc2Q2 +JK8t5TEG73pnTncZRstjII5SHprnA2Ut5cPqxBZ54H0NGCut2VYPHe2Y5/QFLvON23lqo339Ualu +G1Y+Ym3YzkelkeHZJb+SPEbJfEwbTTLdqv/veRkCd1r33519t0/UCJP2r3VO6EpldaxcW9cOP1Ye +5i96Q5mTZmmstINtevqBXdU7NhiWxjC40GD7SPV/E75B2i5zNKvtvvuRzFUvIGmbKOPGtA/G675+ +1+S1RX/WyHsAq6bPZPzqqVeWhVK9KDWAnuf0oXBLOCZc0y7lg3tc2JCz3xKuCbGyegPP1F/MZ3Zx +2QFMyQTHN6DaplTJ3U1jyEd+AFOzaVVhsE6xw6H6M3MA4g4oaVqjDGHPXpmGXfZG+o9+p7rWrGih +Z+RTDcDAZNMAYfUMLf3OLhss9c+snpF1XjYjSgLvIk/WEPUPk5F1GjrJsUGCMk6/N+WtbiWs2gSr +FlbqCCBwDOpUKiNz61yWx1RxibMrn8z6tInD0lyQgdbF+5TTKveGmzZYvmK22YsVjcgSKMujORFt +HSBSWMo5Jg3rUDvqBeUAC/ZlrCNzoksdt5F25Ij8aZgZ5DzjPp16Q+TWJe4u82kqa1c+S/JwmQ9h +VYKfegVW3u67yqFJTO09ig6sI0Jrg4qD5c1NicUZ9Z98dNVt2qDXfz3vGki9HJvKHFIOgVumdgxR +g8D7hZjoDygh4eZ6tq7MrX4pIsYhG4A66q6VQ89tLOnA2/szHvfFMUrmdRPrbR+5PNrltD6/II9S +Hh71y6ms7Xc4lZBq0defmTZcAQzGnro5lA+6ujQRs767Q+Z2n8vIhWSOXLz/L5XRnqfxyfpuAcne +sPY1SuZZ+9io3ilR+hObcHbkAXkw1qa9bJ11m75/SB5j8DgnIa6e+t+MYT19SdPOU70Yk6ZXL6rK +ueRJMWjntGMIWi7PVCcsDKSd7NLfAFkaokcl6e1jqO4OjVFNO084bCLzHKvOjZwqkMl8QB6lMWgU +GH2BUqHYnKoGZlwTnFO3+5Rr4giF/IqLXkkoOyHvAK1Eka0rJN223W3dremlfsa9ysB5IfRH41XG +OxukyczCL/INKcV8Rtr1lbc/OrSf6w2rEPqKpYNNKtlQBRhYhijWG97l09FXFd8lQLuxtn973oDK +MRkV8QaBGKggkX2X52UoTCnZEtal56X4xzzfRRoN+RmT4AhMt4xm49eH6i7tjDqx7QVWQ23W5dEZ +JjUIH+A2zctgGqkdlur/pmnzng2UKQIjpttEVni3hHcp6V208zFpZN1/Z/Bt+lUiHIMxYXY9nnhh +9t1f56BtW3dL7aMkz7HPh/Cmqe9THp5Hm9iOzfCa4dL8x95iIt41Vu6iXhSxKswC8r7d3CBuSmDW +xKcr+LZ1t5QFioZG23BXWSHHKCWuxTWlDGpKzvOf9Bzt/L1+YGaz0ytN0i1OMPcO0PHnObOKNLOw +cAiK5/m9x5k6gMY9S1CAVbeCDJDeqbV6ZQZFZjzesGjIIvir92oF+eaBXQBKGlP9MzSAlJR8vGta +hA0uXqNhawndLupJOyp+k4Z3Nhsm1dTOvrJy/64QuWlNdW1KDEpYWbwD8kiTVytLadDvE4cVoVRO +BRnqx8ZgNVQdTCuVAvC9nVYuc2bcm5TV42c23FcYdzfVF78tJxfax5hqT70aKoNruPvEAj6lulnK +h6UxIHeDaSCfjtUmy9okzXs+2NLm21nJ64C1k1KBep5TBuK3/HZcHu+gPHbQzkvZH5JH0zY27ewc +X70/RN7pt4dwGIPVUDkpo49rFKVL5tzzMH020SUsvT9C7l18LS9jF6TNPX3ZAnJ7tw9P73eH5OFm +CqXyDj33MaovjLePvueW/w1AcNyRAWVEpn3tnPustHJt2s6tPg3k08vR27cX3h8jgyJWafwYisvr +7qY4jMmnFMHmOeZCQvqYGpv3sTKTrlLaq5kI2BKtu7gmvHBX1yOvMkrMTWHQvNNNmwJbXzCdwQ0k +35e6xwZVN5Vp7HueZmr/xD2R8hVLLMqQ+W834339fqAT1adZQtV3bM8px2yP03CWFslXV4dnbZjK +NyBBG/BTPtcRtKfH+7Jdt/0K3rn7M/6mAX8lwZmd5CbEweIdYqLpucRRxGEIq1L5yUMJa/LA1SWP +MeUo5YHnvqdhY5kX6sRQHlJdqm60lIqbKn47qfOi30vOyttKu8wrvm/ah4ypu0P1gnRLWJXw7lq6 +z9/x9tMncyrMJnW+na+hchi+I9p5qQ31YeEyV/s1Eylk2pJ581smMtWmBI70i3iPKKcv6fa1j5LM +S/VubBvcpq/xNIbKUOyPRvSbhbZet/PUd1MP8vxwhknyHmHza2/na+Ge5On9Zld+diGPosxHYOXj +eV9c2/Y1Y8aHbcf7Ag42RtObDLRzM5EhzCKZS/b2fQOJFevuiHa+aX/m2SrVqzHy2FbmfRBhVvkA +CVdjgmNO1UAgwTae1Ewd95AVzwgLseextT24aKnCj3yOGbYN5t9rTb+ZR+m3+adM6WFOxapHE4aw +ScPupnoyveq79kfcvSOi0XxVb4VbnnO07Dps6URoMbn4qgJSEXCKLxeRRmhwB6lgdiATnVzXDHYk +foPB1uooO2La5n0V0V4fICdmC31d16e9XmPKMSbMUCZL75ee7wKAQ6QxlM80SFsd75Mph0Ug933L +vITnIbB6LWmMkvmATE3mB2jnL0Xm29aLMe+PCVPCq+95084HZO5hEl/YNKm17KO7EtknDp5eKY3S +843Bab2473RKfXdaRTUCv03/vu9y7ArvbfjAunlwTMQlqytZanzQjY+yb4dXwjXxLgPXZEXyUvdQ +INvKmO5h1YDGm99DE+G18oRiGoZOnCK6RtqTDaCZ7+i3e5WBuPPJD2Aycx1WAPoT3R9xz9OUeQxu +eSDv5gbyu37/nW0EYElcGvYVywaXKbcqd8X9bZfL1wL8gIFLjbf0/IBZjaR2iEBJrqXnO8xKRHUg +BEoyLT0/UDYjmR0iMCTTkPcOgT6iqEpyLT0/oqK8yKyA7wOkV18+cHKquCQm2CiO0a7Dn20vpZ7B +NbHqgPQThlNTSyZXY0ExrzKQcV2Ng5A6/ZrEa/ImDlxr25NWne9uKsNmWcIm7t+V7GGIOykD3AoV +Oj/03d3d8NuWenXDC0kQhGAuc8aiFeECgUAgEAgEAoFAIBAIBN4sAnghtD2I+mACmFs2GA+FayZ0 +kqn5frgm5q8iuOZFEc16ZvoC5fWTU83GPdm3N0LrN5MhyGGIuy9lmEP8dPGl+ZFMYtpTjOz5m62E +UfBAIBAIBAKBQCAQCAQCgWEEUGgTAicoXM4hH3HNDlX2Trlmsqs3rXkynDfNum6Y6Qyadmn78UBk +ZjLkR88h8CirbdLBy89h494F7xA4OwUuancgEAgEAoFAIBAIBAKBwJtD4Nm5ZrJbN/Kd7NjdX7vd +Q/Ofm8oQTPfIt53DMnwdRuNeykU8DwQCgUAgEAgEAoFAIBAIBF40AmjM0aInDzd+Sqrbt1M2DmJ6 +5A5SmnheQTPPya7X+rtMdvIdWByeuPsqRdeMaOjZixZkZD4QCAQCgUAgEAgEAoFA4CAIGBHuSWmf +XNPcnacEcDvJJli828DM3cuMOWkROTdTGcxp9B1PM5B89yrz7KYyBqAyRd5xGkM+u3ySEsZPbfQw +B5FwJBIIBAKBQCAQCAQCgUAg8GIRcK7Z5pFtEo9W290t7pprNl5lRMbtPCJMZRKRH/Iqk5vKFEzH +969xJ78Ac6bPudxByiC/wmPPjbb6soHAZz4CcnUhtNNpoRXPw6PMi20/kfFAIBAIBAKBQCAQCAQO +ggB8EZ58Kg2x3IpzFlCFhlsuIB9xzdPENeGid3p+q+d745ow8ORVptGg6x5Evu1Vxm3ezbviMXiV +OVc+LwUmGdJSwErA2elVKzF43EKyu1YHME10qtUjbfxBpB2JBAKBQCAQCAQCgUAgEAi8WAQg7VIQ +r3T4kpud2GFLFKjNNeeJa0Lsd37lXmWSZvqRVxndMwuUbNMqeZjWfudr9+gDpy/p6f417iLpk49S +o+vE1MnN3aS6ftCxs+mk1I/KASelnkoT/15knhnIVwFpNj66CssFO8f70BEi36GZXun5ofMb6W2P +QEmmpefb5yBiODQCJZmWnh86v5He9giUZOqOI/am6du+CBHDmgiEzNcEbMfB0aTDNbEVv17oUCVx +zYtT8c26sVUcUQ3XRHGMP/cvbAjdI9c0pXn/BtNaq+7Pcw37c7qDdBMYNOunJ1qyYLmi1rBXU51e +dZ5OrhLOq1PlH627jqudYMzv9vAQ+dd4UY/4YBbEikN+QEAq72ougQqX6k4C7Xj+GmF51WUaIfOm +ThCWehHXy0eANu57e7raMc/p/3iWzgx5+YV+4yWQPOm/e/tul7mT9iDvL7/CGI+RzG80XnfJkz5A +lgfNs5D57mTuNBETmffimih+Rdont3DNe1l7qEHaKarIKGmDF/zYF9fE9IYDldD8Jy26+28/SQTd +NP3KOCe4+gFMfnLqXGT4VuGe1auMn2CFmGQqs7pIinSfaICjOanXs1MVwpY5apk2du47IfAkCGgs +UaQ60xcvJj3WsAYmDmaHhJ3SGvWP6HgNK6HzBIC+V8ussROGgVxLPtX03WR1Voer7vMZ2dg0UyU1 +TUBHWQyHgXI4ToTZRgZ+Iu5QHobwbk7UXRPvHKaiTAv14tGpvhtMKJE5Hbst5yVZ5uQcmTPgg7ka +bMUpa5tM2EpYNTLvqROpnRbr/2AV3LaN5fW20A7H5GOonfN+73NvHwNh+tJPEzVkPlE7RtljV1vm +LO0ySVeRjeit05942kWZ+2A1gGWxfRT6nKaND8kr1YtN5TGm2/N+2eTa8YLX/yGZLwr5HMqHEzj6 +bmRPD4tcffU4Pbc+Ht5A35+UfqNXmPN+ua/+ujz7+v46Z/VYuE3fXoqjWC+2zEPenw225aFxzoWz +ARYaCkzOxmskc43rJm/auUfr4z1jPSYTthlSH+cDY+p13tZ75eVYjmnnQ+10IEOlcXCUPHx82GI8 +782igKXZOddEcfyQjdfYlstMxrjmz841l+Kaes/65g3G9nZecq8ykHEuCLr7c7ffqgcr3TO7dtLU +b1YK2KCKfFFeP7tXGe9AKZAJNt1wjPIOFhLvGwxO1MthOrPwnm2dGj5U+ay36h8keSzbqMm90u3r +/HlOvtYdaC3uLG+sNHhDbhqnvriJE2Ep/iYkjjwif3Y5d12kgUx4XhpMM7GtJQUaumFVwBtcurTM +RoD0D3ndBO8c0740CMNAysEHrPj0XaVy9L1HuuQ/bby279lYYa9ZOVME28i8JFM6B/BkQt1Xtz0v +m2r9vf1sKnNgME01clcmN6n7LtOheutY0cD6xI7M+7AqNQTK4DIHk7bM+e3tnL/DZo39qVFvrUvr +KYeXc+aDU0dU3je7mWKpbO3nnsaQvGhjfnXhPUYepXxRDuvbewZg+iM74KRP5nqO5o7XNxl3vH+n +fH0y93bu9Zx2ti5fcKz6+ivrb1Ld7WsDJo8hLApgezsf7EtcHj1xeRxDbbAo81SOvnEOe2bqBeN5 +1/Wozywl1tV2Eoz0U10yt/jTJ5f5ukl5Ofqwciz72nnp+dj8WL3qaR/Ue9qP1bmeTtX79j55jM1H +Vzg3WSePdSb0kQAg8+021nBNPYdYf5UANx3zRuX5nUKREf6miWT7PfJoym6IYf+1fxt3r7SJfJrm +CUzxMuNXatu2w/erAlKmX9BK6wE/dwImYJF2Lb3OyW77JiSnawBgomTQ94A/SogJBw/b1XFTCfNO +fmy8ljnlzYkCMgD/NnFglcNup877Sfy8MKvDbDKIEV+DVV/myUNdAapUDx6FtPqSjYLMmJ+UowCM +jcMpjS7htzHuqBxMjrnWTdrAA3ufrM2klUlENI9rlWzdKp6PlfNQuLQSN5jhLrytTgzIY0zecjy7 +0kAe4MlqYmcekPHaSD/OmcmcS5uTZh0kLR9gbVLYKpi9nPKwpUAqVmWndNi1Vs4vi9YHmjz5zs5p +DPAd/VEp7ymtRh6b9Gl5Ggi0jTfPNQ418mhUzE/LZNnpkseY4pvM1Y80LbWj8FrSoqydaXRhtbEs +OupTXqXz+tbX/faWuY7IsktZBpVHipy+u12Opn1s0Kd5vvKJpmPXarZNsoy7Hflc2UQryaNzjBop ++KFgqpN1vVLmBlcX1hSEF66zD8sy1IfJmKLlYRSP1e++9jFVhshLV5/i8fjzHnkUs5TLvF3uvM8c +iAhvL7XMS/Io5qY/gMbYiolDriS19kKaenYtkK5kA29m2rKDZ4/lnQq0qeIiz4m5g0QBLbDMVEbf +OXBJY7sdsEQPZV5ldL/PVKbAefdP3H2qSe/iO3vxHpMIXVNeH+ypdHwgN1p+amaq62q2+0Rq8Tx0 +d3bewGjcQ9pdKsVyA2078ZM+QmHiQpn5zr12pw5c2nVsJjLrlt3iSmXgazt+x0a7lwfLYZ2csNqi +/bBDupRG5QN5XzlzeWySGRpqThbacVi6mcw70qjY6b2pzF0GLtOHJNMsnWpR34PAQ/TWlnkm0yaf +XViVyiGsi/Io1YdS+zF5pJF+jMxL6XU9b9LoaadtmbfjsLZa1/+NZJHaOfMPSLvLN29MRrjgVAwe +uczXreNorvrqDHG5zLtw8kF0G+1XO422TFO/V8t8AM9SX1GqBy7zof5S5extX10yX1cWTEbVZ/fJ +vNbk65NMZTr7/2I56asKY1Bb5h19XlEepXwwRnuf2IWT97sD8jCFEM/7xqhSHtJ4bsF6+5I03vc9 +L/WJfXnwtoNpDCZv1nfrOzLuGM+dVFe+grhu3aJvdqy68uTy6Msv72L7PYRVCe+8XnW18zbv6IjP +nJBss3o+lEdW095Rp/SBW5nfdDphpel4G9e0wbauM2koKhV9/HMSUKTfeSNFbrbtyoDZuqe8kDer +KykMpN7yxD/Dy6/7I+4OEkt5msWY7e6ZNg5oGWBlSynMcHjGb3YCZ7D4svHOAU1pDHXsYyt1KY4h +KUP80bzRhmhsbU0DG3h57jPaTU0FxuSxFKb0fExtLsWx7fND5GFsvejKC/UYmdMwqds9MudVNO7N +xHVMubrCbItn6f1Svsa8XwpTel7Kwxh5jUljTJi+vFi7lX17Iq5P2nkaQ62tkw7h24P+mHLuoqzb +lNPzWIqj9HxMOUp47CKNMXH05SOZvVg77iJZ9O2+p8kVVG9Z5ttgfSz1zldQXebt8VplbPavIPNN +LQjGYFUKU3peal9j2mgpjdLzMXnIwzjXhE9+E9c0MzFxTTXAlc4OolOtvgl4nr/noc+49LWmovW1 +D75pJ6N2XSRKgjyH1PMn3RtaMUlR7Y+4543qi2oyftzlSWbF7l5bJlemcQXJ7OJWOfUNA5+TbQ82 +SrjswXyGzUJ7QXXdGrKj8MjLVxb6KrHNnvXZdSXfUREimg0Q6BrI82hC5huAeuSvuN1rXzv2+9HO +j1yQa2Qv79u7SHku601J+xrZiaAHQKDUd/tzshIy349AmBCxJxIHJxzA9D1xTUxS5B6yUY6g6P4g +fglp52RTzGfgmpsqSJ+UhrREyNGyY9eEtt8IvP76RMFNZUj/iVcZ5UcecZ7XqwyZRbPErl0yCQdn +ORHXj2m3Lzt6bbLDTl+IPRfE/vpey45e0/cj62eJ1TVwnrjP+PLfANLWxD9LZiPRrRFw+eYDdpfM +SSgI3NZwH10ELtMxMm+HObrCRIaKCCBvJ2clmYe8i3C+mACl8bqvH3gxBTz2jEqzrlNQTeN+kbgm +qx1f9Y9zTXHK1VLsGZ5pxF0fUxDveGOqm343CuekVTcbd9fCp8aPJxkuezbu2r/G3TLEUhGkROCk +TWm1y5uUSWy3tFFgcpf1YmgtAPutdmxvtdzj6m2ECgQCgUDgeBGI/vt4ZbOvnIXM94XsuHgNf3FJ +lMSYaHdxTd9Hc7tHrpm7g3RTGdtR7Jp3NPFK3xyliMQbb9c9NO92cqq4cIXlST+RPwxxN9iVoaKp +QJp5uJiiIYyrsBEqEAgEAoFAIBAIBAKBN49AgWsaD90j13SvMubyCVMZrEvYlAphT5tU215l8Dzj +BzCZu9rh64DEvZSVeB4IBAKBQCAQCAQCgUAgEAi8VAQg3tiDo69OEwQj7bphh40mSxLn521TGduc +Omw2E8T9pdaNyHcgEAgEAoFAIBAIBAKBwJEh4MQ72bM3ZuHKphH2dMNOTs28ymAqM+I6LHEnTzYL ++ZHvwTyODTeioBEkEAgEAoFAIBAIBAKBQOCVI9DHNfs4pfPlnZhn515lRMqJu9OrjEg7mnj3KoPm +nfTNHF7PcBvbcx2GuJNxbO0fHbeum74L247AxTA/t+1R4XnPXCKOm4W88qoYxQsEAoFAIBAIBAKB +QCAQ6EMAGulcE3tzDo1ywn4qnmmWLIfgmiLf75x8YypDht27TJZ5N5XJte8F6R6GuGPaIz/tkwsx +dDuNZCq3PbjfEZoi9KsL/YMryGT+Y3nGqJ+y4jYS8F+TH/docoFAIBAIBAKBQCAQCAQCu0EgkfPV +ufjkWTpoSb4hqytxzVs95Kygj+KgyQT9h+VHzjXTqXjb5Cj3KnOPVj3xWXONziZVcWD4bdurDJMN +TGV0SKkR4+QZvSsr+yfuZBrS/kGAMdnATc+JcnSmB3w34/0EMu4fb5IXfABmdmSnywnMt+bfeqdL +N9vUwnj3oAiEedhB4T5IYiHTg8B8VImEzI9KHAfJTMj8IDD3JoJOGNKugz7NVbqflMrhn6uckCug ++27H2oPTVeGaNyOOLB1dQjIgwospjLl8ZLMqv90WhoiS/fsUpbUqj2veyVM6gbkvuf0RdyeeZPRy +LkW7/l4rN1d6cK6Zj+5NflKGNRuq5BR/cgO4Yuc3eg6PP19OVu9PNEd5eJ2u3POVmvbBDY7dKZOW +0TUlAh4rAnmHjtzzA1o8z4TBnIzrrU1Sj1Vuu8oXcu2TOf241wnSi8F/V6g/Xzzetw+1c/cxXTq0 +5/lKESmvi4C38673WpYZ60Yd4QcQcL50Jr4okl5VuvEladk/6t5Hgf8R642FDmPS/Uq/seIQeV9B +kOFZdMLVjgZeokGbbr7b6eCxGhFpP0nfm04+hTHCnvy5Q+4XVJZ++3Zyuz/i7jjjk/JENfoBoBiY +lHnHh4rOssK1eq+lPr40QAE5ZdW07bucBR1B9feB2ez69QGT9oV8qUx8+N4V5giKElkYiYCTs1zm +7QlZHob2wfMd9SMjcxnBdo2ArTZm7bxL5jz3Cbr5F951JiK+gyIwRuaEQeaQ9pD3QcWzl8SQp8ud +sbpL2ebPXd4h992LwvpSAf1NDcvdMGLVARGGT9LYTDGcad+xBkGpnJtp7yRnTmbTrCJXyjWTOHy8 +i7C7VxnXvI+gvPsn7tjrmI06lVs5PtPfqX6zSpAPVF5OCnUx07G1LGdI264jbHfeueUakS4hlZ7z +DpVkE204BE3vrk4EAHHoqtg9nDdkq4Df66N7T+tnG00GKQdxDOWzVA6e2wbhLWrztmmMKUcpe6U4 +Ss+3kbnVfclcMrWJvc+mc7mAEfWCMMi7XSdK5cuf7wJv4tunzEt4l56PwWNM3R2D1SbtnPzRL5dk +LgJnYRg/7lr9wJgyepgx5Rhqx6kv2qhP21Uetm1j/n6pvxqD1TYyZ5Cefp9U9N3tvNDG56nvV/2w +dr6JYmZM+yjV/zHjXKkObpvGmHKU8lAqx5g0SuUYygPxn7NYlmRO2Lz+0Pcjc7X16r6jTpTKd4x9 ++zZ9yRh5rINJHtbsw5MduXjkZKbfTuJTn9x4NmTyTBg4EspjTGuO4Rqwbffs7Z+4N0Bo5kEFln17 +xYRH9ffJhaYd0n6ugPIkU31Vj0anBtnd1UWlmWOCo2WTtreaRhuerV9jd5+nTxgbbE90W4Jmx/LY +i6B06lSYfKJCnnITLHCiUxdI1uBNM9MFWE/CVg5WLVKlJJ/YdLVxZLOGZqi2X1iTpCcXZk56jgVT +tdhw04aRUWHFzIM8tC+lsSKfamCd8iD8TKAZXj3lGIH/SjLn/SrfYd68V8bKNlcbVj3l6MtDa4Wl +khxXyBuilsGxmiVSb8/1fUTj7UwSWz1WuejAOutuXY5mw3e7r0IeczIorPy04xH4NkGsfaQ0wIo2 +1tEfGp7AgG1fV39J3Uv56Ky7pTzRhohDdauz7lJET4PVvi5zNVv5q7FYq51bnQWH+m8t80TOVz/a +MYuPtU9fXRAHsHPbxnX6PLUve7+rbro8bMNUkofXSdJN31e0MaJAQ7WuI4A8DSJhT1J+pX5vUB6E +V71Zqe5WCGMT4mwyV1/DYM3V5Y1MWK2EVWcaj7BCFmjuAOVxcQZ/2fgiAieC1rTphyRz4sll7nIn +u+uYzFi9hgymdtoeg9rleEjyyMth79eZMSzW5Swm09Q+qFf0NVaBWqJXv2vjZE9fYvXO5bHRWO/9 +hAm8Q+Z1HmuZd7TjNlbrjHPedhijaV5J5hUa1LzeWF+TcGEyT142aud139zbPmx1T3hS/9vy8Pyk +cbBPHsWansZBE2m7Xlka3mfquyltU73IIrZ+wMqxQb9azCABBLJgWOEE5WnydQx0d5fqoBmrpFiu +rjrGgFFpdQWi3Jo8sJfTDl1SJh6oI/qbxn67t9C9E9UVdwfpJ6daXdGzAXOZ/RN3SASDBqYyiztV +bhXESIn+8QrN7I2OBK8zsn/HUN9skei81+k0B4FOQCzqTq9ToJ6WL5tQ6drp81vPTQM+0ln+o2zl +HaTFoU/eyPk+YqlksKiWZ0WUV9pOHIWzDZB9vbYi0EBeNb3OBjUZrEgjn/Xm0TBB806tHb3n2csB +3hvWhyrH9EkcZazsfSvHmhh4WgliBnOIXBMPzylW8tlqpJ5r0zrg+eutu8g0laNL7Lk81h3MyXdq +H41Nd08cjTw6n9PZZdVyE5kr3t565VXeV6PIQ1c7B0Pksakskijr5p3JPN1/VL3Jg2OxSXn72li7 +T3MZeTVOz3+0j3UreCZzl31XOy7Jw7os9QXb1P0mjaEyMHmXULtkavlPfYxHsYksKEN74t3uyx71 +gWv2Kalvt361rxyOY135nl5gRR55tmk79/bRlwbRN2Np6uhaObFx2MfBjbBWBIPtU8+learMbrij +Xnid7c7esGDa77SsI5qXW8luVExvH45VV86WitkjbyeS9wO8Cw9aezBL9a1PXpZGSR6Je1md26Cv +KTUV+CYT0VtZa4AHP9Gqe1rUFU04sYWHtJuy8GuauG4smFamGq8yipAJ1AwSn/Jgv3Ufsxgqv/l3 +J1MaH1wk5rSlVqT0Xfsn7slUxrRLkDi0rsxyUi5/mIDoHhoqZo1/KaBrZ3cFZjN7UfrMqoc6K0u7 +pTXKEbR3N9BSeFk0267OkhambRNHGOLXfcxptjKZaGu+2rWAjn9WKmcBi1JDGoNVSR6lcpTywPNt +0zDNwQYy97zZnhjJnPZ7o08+Kc1kXtEGIPGb9mmmfSvJtFCOElYlvMdg1aOJr6NWGYyUbIE30dCO +h+o3WA09tzgGsBzCIbVh074xoNPO6Z/z/iyRdSP1OXEv4dt+7lrCvj6tVCfGtI9SnkyeBayK8kj9 +0SZE0vNXqrueh740TA4bytxwTJpXzCbQtLcnhYyBN7ov0wqrD/QFXOuMc15XqLvbyHxM/S/JvdQ+ +SvKgbweHbWWesO/MLuUc6kvG1N0+HCQ3k6f+rjCPQinTbufGe3Tf+vbUF6wrc8KX+sRR/e6Wfaqn +MVQvSnWiJI9Snet7bhMK/ZOolbmA/MAKRKpf+ZiK+3Huf4VrbtAGh/KIaTim0FyuiENxSRs3V5DK +IBtSc/t2vpM/Gy/QLOv7AAfYP3EHzBv9g4ueS0we9J2lejJ2nSpjXkAHZJ2ObF1Bb9NJeFrbxJGT +NuIxgWWF8LhdW7HJsvFYTErlKD0fk04pjtLzMWmUwuwijW3j8A2nQzKnHDwPmW83mI9tp9vKdKje +uQx94GinxW8GDX8+qDkcSGgXZdhFHKU26HV7cNAbE8mWWOyzrC5zn4y30/I5gU/kuvqCsRDsohy7 +iGNbeW6bhzHvjwkzFvd2OOK+zdpxVzvnHW/f2/TtpXJs+3wMBqU0DhVHOx001XDKubgmZwNhMgRB +J79MkNv9KwoVJ9Zj8rxOGIj6lAkdM7rvtVkMijvbiMo9FB36YE7jpjIe/4g87Y+4OxFlaYClCIyK +3svW7LK2+7ElChzj8xwAfZkpB7dNaNcB7tjD5huSOiYpNnPfRQM5dhzeSv6QZS7ProlpO8xbweY1 +l5NBemigLj1/zdi81rKxutZnn9pF6PeppHqtGB9buZA5G0/7rujb9yexjGtiq77Cdp39HxB4uCab +Tr/qY2Q6cU245TYTqMHSJLMYcfXGRBhbdgi7HcCE9l3fLZgCud22e5WxfA3UJT3dH3H3giWVf3Wd +WAumMN+UebzF5JvnsGu301RVGt/dGx3a/ip7xBwIBAKBQCAQCAQCgcBrQAC+KB2xnQu0hLjrN4cq +3ehmrgSGa9pBn3BNXnh5hd8/cQcTAzRp3nNXXG3zEA5nGrLPfXn4Ro4DgUAgEAgEAoFAIBAIBPaN +AJySfSzsnYBruqeig3JNlNSaMLxzrzL67l5lXPNupjK6n5vKuFcZyzfq+n6t+2GIuwsLXj60EYDn +fr3AWdC+62TEHwgEAoFAIBAIBAKBQCAwgEDONbuCOdfcG89UxN9FvlfJ9tvs25URN43hOxtVuezk +1OwaYSJ9OOI+BqAxYaK2BgKBQCAQCAQCgUAgEAgEAm0ExvDIMWE2RbZxB6kIbFOq/tphOWjZ9Xni +DpKEnNjrK55xnt0dpBe+Nal4YlfUfs57+wR3U6HEe4FAIBAIBAKBQCAQCAQCx4fAc3NN3EHiu53N +ppjC2AFMbEoVOTd3kLpnBw9mpjKYyZBvvM6wsdYP6OpB93Aad89Amnj0StvJeheRP74qEjkKBAKB +QCAQCAQCgUAgEDgmBJ6Na7a9ykjr/uK8yiBIm0noc67jpuUVsuIU1ZsWM+e4a/fvzjts9mWDAfY+ +/v4xVYrISyAQCAQCgUAgEAgEAoHAcSDQcE3xSZ1Waq4gOYiJy5XCZ3qGVtt/O9f0MxZegKXHYTTu +ibRP8OHOBUHHOb58bta/FeBSW2kJx5IC1weFfZA/zmtOtnJEj6NuRC4CgUAgEAgEAoFAIBAIBI4I +AWzJL8UvLzBRSVyTQz+vE4e81PMzjrB185UU5kHh/VyhXRTHzWDsACa8yihSKa3t0+isdR+zGb/c +q0x2qy8r+yPunrkTZfxcBy/pg/q80imqqzORdJ2kWi1UCtkDrSDtIu/m6x0yT8Z/PtV9SvkgzXsq +7AuYCe1C5hFHIBAIBAKBQCAQCAQCgUABgYxrri7EJd+LKGoTaHV3L64pko4SeHmic44S90RH/FWk +Ga4Jh/+Jg0HFNUWcKw4L3dbCw04+bdl82z1F/MjQBFLPAUy4fcw2p5qZCe8/pztIMgxJJx+cXnUt +QO8F6Cehhwb+m+77aVbspsV/JUfFonk/17MRx7++yIpNuSg3Cwxd7n9Kz19kod94pvHPytUnc57l +5xy8cbheRfG9Hfed0ufPKezeTvJ7FUi+nEKU+u7S85dT0sipI0C/DcfpOyE12vn+6wrmL+dSALMZ +9CuHeYprSiCrC92/VPJs+HwHqaev5QDQ5CudjaLIboSme3QhjIzrMv/t1A0SUDruVYbHhIG484Gk +kzfCwA8eEfynqe5P496khS0RmnMBRYYoQLJbX6VJSaWTVFfcN+ABUTZIM6Go01UrTGW4dq1t33ZW +tc37KuaKWR+w3KbG3pLNas6GBvYDSKAj/HqOrlDtgGPKMSbMUAZK73sl3bWM8zxtm8a279N4Nbtf +Vd+lCeiRqerE6kzP2X1+s7FEt39x27KOycFrSWOorKa0SDKnY+4i5vQFp5I57XxoQlfCtNTGxry/ +j3523Ta4i3KU+pFt0yjJnHZ8MtDOvS9gqKOdb9q/b9uGtn2/VKd4XsJ6F3kopTEmH2PK0hcm79tv +e/p2SOEZDkZUL5ZbjOljyrpNWQ7x7i5k3pVP5IArRvZGOtekz71QguCvfqG6X4l76Qvm2iL4K/Py +Ag8T1xw6Y2gdXMyrDGSc/CQCz2SCdCw93cN3e6Ntp50ofGMqA2dObacn3QMQ95QywgI8zGKw/0nl +MtsfNO2nejhVhrFNwpUOQmB2AgilUqwDKmERnLnj6XnRNaNDGjBMgMjfJhfxs0TDxd/2gO3PwYDv +PPdKtU56JQ2vaQHAImHdFTdYcanCb3Q1aQzgzR4Hevg+vMfIo5S5sWn0kSeyaFgM5HMoD7nMgZJP +Xl6eq2O3NuJ1YhOZG94ddcrztgt5lLC2DjS1j742hjxKbdAm+QP1ppQPa2OKo6/ulvJpz2kb6VNK +r/0cmfI+F7LlasucPOYy34TEmV9gZN6DldeJdvp5fq19kL9t2vlAvSPukjysnQ/Iawz+9MvTgTbq +WA3ViaH2U8oDWF+k+pJMaXtlTjqUeSOZU28KdbtUjpI8xpS1mEahz9xJvSvUXR/DSu1j04mz9xND +7Zz277L2PqWEb/t5qX14PtpjSx7PtmOp95mWRkdfMSYPKCyNd2zRt5eww1qjYgZNh6tKShUxM3f9 +PlHFh7ibu8b68Q+uWYp45HPadH5KKoR3lUg8UQCdhdHHNO3Yu2fPC8kcgLhnox6zIS42pgqtiswr +46uV7pN5BHmjAmgzQSUzmpWEi6cZ8y6zq8tnZZXy0Ee8bVmDfPZULBuQEbziWGegS+WtB/Pv9WqI +kjINK0VMfZwP9tX9O80OMR3i0Xih1nknvlQOfneVlcZDBYYgLjowZpBzmW0qA9KwAwn68E7PqRNd +DTkvR588SnXDZU5L6ZMXWPkg1LVO5bN0lthK61jt/KRqv5LMq4XkaGV6HA1aVzp2l3mFudgmF3ib +2Hvqrj1PI0df/TeZr1m387ySBqtnsinszId37nZyXE8+fQXOJu4dA8QYbPxkuq4B2Qcgy6fqfhd5 +Igxyt052zTzkMpeGzdqxkrHVFr+y5ml1Y2OZKzFIGB4U+to5+QGHvjbUtPMN8W7q3QBWJg9lZNmB +t7dz5NH1fJS8kRfvuzA7ZIZyyLDokblp5lwwPXVzKC9wBWSp/no1lcxpbvmATXXivl/m21k/vP8f +U04itcneQDvdVh5j8pFjVepL+kgafZEpp3rkMSYf1F2r2z3139PQ/rrOvsTGKOS+ZjuneiFP+m6G +uDRe2+oZY6pXI8LQ/u2WnrlJRnp/TBHrl1O/OlR3p8m6oa/PnLn1wwZ1mzyAFW2Ia9Yls/z5QH9k +vGOAg40GpR0QGScrjRrwWuTAT1dPXQB/mdGY4xP6TZlyW7lcW79x2v4ifUzqe9C0k7iRcqVh/tyT +LAnjJ6nyqnNgy342TnTk5wDEPaUKgEx+7lSjGQyZEfl1lmY+bBj4m9FND070/SdVMiPz+t01sG4K +MGQgk+2TaOp5Rf9l7xbiGMob8ae6b5WqPb543mwmqM9QXofS8Xj73jdNfgFYI05bXMivhJXLoyup +kizGZM3iKJSjLYN2vGOw6stLLm/k7put8/Dco95vK3OX9ZDMx8hjDK5DYZjglNpYqe7aJGmLy1/v +iwaZD+XT39u0/eXtGPm28+Ft3/uaTdMhYojC0Ps866vjxv9S5hIXXBv1MXm3JBise2I3XrWFzL2d +D+JQiL/UfkrAIGfIQF87Rwak4TInO5sU2fujwXZeyKzLo1SmvudjsCqNH8h7k5VFz9MCojgEIKy5 +kAblcJK9Dhb+jihLs0+vS57Wz+jj2u510sjDMjkaah9WDmVgaCwryaOUN+c+feFsrCvkoVR3S3ko +Plfjgtfcq2KxogPX9Lr6PhGvGwlE+y2t7p2qweI8hbFgZyaq3xVxIuskjkyoL8777Ibu515lMJ8Z +WQ8PR9wBm0qHYH0jR5u0kmknL9axbdKjjeisrAEMNNbS8rw1zhLT68gHZbPBhb/vasUODdpJG694 +GFWo1YU0N9cS/iYwjMkjZaDT6assjsMQVqVGRD4ehipkajxe9q741lnV6MsPM+xt0qAMQ++PwME0 +MtK2mdY1lzkiSJrYxt55E5lbk1E+h94tyZw4SliVylqSV7FO0CY2aF/tfA2VY1T72LCdmxxSdVE7 +b/Y1tGRudSBp+kwru6nMwWqojZawdHlsVb8L9a5Ur6zshf6oVO88jaHBr1T/x9SLoXzofdrySjau +pnntkLmtsLLSTDxuOjVywK6TTljTd/fJrNQPlOQxBusxWNEGh8q2bV8DFrl2+0m+9bzklW4MVgN4 +0K+bVNir0tG3k36VzCDMxp1V103aWqnfHCOPUl9QknsRq2w874tr27G0L17vP11Z7VzLlkV6xpOm +birwGOVDCR97TsJK710y0bG+XUQeDbtr3skPwXJTGbd5N+7rxL87wf0RdwcEQcvN44rliI8ye2G5 +wnxsKsA35ZyZkdm3K4O2/Kf7PGZzKhMSq4yj0DpsoG3ILA15KLd0/iybX9XyP8ryHxbt15GaNiLb +UmlfB6EByAjcW/Eusk0begk1gn5LGhxry30ypS/wgfwY+7mXgPMx5dFlDjnvm3umMc3GgJD5MUlv +s7wkgljdSOZ9qwfUBfr/bTambpa71/+Wkyk05uJM5nr841xcU05P8CgDX8YshtUGrDsw2cOk7gTu +Ce/Ub8Zknwhvi5iZBitRuDc+3LlOILe6Zx5kkqYdpY3/NhMq8qEwZuoHo++/9kfcPU3yYActKVPn +88nqI5mVyx7szdIBTJUc36+0kWBF4fyQJgU3v+5ml7aHa5A5j0hv2/dL5Kz0fEQWRwUZU44xYYYS +K71fej6qIIVA26ax7ftjJ6CHkHupLKXnxyCPMXk4RDlK+SjJvfS8FL8/37as274/Jp9j0hgTZpu+ +hne3TaNU1pJMd9XGty3Htu+XcBiD9S7yMCaOMWHGlKcvTEmmpedj0953OcbmY5tw+yqDNPmVDloS +9ZUvd+2RNC6pe+ybvKlZefW3uOYHcU0j8Hx0UyYqDbHfplz+rnuVQbtuLh/JhuUqadzTb9PCE4bf ++u6mMr6HYCAv+yfuJK48VzdkHIIurTs7ffnNLTKNv0353WQjql+V3PNYmD3x9l3IJ+IIBAKBQCAQ +CAQCgUAgEHhmBOCS4ueQd5TDNddEQZxxTXHKavmDa1amaVcYTIh2yTUbpbmxcmYH+kDi9bftPca9 +yvgz28Tv9j7dmB6GuJMP07zjED9bj8hnXpD3u12tVTxzBYrkA4FAIBAIBAKBQCAQCAQOh8BRcE0p +oBuvMslUps+rDIQeTTsX7ikxlbF9CEPeUSaTwxB3F1vKn/3sWi4pPT+c+COlQCAQCAQCgUAgEAgE +AoGXiIBbdHTl/WBcE8052vbdXocl7iXbptLz3ZY9YgsEAoFAIBAIBAKBQCAQeG0IDPHJvXJNzEuk +acerjG041fcH7Nk1W8gPZeIgUvZ1uqmMn5yK18W7ZFrTI5PDEncyMXYWRNi9gvvaammUJxAIBAKB +QCAQCAQCgUDg2bhmp1eZZLNuXmTY9Cly615l7CTSzKuMnV/y3F5l2vXHT6Vjl60Tcyfz+Umfm56W +GPU1EAgEAoFAIBAIBAKBQOBtIZArho1PZhtTc8WxE+dNzuM5AkQPo3EHzBOBiH9NTorCtyXuefLD +f/DffmpnH9ew8A4+3vuOSj4C8CILgUAgEAgEAoFAIBAIBALPjIDbrc/hkolrPiSu6c/g8ufSZptf +dbimOOZKYe2k1dzwfYuy2Om0yQzGN5yaqUxyD+kHQt0rDGcYPTGVIT/D6e+fuCfSvrpUZnB6b4x8 +qpUCgYefdk7ROjuZrH4CSKFKYbg4mlaFwsf7RqeUboF7vBoIBAKBQCAQCAQCgUAg8EIQgDpKAby6 +kAIY8g7XlD/36iTjmh/FNeGWhIVrzhUWO3S4plyS74a8Z15lUDyzOdVt25lI2AmqShM9tbmATBOG +xqsMeD+3O0g07QCJRl2zGlw+ri4EnrTvZikjf+2rjyLtel5dich/kRoefv9JDvQ1M8INZ7XQ9GOX +PjZfSD2MbAYCgUAgEAgEAoFAIBAIFBA4z0i77MQr8U1IvHHNFYctyX+7iDzm49VXEcqv4qLw0k/i +p2jh70Sq7w/pknxzbzP707j7qgMkXIBWsPEbEfBrjv0VoBBzQEx2+SYSyDm48ZfZ0Ln+/jiT6XXV +W2ZbXHYAgD5u759ur2YsraTj0GPS8jpkj8yRM8tguS2el4667otSuzpp73Ug93JL4TKlDbfbsa1G +Jpl3PX+5pX7bOZfM6b+rhbRmQzI3c9Cnff/bBu+Fln5I5hSJfsBdc0ffvlshN1xTIF+Ia8KnUAJz +NhCHfn4+1UmpKLkhVIlosQGUtqkwbp29O2coRCz+9g7TGKWHabhvSqUe2D2li3390XqV4fhWdtly +OhVshRkOV05cvqkmV2I1AnfyOT0/1XcRfAP3tRFXCBxl5aLjbpcP4RIGDKxy6bPPxk56rw3j3XYN +28fmMvfOu23Dlss8WYvtVebblyhiKCHgbRjZurzzdkZXt4t2Hu23JInDPV9H5rTzHsXN4TL8SlJ6 +zjZgykl9PA83HeMp9YJJupPMfY7nr0SkaxfDJkf65ztWGxnXpM9l3AX7G/1gryUfSA/m22buru8u +m7UTHnrBI81NXzCVob4krusHMPmJqiO42P407l4WI98pJ3OZvoiM2jG0vkqgr5U2BaxWmhmdYVKT +XsTeR//bkbS7vEy4WlLRH9J9dPlkwuyjFJC8Y4Ofa8OTlmw1O5FGhbKtIe1Mw7aaJgBU5GoloeZE +LnX+leycVqcKZxjlgi8AYuVQpm1PAVc9+3wyo1Q5m2OBu8pBxbJFES01bbqEpDhWmriZzLs2f6Tn +2ET1yyO5RuqSx8i6sVLdAwdLI580+vv2PDXgtsxp89QJP0J5nU0snhYamXmS+alkiTgymdsKi2lf +9Qxt3YP+btK5F+suMk2dVtfGb+SRnlebbAynvEzO0W4g8544DE+qaedz6i4PFQdtrEMeRbGTPLaL +fXU39QN1Gq2N8kRubTXDah2Z8z7xkwdrv5Llif6qLzO5pou+sJZ5XS8qZI/Mu+rnUIGnioT0utpY +Vzny+NP31anioE6aK7I1+rQnWOlGu6/wPnNIHsTj/RHyGDF4PYEEvOmXeZn3u/q0oTQeYZXKsa4s +kszRtpvsc5lTHVWvV9SF1DVXc8ncIc/HmSF5e7+c2tijftNFZwqylIifSJ7Hn7eP5QZ4t+sV44tV +4scZp981efT2A9gtsLLc0QaHMPBn1s7cdV7PeNzIvOO591eO1QbjXLM6znhN3007zlfRXeb0afQF +ynO1STv3sbSvfaQxyvqBtjy8HqdxsN6XuWY7T2207tv1o12vLA367lTvjPs9TaMZS9flT2PqA2la +PjOuiXabC/MZ5Ydfq7mIzSU3MZ2hnx8T+cgwKKpJxTDGph0iS8PQPcYya7/c03fTxHOpXrBJFRLP +e2RygPvun7jnZYWAdpVdlRxAJ3eqzWR2qu9sIjAS0fPOSAw7gxmwEMmeSJzv9gGHkN3UZcMBpkmZ +tPo6bNL3vGxSXuIlr0N5tApLIj22XYZVqkSblJX4S43Cw/TJw8uxCQZePMdxmzRK5RjKnw/UDOiE +a8s8YVAJYza5b3w5VkN1l/hd29eVUKn+j8kc7QOsu/KRSG1Tr57EJ4SazTtjEhvRjrvqLljZYDqQ +RuoqNsqFy5yV0q6643WA9HNM1k3MZd43DpO2y7wv7lIbLOXJ0yhhWepLXDO2SV9D3I5z3/uQjqE0 +xmBVwoK0qfc+RuTh232yy72n++1NyuvukPcJl3niDE/iyvvVTfEeqldetqG+xsvRV3dLWI+VuW0E +HGjrpfbRlQ/i8/zzPv1dXztP900Um/bvvDdYDmWoNN42ffsWgHvf3oVJsW/PMBuSxxi5F8KYyUz7 +gmvKtt02r9IvzyClvpl1B4kSRe5VhkkMk1bblCpybt5sSFv3cq8yHL7kBzAx6b5V2IETV/dP3NHe +kXmzKUozQTJGvnIhI0S3N3KyaWY2LHsMNLh1saaDmmrmZbt9W1czkOqZzxbbBIvfaFHcVeU66fMu +6dPAvex8516eDvHTwNHKd9lJltK0uFQG8KaYdJztchBEGJg2pI/MMpkCK6uMpUR7nnsaXXhbvMqD +0rCK3CcPNAhWDv3TVY5S1mibpOGaxK44Gqy606jrS08+h9Jv6lQdyDQyS1V+osvyYffoSNDK+8Bf +KlfXc2QGXvztrLtZObpkmstjE5lb+1AaBVeuTFDqOtpTSC/HVjJPZe0sZ0rfsOpoH9ZW6/rfWTdL +svF2Lq06Mm1WzHKZpzhsICe853PdOp7X3a42ZJOnrBx5/Om7rR7WNbRUsqfPXeaWRsfrhiVtcEAe +vFbqj0o583Y+tFIkbWW1Uob68mkrK1k51pWFxavJufpuk3mrnTf9sU8YXe7rpJO0mLaK2e43PR7v +rxyzdvxgRWZslaYEbJ9Ms/bRlf8R8rB6B0Z9Y1Qpa+BnbTS1167wSsMcd/SNMU3fXkqs9bxpO5Kz +FaO7b2/6c5/Ib9zONVaazHvyaXJMdaKr77fH6fkmfXtqo3Xfrh+dadCnD/clpqTtqrtrwt8Z3FaL +xRnd3fiZMmk+26mr6Q3qgnNNgvOb9+pBaQcXvDUJyb3KmCtI5QN7d+8U3OqibSpjK8xkFpLcfe2f +uIMFgkbIPtvjL4Ugg12dBsSemQnk2d1D7gDOJorSsrflaWAAs8524Hkpr4aJPqzw3RJX6wXXogxp +LUtp8Jw8DtXFMeUoYVXKxy7S2DYP5LEUxy6wGsICu0cul3m73rvMqVY+mSth2/W8hHfp+RisSvkq +pVF6bnV3yzZm5dhBOy7VmyEskCn9LzLne1s7mv9GO7QJgRrVzgv9wCFkvit5lOpeSV5j6lUpjqE8 +eN/OGNe1NwkZO+FB5t4vlMrVfl4qR+n5ztpYYRwsYVnqd8fgsm0aY7AayofL0GXeRay9rW/Vzkf0 +iSUsSs9LeJewKj3fVb3ryidtCwWxrZolfmlEXhe4d3EhuCbheW/dVa9BrJx0f69DeZsnD8Z/+cvE +Xs/dth1TGYh+38QsS29/xN0zis2YdviuPii3l9iwK1ci5abB/FvfsZNiIuIAkjncR8oe0txD3ur5 +riZCpUp5qOcUeVrP0jttWm1G+C5pCXoq3KHyGulsjwDyZOKPBm5Q5kz6U5jtU40YjgABk7lPxFI9 +aLJl7VwyZ4+LaQz1aYc5gjJEFtZAIOmoJt7WO2ReqW9vtMwh7zXAPeKg+Neg7+6afFMneIasaecQ +ROdHR1ykF5O1hhRrNU1eC/EgM/koDnkO19T+OueaTFqkMLa9Ra59/8AmQ3FNNq1usL+hGyM6chFy +8yqjhHq9ytRcuDmACc07ZbG8QfifU+NOZb3mHxXmUjliUwB5ulWmWc7wjgtzGk6RIuPkFy39tZ6/ +xkpOGfMG3m7E/E6DejTwF9N99Gc0n237JLRL5sTwGuv7KxDhxkXIyXifzIf6go0TjhefDYF1ZB4E +7tnEtNOEkXmp7/Z2HjLfKfRNZKZVTyptyLtxTRTEAv5Gf+FUrH5yqirPnLzfSXvyNT3fpWy+i+ia +aQwXJD7xOvMCoO9trzK59r2A0P407p5wAsJ2Uf+lQrj5Bzujufw5RL2xjRKwvnN6l0Dup7rsJ9a3 +Wu79oPlyYg25vxxZjc1pyHQsUq8nXMj89chybElC5mOR2k84w1/7AEx7ro/vrcw97LCHC66Je3JM +Vhb6x82HdiU/HHr4aajmVUYRu1cZTMP6vMrgdctMZZS3FTOM/mv/xN3TNls2kfW+XfCA12iedmps +tJ9KErEGAoFAIBAIBAKBQCAQCBwPAr5vwt1VtnPmRN7uZ1YfOysBEwNp292LDJp+XFK6lh2bdlYC +cg07YRpTGYi/zUF6r8MR952BEhEFAoFAIBAIBAKBQCAQCAQCWyKwK027ZwMtvrmZVMSQb3NkIrsc +lOiucbcNqZD3PPFk035UGvctsY3XA4FAIBAIBAKBQCAQCAQCgeNFADt7CDl27fp7krzH4IRAm2Vt +D6e5idQzDmLiwoc7F55l5rInxzLFNO7davfQuB+v9CNngUAgEAgEAoFAIBAIBAIvCQE06pxofSoX +NpiI34uJQ8pPLlQKEfs7/IBD5PUc7v4tMfUTkfbvCnej33f9fiGDuL+kyhB5DQQCgUAgEAgEAoFA +IBA4MgREtM0URi5t3pM1addNYS4Cz0mt7lMePn6K2xtddo+NtInQe4kaF+jddjxB3I9M9JGdQCAQ +CAQCgUAgEAgEAoEXhgA83A7dk+bc3MKKpfO7wp0iHF0eWJzAu493CD4mM/Zbz9nIWjjBeiPi7v7h +V+Y387vs6/sdxR8Mdnbo4nrHUEp2QwJuie0QewCSSdHB8hMJHQYBZKt6yNEAdsiuNZYjqZOHQeDt +pUJbV4fIJvyFHZ/Nl9Y+n7eHyustsWmt1KYRNSfYIPMldUD/c7BNXK8TAdq5HV62lOwZzBF49O2v +U9j1uG3Nmw/cF76bOKzxt2PgmuSRq7Fi8S8/OKe5c8RzDbf8sXtMxBUkz7k48Ami75eFTRp2whmf +7b42Iu41N55NZieV8qUMmo/KZ74k2cpAyAqb/OxXuOU5hjw+M0SvMnnJfaYWjvkYk9YpJ/DaLu4j +qJOvEvBnLhRt/GHFwcomc1zmIv9FtO9nFswekzfirv5d8qZVV5L37PSdmY5Gv75H3J87asZ0FJF4 +x0NxSd+uth8yf27B7Ct9jeU5kbVkapJ7NFzTZhDKjx/e1IZCk4vJ1681IX9SFtXfM9nQ4CqSQ59m +dVyPrgqb9/MiwGsTd/rKpbrPCx0pO1tNBStTibQMUExunwHutQ9gphUK8rQ0zKZq8RefzyczHPEf +RR73Wf63GrfkfjabzM91tPHkdHKhzSALO62sr2W9VZxeS7nrZZVc5qfSTixWvkb5WsoZ5XiMAO18 +bu18Ks8Mc83a6iH9GMaekNV+ELifzDWmn57ORdqR+UwyR+oh8/3g/dyxLicnJ9PJBaea6jJaq1n6 +hcy/T6UoPg7Z16rzufoiTmCFDzf83GYd19pYesVSYA2m6w8pDGy/+lwf/nT1pRtsCnuJ6Qxx7UDj +TvpNBvXj0zk7Zk8mi6lmCMsj0G4qH1A3t+nn70wD+qeP2gRweSR5fO528RrTp/7ROvT/R9VHroEV +pteIwNsr0zStqEjmF8h8merA20PibZTYxvHUv5vMJf8LDZy2FH0EY8/bkMLhS5mN6ReicbMLKWVo ++yHzw8viUCmqTZuVCOO4PvDXXz6pj/8I1zwC2RvfSIycFd/EzTXfSBtT2YgqjbmRd+U3V6qf6L4m +oZO5wvD8oXXQKK4izxUGe/hlcxppJ/KjNe55+pjHzLRuaS4qE2k6lFz706kyjMwSUv16VQPra6zP +n8nIwc4RqOVuE7a0lC4LrrheNQI/ZF6fJh0Cf9Xi9oEyFdLHntbt1w/Bmyvhj3aNUu64+MabE8ZB +CuxjuZlgJw5n4/rRcM0fdbJFu2t88MF+cVkzkmtp3/3C3utS2vTzDzWZP5c7yAe07hmzxtMM796T +Rs64n0I/irgD4rfr+8lvKa7viRYfRJIbJdLaMLBRHPFSIBAIBAKBQCAQCAQCgcBhEXgZHM63xt9/ +u5ejBLTkIugn0qifiYTf3fzYb3cuTf1cH8xl0DJe6Pm9nt/iz53X9A7adv7eN74geyEfJO7N3ELx +/Pm7EpjhJD6uQCAQCAQCgUAgEAgEAoFAIBCwFQJU8Gciy++kdX8vEn4ijftCvJkd1mcY6uvzkCYk +p9qkikkNBzGhED/DjAZf7rqSl7QhVEdp3InAHHWwozuuQCAQCAQCgUAgEAgEAoFAIBB4vAkV2x5O +TEWDjvcY9mHxmwtXkBju26mq0rpfsy9P93jOZyTFHk3cw4w0amcgEAgEAoFAIBAIBAKBQCDwFAHj +3bh6x6b94mP9F5L+PmnTc/J+gdvHXxJxxy6eq2wmQ6jRxD2EFAgEAoFAIBAIBAKBQCAQCAQCfQjg +TUYE3GzaM2fufvDSI/LuhF7hRmrbg7hHzQsEAoFAIBAIBAKBQCAQCAR2ikAyi3Gi3o7bzWbsOR/C +j8tAaNzH4RShAoFAIBAIBAKBQCAQWA+BNTSp60W8QWizec78i5sHEv2TTgT9cSptCtPYSPvvFJ4/ +vOPvW1byd1Kh+dOkwffmRit8/v5AGu18Nr+zcjReVdplTQ+afNdZaK4n2GThmyxlWNm9LI2u85Jy +Lbsn9IicJ9MY7nm++YuifsBqJoj7BnU/XgkEAoFAIBAIBAKBQGArBBLv2yqOsS83hDa94KTT2as9 +z5is583Idn7l5Lv1jgXL4/BIet5p8uTvjAjfRJ++5HE0EwmepTTJvwX13y3Q2zLokkkXdjZpyPJg +xL2N1VjhrBfueYh7W4ae57zMbaBK5eqqF31x9KVfSiOeBwKBQCAQCOwPgVLfXHq+i5yV0sg5Rld6 +24xju8j/W4qjzZPW5Q37xmquDA3kaWpH28tKImlmZ+k3xeJe8xz1q4jhj+cLPZdlhcIbz9T3pcJ4 +eIuT3xYPv7ChVhz3RKKfU7ks5LrHh6F2U54qJBspF/q90O+ZPJTjUzz/zSZL8mFx8FEcHidxcSpo +81slmCs+4rzzNBSmyuKcpzRXen7vaaZ8WuEUB+GbfKc88ZvnaKTb+fY4Pd8UM09zpjgpl8dJkSgH +1538sHu5H2GVYYMQpi2s8jTB0oShiE2z3qE2XyoPnac31dmocBlZ2KO6PXG3GqbMTgVqXkHzzs2+ +s9U2XVaROsITT35V7t4+u9nXafJufVxqfbXTaPKZnlnYVh4ep97/q2tS5WUfejY2fg/XNwD0TerW +6bRKHV4pjbHPu+qE1c5UyFI+xmB2CHkM5bNdJ/vqaF9ZCN+WXZfsd4EVeRjKXymNdep3VzqlepPn +z/Hqq0NdeHbVq3XaRTvOElbe9+V1uh0HAyF9TV+YEuZj2sA2YUrpr1P32/JbB3vSsTGgp19untNe +esaGMfIYwsoGzDSWMIh31YdmnOrIZ55H3mWc6YpnnXY41Dfk+SPcOu1r27ZCWkbyeuTRlDGN610y +G1NvVwPyGMMZSlhnsD2Bug9Pilyq25D2j3gV6TJcrl+uRHY5CdjlNpXP72Uyk1iIAM5O6ncXC1Uk +5YXfU7izKhaK5Dk+wlM1s/AQIMhoeoeoHhYi+bglxKf3mT5T0k7MUB4JzeTFCGuSJ8SSPPObM4VI ++NE7CZR5iuM+++1pMKCRtZnCnEJm8zRIh9+ehp5bvvit8ERLHrjyNMiDm5Dk5zP5PYvTy5HSgNjb +ZMPLkaVxShqKM3lqnPA7T6PBinIkbNppkM956ic838BrMu+yndHtO92/Ala90FWHyNZAvaMkWxP3 +6alS1qxqqcphwqCRnaSZEfk3KWhG41M/ZicUSbO2qWZiNtHkluKZMvOxTkAfzTqWnCCV+47nvhWU +f0irlu1EZ9xPT+uZq12p0pFGPdvTrTNqsxKa2rTV0vdnludRnUpdcVbMBFtXtawrav1E/3qr5isV +219JWbfnzP4W+tu2uSIMk9d2HJSb+83yjEu9jt/ywGVO93suzoi3fKYwSqMifSo2tyzt+kj5djn4 +rdBWH1cmxvSCZ+OO5/W1So2h4vheK5/itHsKQz49j7wg2Vt8irfivs9GPbLBsjQleRSqUx59aXi5 +rXF2y8PyjjyYbFNnm86qxsr+TUcVr1Qf0RY4Vo/k4Wl5biWP5v0mbd2z6pph5e9tilUmrlWqX40c +svKvUv2w7CEPyfRH3dWL6tyaeulVxLHwMukV+r8lbbqaq42nyqWbJq32iJdjSnIpfxaO4K6hEK72 +PnLM41D9MqwtGT0Xdk05KAP5ol4htzb+g3Ur5cXykOJwHPlLv6Mjrq17vlfi3leRIOlYGGVXg7OF +uVMG8rbOPetP9IL9r5fu8pf7Mrfj++Cauk+r2942qQvkz5aEDeF6LGqe1/1AjQ2yqrGvB3l9z9t5 +KcukLy8MKByXN2ic1Al4v+z1SX34VOPHEhKDprDRWiWyPySPofRdVmT7XGlosG/GJ68vlhfk+W4y +PdfhKSZPxijymSLnecqjD1FLBmkPYwAi84Qr39t1Kz13eYBpxbmH2btd4w+v/ejzUoaa8UNxeP/u +sibeJB9rz/OsDZVYg5dX/qkNK+Rx08Khqf+M61SiWkM8ODa1ZeTYq/3UVUpx5GOpTqicXlIBaxxN +Kd1uhy08zeqD/iRxzjQ0icLUAK/UhvOuZSa8qqQFf5y9Ra1Y7RtrLe/qreAiZ0l4di+xTL5LJis9 +o3/4ATl9ZiISwtWU3DZg0yb0DSKv7/NHlY4mV9+3YdnrSmo3lU7nXDxIPhUEWg+N65CBNKGyDlff +mRHwzMLo1ju9M6feK9Z3uvHdBUJa3Ndv7idOVyeu+zaGKB4Lr+s0xdmkkTJ5wvukkX5bvlVOm6x4 +GuRDH9Nq8508QkB80kylUjyMuTPypI+F0W0fy8hHUw49/04aet+wpNypI7HVEd0nT4ZVStM6PdLQ +b+enFobbcEq+pHfIN89osyeQBp9V1EWyMZW2eEtlRUA1RI8uonMZdjzm1tbEvbq4mMw/amDSMsPd +7zopShV/puNc55/OJ0tl7uHmbnKi416nH1SIh9R4yayI8+L6drK8uldnPJucfNLzEyRoQ5x14Pc3 +ahx/3E2WDyoptwXc7NOFePd08nB1M1l+1X3qiOI7+elcaeglZKAeYslN/X34qjRu7ifV+Wwyv5Sv +zBMmGKnt3txMFl+EsJ9m1QNScxs5KB6rRKnOW6Uib9fpuNuLvCPRA2aVCFF5sIHd6/6l4kE4CFAn +3z66mAydCwtrtLp455oBQGGZoNjyULpPp5lm5ZNbpdGOq10msL9QHFZpeUjm9V1ymNymzPWlQVkZ +VMlHnj8wp36e6hnlIeKPibkjI/JEWVRP7KIsTKgoJ3mxWXG6GOiu9Sy1pXb2H/22sijiLnlcKR46 +ogul6Y3AsUceyCuXhwZsyyP59wOCU79jByjkAwSZIH7IhWGFLBWYsvIudYQyMTm8hqylXBN/Q2r0 +HagoA7jQGf0JvnQAeuESV1KkQz71l9EE7U2O1S2yUyRjD0YDh7ky8THhDQbkwe7rn7MUP+2Bess1 +13fyRDjqrKVP2fXHj29mBHLyTkdIo9BhEqe/nKkdTyd3f+iNKwHTyFrv5/IlHvJCEyIvyOJDSp8y +kj6XYSVcLAueB96hLuv3Vd227f2zdI/3eG7xrIEVaTge1EnqBXGna6qB4uQfF+pz8MWrrKs/WvxR +93/NJZnNJMf5Z/WFqgcPf6jPMvn+CGJl8XS47XUoC7LXr9Qx6qvhpe+0Teo1F82Vuu19BfU5x4F3 +L61S1+3hNr2HnF2mGWad5TBFj/p1cPooLDUW0F8/CMul1U8G1nfqv08nJ59Vn9TvoNB5+KrnX3hO +Oyef1eRUYwPyoFVMJI+H3zR2sAw/8pqq3px8VByXnoY6ri862dDyAB7vNP58mMw/6LnayMNfekZ7 +JwvqI04+Sd+pfFr61GW1lYXqzsrGoNap45gROOa0cccplwfx/M0Yl9Up3qNt0BfnYxDpUU8Rh/cR +tAm7kE9KA5kq/3YxXqD5owozPltZ0MwOAGZZEbk8m6u8YCV5aUK6oP47VpY5SKa3EeGlen33Re1j +zQs8TyV36pO9j+aYuIV39fP5ZPZeeNS2IDUR+HYyefhTcjcTkB/FtzpOPeZ26vub7l0TxnONI/dS +Pl4v7myiShMl/2dK/5Lj6nW5BQnfr7/dTa6vR54k7wmhSm/GfAE/A3PJAnEkzJfLh2TuooC5SYUV +UREp/0vddyU+33l5CsMnTFupCHnlPh8mGcYVdC9NBOy32YArwFJya+zeeUcVjHeoc9S1B/2DstHG +MPpw2m4mUJ8QQYq/K4zxqvS+paHwrklHM264EEZhbTKh5/Sf5IkJgZWX3zxP6fj75JNXTPapfRKH +59GzZXlSGHBo4qSdeBr67mY69g540QfqPRsDKUcaJCmrK3jBhu/ko8FGv01jBYeQfJdqX7O6T6s1 +1NlFebxMj5+M+rU1cYc0zT6dSQup2S8YqhHPf7lUR6xSzlTLNGAvBMRcnflSaoT7365tYsQ7E7mw +tMmdGuHs5wt1cg8a/NQYNEOffp5P5v84FR8Q2f+XABCGPghWZjtVmUnS5JZG+q7W618wOZAc/qMG +rsY3o6NXJ3b3v/qJNhhf95pULK7UONRIp59wej+bLH7/+weh7oLNKlg9ubJOEfKT97QQWViWufPR +cw0i1Y1eUlFWH/S5QFul58x6uS6l4TqnwajwCl/dEzAlTB+hgcO0cIrXqq911vryFfKgDxoMhauu +9ZLGFutmzH+/7qtsg1pFKjJy8jIwsJCWyGPFaAN5tzTqckyUBhof0wBDYOnYvb8613NwlUZVaBsB +sEkq7/MuV145GZQgiAww+r5igFfHi0a1EilYCdsVHSyvfR0YcB0r4gYbW23JRj7kQWW0jox8J3mI +lKw06K2Ef4VKy+WhAXsFKaWMcGjS9jJymBlEl0b/TflUB7cS0ap0tsLkay0jK7c0J9Wt4oC8kB0m +FFRGiLvnA42zJhIVHQOTE8pBGUjD/L0mLZh1dnW+V6rK1pcqfysbpJAHeaixMngph8eVqlHnH2RC +WZAbcF0jBL1recjjR/6aNGtwRkam+EZbTN1XGSqbvOkeA76NaWSWSUsSjLSOJ/+lCTbtTx1g9UWD +Oo+YYEGqRV4pg10Um+8uPvoNrwPC27TU/ox8Js1Y9Y2Bo35/9TGtENXz+LoOgRPY85y2RjnGYtXI +Re/pe0U6KbuGs5E0kYafT2tcKJoUB1yLP9SXEF7FmIqMnv76cTKlnusmOCytl2fESZfirYhD2Fg7 +VH2u0iphpwx3edPEhbadRkLmaTPKi7cvH7zeU891E971aHVF91VvWY2yOorWHfyRM3XtXmWhnte3 +uy89A6f550sFU51Tpz5jsq0oGPeXIpdTtaXZLyKJtHNhNT2Zicxd1DwTxYsGlJkUP1MROXsuoVcm +D/X9fzKIIsSBPCBb1bsTS0NpexqaSNypHi3//NYojU6VBnlcMBG1OgxpYGKhMUvhSQaSulAcM60g +n2qcA4+7f8u4wRQj6aJvp26CFc2HwtgwkslDANgKpF989T7UtMBZn8d32qW1M0DVX02mrO9mvPlJ +/Q6TK+RL9WMF2vIv+dBVqU9gNatCxl7/uyAjak2eaqySPLgn+fHa0sh7HV+Fku2/NTCpTLbywP2h +uNvpaTwnHfoRVvQr9bdEUQnzSm1v/g9xCI2z93/RGYl3/Cr8NfFavNMqwH8UEtJnAqHPVN1SOanr +FcCLIHPN1JYvFNf5e7VVaetXwhtCDo+1oUNlPFU/SETWHKwerUTiUziS6KtblJXm7hcR0ravvqot +6XPxc/0ktZt28ZPusSbjdL1NgMQ3Gu1d/aAJn8I1FjpeTfx9736oQnwnjxYlX/raCoXh2Y9c1MJU +BF5+U9p4JW2XJv3OFY3cetDLlg/9dQ39o0ricXp8+k1eqbNDl+VJebM8ZVfr56NnzUqE3yUSMkc7 +UblUrxpiT5Cuumx1S+Gv1JBuJONPIp2nnxLAhTyv8Xh74g4QzADVgZ9JA2VmFEZK+SrSjaYZi6wH +HM1LA6GZ+UqNcPbTqfYEqMd/LynQMOjAvmjmrsENO6WZKvVc2uulOtLF6a1+M/NWJ6B3F2h91LnD +GR7+0G91WCs0Gg8YbKltfFUcaqgX6szR/lcnIg5f1Rh1r9IgsPhbv9Xxzn+91CRD+SLOP9Th9HUq +adC2JWFIE40eGUpGFaRJgqKhm1kByzAU3rS6Gqy1nLf6pN+LGhMjY2irCWb2Ufr9SdoDld3MYH5W +pARlME8axErFhqiRYIXG7kLvMeNJ5j42mXWtWN6fd1UE+ivivqxbs5Eb6qAI3eonRhJhxKSDiQJ4 +mNZY5dBmi5XNilK+baZLufSHAQFhQFBsdkk9bXq5x7mgzODwEYKmTpTfaM4Y38/UuUKgzdQJDImj +oxDE7/0HA6AavJEe5CFNy8pGfMqp903zxfdMHpBH7Nlo/AyUpt3VbwYX0karS54MF/IDWVVBmTjV +pa7lwcYgiMllkoc/9DzTSeUmQXrRSDsyyDtT74dFkFaf0gBLXJQBQi9Z0aZYvZt81U3lxQYi09Tr +HuXIl9M7ILNyUu8Y1P1KIvTVPCsjdcOIjsrMhILJAuSbNoecyZSTaWAzLbr+ormTSmqqNmukVhi6 +8sE9dtWAUrepUwkAA7N+wOTH6gT3aA8McvYsgU4+kgxswgSORjqUEbQy5C0Roeov0iC/DCz6+5Pq +L7Jw07AujFL+6ke0Bf0hDvLqngnUnmcib1MRx5VW7G7+pc5ZYc//eTk5Vf9Hlhdfrmyl4YR6lCYh +jbzzcY9kgMHqiNLxw/P68rbH+yYTW21K7ZO0rOvWfSZ6dHBgTlazKuTyWUHupXH2/qSZ6OR5TpOu +2qSyfjD9+b0mNxRcipj/vVJfvhCOl8L3TKRNIv6q+vBRE0D120tp4e/+87dptc/+5+fJmWRwi7mH ++hxWNWgXd/+6sm71/H8Uh4id1EWTxW8dihkrR10QJlZGRHWS4fKPK0uD8Wb+Xx+1anRhMMyk6JiZ +zB8mN/8rmTPmqG5ZDBAeYXOvFRXK9qCxBm3lTHVmeomCSpVUJlUomexyc0BsXH0gaS0x1vJI/a1j +iBjoQ2h/fHdNLeMRfR5lQkaMNVz0TSTJSjR9CyvPmnjRB3mzqgOmut5o6FOC1o8pIe/DIEGavJ+w +kqb+e/nb7eTh318nFROv/wGrS3UNmuhobDX8WEFB5o8Ty2vEj+8uD0uTHyeTU60CzMAuRVFHozyI +YM2F50x5ude4/fCn5AveKDJUV+Z6b8UkGY0nZCy1MZsYqn+ypOgy1JY/qq+aqx+/kwZ9JvL484ea +Q1xfa7Km60F4Xmv2w7OZuMg9lgUq48pXerpL8/Sub/y8/lKTdlOOKR+pTdSac4YfAKBWCUfbnFoz +VJ7Xm0+lIFWYepEBzTth6wGn1sRTWH9HCkqeWZQKx183cTGTGOCkLQpTMysRKP7btMn6cN8JtU/w +jeOQjMJDuPMVDyPLqd9Fqw7QHqe/42Ta4qeuKjxxNL9TvpC1pWGF0z8pPrT+noZPHEjDVoopR8qz +m9pZGorL43BNumvW81UIKzf8g3pDfIrX9qi0sPGJBm3YTD4SnkyMfWy3FUjJm3cvIFT6S5rW7re7 +HhF3oLE4WRMCkPYgk6eVNoXMBODSpqcCS5oQagPjpFVEbLT4rRnlyismlVVLRisKqHAL/VW3a3WI +zRW2TMUMVLPlVD2kaVdjVMeMNvfu/6hj1sx49o+f6iU0jXbLezUEDTCWpvIxFZDLU2zQGNy9U6Ih +SANhGmcRdXVqM7Q7Ru5PJw9obpTfKteGNeVNeIANJBYShCkDv43s6F7N8+rGQMZpT3Q4CNUKxwAo +8pBMACqWLulUNdihdVlxOi5LMj5N9mV94kJDif20d6Cki5KBsAyqykiFZsmXqno7SipvyqPVHWSk +P7CNDwwuNHLdoCw0AkgeedOKwdM0qKDIm8pNXdF38kf81FfMQHNtkWNDeuQP7S2NLC+n5k4Vy/Tc +zweLJzJxeSgiBifCuzykobMJlI22up+y6PKwSm37MShfkgdtFaLOe591D00SmnFMtEx+um9kNQHr +8uBnDU0i2QqLxhhCzwTL7T7JgzQ7KzO3YfWF/NV1vKkz3paZ2NHJ0vfac70DMYaYMpFzbaw4QsXA +RBsFb9vNzl86mfzytqx2ZZNoNKSpM/f2bWmT31QWigzhZMJCembGQuRgbVGkC0xUP+hzGUS0BF9r +4jRB18Bpqwv2X1YhwQtMTY2d4uIr9cbMMsBF371zy5durW9K7xEl7zCwsSxrZDB1mrbXgzToTPXd +VFH16pVd+ebCFlo/VkdIi46M8D/yT1RzCIKSvZNWb2VaVO3VoS8RUWdlcXX1zmxfURZYpyWN3pIV +jHZa/psHViezAI2mqC3PvkjWuZ/qBK94HTA8Wznkp9/nlTx/eXLcJx5WbXxQzMh54mHJjp6VD8kQ +Mdrk8FQfvYeWE9NImTT9/9v7YxhJkiRLEI0+NFFFDBH7qWpiiCggiWliiSRvyRygG5gls8kjjihm +gX/kHHfYT+2Q/wOf+E0csWQnuQN0AZPEEr1kkjNEAhXEENXcxQED/Eoc5q5OnqiIhpi6mom6mbmH +u8fz7qxwN1NTFX0iKvpUVVTtz2KnfyW+/SsMNIU4fS3+GbrT0Ml/EkG+LjOjX8nEz1cy8aNxyDLA +ffonIWvSWeqqvwxC1Ld/K32Lz/j6MrdVEyExX2l8t5i0zqr+VMv4ImWAON2Lz77/VsiS9G2IL37S +wauIIDauZqV+X3SNdqJtU2SBsBLX+yT+E4dv6IARtnRgayaI4+q6cB/tf6vhSu4YXMHm4BN0QwAG +swiDQRlyDdVQe5KH1I+YErV4+GiREbbmhAP+Cr4Yq4lYrS1VKB/0c74C5CFBsopQsJLq/oAJOdGH +6Av7WO5l4IPV858wSSa6+lF8wK/Q7izU5BAAk9VuYOVFJwvEz2n4zX+T1fpfyGr9d2+lKjKAA3dA +taVNI67+K2CKagIL+SoaKbxB2qKe0uIbwt2sgYFu8sSqjZB2Ke9bsb9/lMnEjx//m8yuP9z9jawS +vBO+IWzi7lH89ycJ5/1HGXD9+q/eimuXlRPB+aNMDGqzwCBI/W6njephGXIdBE/2+YiB3N19CKRd +BQc7KsIVAg7e9BwqA5LuhL6kQaeANH56jOCgbdYJPX4XDlbToz3Cr6MPA68DwYxkG7PImJSAHj0k +K7YTtSmRDcS4Pm/1jYMAFKgEH/ngvjyDhohLeggIfDGw8vumdJ3gw33/HWTEwz7rU2WaKwN8QoWw +gQJEsDJRB9zzPDTEB7Yj93VgCecm+cYyWqyQhxJ8YCXPQhUQxUNl3F//An0r6gRblPuyv0DJO+r3 +ayF52sbR/qxvcRu16tc/sB81MvlPx3UU4g6hBCCdJcKMtBi3qt7BbDPFbxVa/lk8HYiwkhuMKCQf +hLWolABG1wThpL+WVQOZXdEOV8JmpAE8yWzW/a9BmC0/AeJrVTQALbJhpIvGr8uTPqLBqA/OE+V8 +KILqRhOUIQ1dvIj8k5G0zKD8+U//pB0tCP1ktlOBKWIi/EZPSNLNI+0HQMt16UR+ehRAsQpbDU2+ +e1w5qmzXv4C46itvbbZW6okQC8x81JACFIPyUVcNOej0jFA0LjvJ07YLjPEM/mFpVy5pvKdURWUr +DbxfD3laNwsBLEsR64JLEQKUgU3DmAH6L6Jj17snwlI5FlMw04m2gBlK6EhxVCta/iAJyBrqqY4V +/8QW4SzihuNJLqYPcXI//ePPJWTD5cbz6EzNdvyxqg8lo3JTOocSqiPlICRDG4d8dxVAf6343rGa +jIWQGHhyTwc46DDR2P7eBmZVADwkiXRfm6Sp4Z7ojUQm73ihGh2MyQcN3w3UiRBUq1PZASvphIo6 +3EMdQv4TOmwspSNh6XenHy2r3P6CzhwhNYpTCIHBfQ33MQOBc0KWEof7tQyCMDuJ2egf/x7t+rFs +NpfZcCxHP30D8o9wKCxZi/xYcdH85B9WwmoIhnRlaA9oKxiX+/qvELLJ4BjPYpCDPSVov7BPrBRh +4CkOVjcTexkaioC6yEQCRPeNTr3lHJiCLqPD8RhWaF8TW0CHJP/ETX3zNyKk3oMByLMaYyryCwF9 +ehSnjaYKObob3IIK3LbQCUuxmPIoj/T80aH6jrtidoLTJrRjCD2Dmd5BfuiLIYrbiSdAepBoYAQI +fCOeh35MMrJG2bi52jcjjv3X0KGQbbgQPIty5RImdO5hE++lAenxbmJHPoOvZRRHfg99QEaVy68X +2fSjzVD6GBlklYFBIb0qA4oWf/rlndRHbBSTl2X8KBNBchPVRyjNw9/IbD7kEjF+FLt7knh7tS/p +bHX2XvdhSIEYxOEy9mNhJrzFFjItqhcPTAzvue/Ac+5iIaPhXwvBPVmR03qhfcAv+14RPAgTVt8u +vgdtD+QfHCN+8KyGgTaX9bpgBF/27lvpcyUf5RByqol9/Ul8AgZR9/fvBOeDLJ4v2ClAWFH5Rgb6 +CKv96QsGvDIY+tMPMkYTuTBQ0D1TqJQAL2mwd+7uH2XTKlbi74QQoT3KygJW3v8MngB/GfHG99rG +vigHQxgMriEcV9uu5PFn+fcgOv61hK+CuD8JyfsKhFbfTI/yZUCBVV1kr816QYlYQUe5iMv/9A/i +f4TATZYfCwwTXhZkLHe9EmagqIa5Xdyt4TCa1m3FniniPn/cXiIuQmfKY4Jr2Aw+wU45SshHJ0rg +2A6LrGJ4epQ/MWM0HDwnBStxhgB2zX0M5KnPy32Ph3cd/hzSB7FqOQ7DpAzD8hdyU9sc8kCGE/QN +C5QZM26+T1aRTJZJEnm+6lFu/JP4B9nnVVY2vi394l+VSbTFD+qB8GTfSxZcgc2411YvxA/G/OvZ +yZVJQai3jqZFSmkwj7KUidjxryReHRt9tONrPpj1VmKmw2fULtawSVxtFs9IV4bR/19LLKTkcW/h +JjrjEQ3LlvtA9L+I0/nyg3hxXUJBeSH/+F1uYwblq7+SumgcU+cD0vGTKODQj/WroPnLf1AuZpXh +HG1mQHOH0wCh0Jln+auOb0GNE+MP6VB3tz31IRJ28+8WPCUco23w0VyQr3aAM/V2ubyMthFD5vbR +BZUe1NCLRqeMD2Yo4axlKXRxpkYU/0XDJpqGN2EXIpzry/WBVSF37HoeLIif3ESIDtLqAEo+8CfH +ftze0al4vLfrDXsBEGblsf9t3sBRQzvkIzHbVY6YznXltoMlcSx/a+y4C94RGjrHoCv7IH8NYQIW +mIETeTwuN7YXd8aSVD/atKT9YBCJD6qB0AAlBsAUg+Kygb2GtyAd7MRjfLGaAAxi+1LHhXTWQEAy +vRog/xqmI38xg4jnca83KFFdQihJAx+CjXhz7RxYYY9Da1YRO2un9/Bf33ovKrNdKEH+o9MebtfH +tAWUYfVHHLHa/1y7z3SZ3hdB/0H2B/kKRpp+IQHYCfBHXSFva+O4Bt6qdYtODt+Lb1dDETsBpk/e +t9utr3UlFXZQBoE1zlZJkCnKcPuVzLD/BBtQn6Y6mNq1AADOS0lEQVTKmH7Q3LESpP0HYtX/SQed +FWa5j8mrwohEJshgOXhv9vQFIRiop4RaKqETMidhI/qRx3RhTmaK77Hai5A81AfZ9fqOY3GP7VCf +RRtDnx3ad/RduuooMqCLx7/YJbvLwCRC9Fcuk84o28fgrOJKlvcyk/z0VmbatX2i4sdWBo/JKT3i +c776K+mzEMIjG0snE1vIu+ERcNngMt60dNLW64zVTm+SmTjaTCU0C35LuD8WnL/BLLibZHy+5w/Q +1/ogLZq1qUX9IvZ/fZI4wv8iJw+0MYNVf7ANzwBYFmuchsoAHg+dcSXGZ8r4exIqI/qrxN5XLn3z +pofKoD36rlvd5G+/MTOv4TVOMOS3H2uqHAvgIb2kcRKvfA7ggaDivjyDPgKEVZUkaXVCFmXIP4ye +fGIGVdEZb5SLZ5CPpcEFneXGfWupSsohh8kJ/fvJT0ijZcg13dgq33W2X9J7GZrGy3Dugd+QGfWw +Z3QVAnW3crWuct/robP3+A1lStqfpR22kQa4BfKOfXd/g5h34bCY1LUsl8xUJysgSmN/RtwlEyEV +mAG/R4crs9lzR8/WQgAUDEWXpVVqjf9DfPE3b7HxBkdquWHJTZQvlQa5R0f7az1FAI4Rz5a4s7kP +8C+NVLd4yqQmNiB5D+3MsoiBTahYogOhwSkD3/y1LHvJUr+WEAvB95acCZFT/VjH8VxXHGo54JVq +J42wBAEHRE3in3XzFjZIQj6tBoIHrEdoK24cZREQNSzJBgMCPcVF0X+GD/rDr0k95Bm0H5Cc9uOX +IqSeBoQTIRaevdl0JSZYCsXsNQpE2I+Ecn2FPizO6C/oVm8JFOrTYKTwnkJgMdt1r3FvM/qQ+27L +s/YPmYxcfoVVEY0LN31g85QMHr1az+ihVygyFZAN2p6BemO1OuhSOWwKZBSz75gBrs+VNlI+h5np +zAywFKKsRaJteNOCfoKvVqzQmSFkA9elr7tHLLLGAzYtXOTRTfFLBBL5ASfstxAiqseDYsMXSDuy +i/2EDkAK+F8QmozwHyFBX8TeH//zn2TcKJ05Zt7g3HQFy6oMXWrdQDDkIq4jX3le9zX4ABwrc1hy +RVy5zdp+eS+JQbRwCoaR4i8S5uCkXfeH6H4ISYdOWOoBm7xD+BiewB4wbO6Vn2pXEAH1jVi5L5D7 +kHKxtfuEgxT5538oy22I60d9QGL6zw74j2ofBk/1Tz3WEBIf+/VndLjoXzSQ8dinD81Xs5D6YSO7 +AIvNwqpbtxsvwX9HKNDvii7Ahb/Iqu2fhUx/9Wthw+gw4TDNTkrzkBlR2fT1lfRRX/87YVpKBKJt +iw3qoFz0IfHohd2Z4aNMKQcztN9I3/ME0o7wSITeYI+EtCdMCP2oEwKyQithE1/DH1l4wj0G92gO +OD3l93JiDbKTJdr7/+m/l5BLmST6Rk6OEfvGnq6fsPqMj8Rb30us/R32RIhcP3mY2wrIj1ISqgzy +ILPeCCHCCpmuikp43iRsDe0bNoa2gMGWH/06J5/7EL0vbQQr8xIW84SzyDErjkko73PUd7kDm5Fe +ild9YDUds+g4KQgrZx6y4CSu+i6Ev8oMuO6Bk8GX+Nin/4+UL3H2T0LMHn4hKyH/TwmPEv0i9EqP +FZ0jMmhSqLuElpQjq80Jwu0u+cpQFazgP5t4aKPIF+1L7Rx2DKJkRXSgiOxHF7+0fHeQkMs/pbRC +0Mu1SXh5+8ykHpKPzvha1vo0BAUpte9zfbaHvihGkMt8rf/stXO3ISXT1qcaHEXy0p6eO1o3HMgE +IuxlPPuAUrzVQ/PAM5Je5UaB8ruS92fU6gbValemoBoq48/LM1oXLyPKZJXVIydD3rVvxH2rq78H +IiSr+qxZSh8JXfvvSdrwQ7qXP0vI7k8+WWDmUIi7FK6bH8SRfcF6GYTJjBeFwkGKBamjxV95rj19 +y8252KNZMwg9wilAPhATFD+4hayxNAoh0RlKUt3bIQODP/+DxLjjaLfvZLc3jpnU0XiZ7VI8YQ9C +LH6SuDR07r+SEfHTf0GYjThWxLjX4gRkgOZ+HTOXfxJS16u7dCo/edx1jJuLCkTZEEKBhRAg7rK8 +j7h2zAyic3CyrnGJUikdhSKmGo8YSfVODXk7eEqq5D+6bAmjMsDwF+QQWes59TjnVsJHfi8DqLl6 +4FE/htHzQlpg4eUZ7loKPANOr0HHpWWgGGU39l0KxwZd3RQDfUbBHQ9L638ibsgHZBDsUjpaDXvB +oE86Ot3khSJbW+zpoxYRWUEoCPpAuIXrIx5rCH3ojK0II7NtugQPPPC4tddJY9UZPREMOHhcMGzU +jsoE6YbtqVXW86aRkWHUwFF/momUc5/hvEx+fUsdlASbAnGW+ziBx2bMnsR2v/x5Bit5RAPRFJaO +l8B1lIt6g7QjBA22iU69tW//7TMKqL80KNW4rJLoaRq63i7hS9g8rsdHSpiMtkcjM1pZydv7Su15 +JAdvl24+0AUg09A4c/xevoZRlWeUtHsYGfKojhTPupGijhjklI1zf9YzjeVanJ2v/S7iZwVjzOzC +zKM5QXQ91svaqriQJz0GVsz0r2WVERquYSduOIAXs3g4Vau4BVdr1wxEzzgyEsfk6oZ7fDJfPGdP +c9fVwZrPxHK+7uMwAJ7F7j+NZAjlgiP1l6O4jLgH28Vft1dTdz1bXxRUY9xRFvpQqSBCjGBDXxCb +LuFUXyEky8PDVCZ5SuOeccAAVIc9C6IjtRGUB/qFGHTTBwZSULLcUwIFc0EojpA6DA4Qh62zeNqZ +o5HIP8EBEz/QqVq1hHnUY1EdDTEIlQtF1mkwKAiYoDK/ECglL23uUjBC89QuO0pUPeDesQqM6d2v +NHnAhyF/hMdgI72eACa/sYncPjjJC6vBOnD2U2d8X462D5xwI0hgVcYH1jpiBzbACnvFRA9y6Vff +Ia4N+pSyUC7E8vijKJo1d5Cpr99+e/eNrH494WhoDLjQjiu5gloET9cv/noIpHz9WuoH4ozTXb5g +1hMJ5Y+Sbkxg1PY8gy3SieqR7SfR9z/KZtRHiXu+l0kXTIYufeoCII4txYlw+ET1ml7xHosvcgjH +3b/768K0EeNeV7jcmYkEk1Nl4CcLp/HJ6LLaFItwo0Eern9sWn0m8po+2pUmk//o5A5swwDSGHy5 +rlEJyE9A0ZltZI3GI/f0OEjIKQDrTLqk03vyG3soJvHnEN06T+QJ+fCCohpvDhmgIysDOvcZ7DKb +UO4pj8BvyUNn/10mOF17vnINueb9tcIHmSyN10H7dM8z4NCuEGi9UYbJpX0n6hHqWk/PQV1N8b5q +4THu0YCAFzjy38jpMnK2u4bOyeAeKkl9O7hOexKZPDY9VUYa2k+xsSxar9xUvRSFq/jeyRW70w+M +CUv5MCJsOsURWV+kpf+E3dHoydRxl+QY+/7ZRkG/0iUO5GkZ6bIM+k3s6JYvILGaJ8qQLyhIN3go +HLoqoS9OsNFeWc2zsa3M2Dy8l4GBbKb7gvOVEQOvS4U/l76+1+h9NKqCIl8V2NuYVRYyQxYTLOLn +jQh/nbSgLO1V5CIMD7G+KBzHC2KpHKMsOCvMmGBJXsilLjejbOsrtLGh8/1rnNAi1/+zWK44stl6 +KDgmN7DFxjCH2Dd14reXgcQ6kynp5NSZr4A7llbtmdIILT9znrHayLtGROkz8h/UC3lApehU5KUz +BX90CmZE8ucn3SSd6MOyrPIqYUDrRf6SJ3BVrCxfrLP6J+oZDiTaL7IQnwx94GQV1Ye+SEhE9NNz +pBPEKTZqByCBOqsv+eBZhJwgVtpeyqTyqW3IXziQTj9e7Q52rMuUqIoIqZuW7bhGkBrVE+zEsZJ2 +O4eVliPpXZ9uN24DuAv9YSCDgRkIjepCHoAdIoRMQlw0whfpkBVWelC0b3JFfUuz085WX9ij2OIB +mZWSzuoJDR3HSwqf0hl7jUfHbfQ28hf56TPQmZStewfkOzoNdZ7y3ctw20PyHo5eN21zklhj2qUA +POd2Zd9N6pCPFwL/Ikr1PHTJFCqVFT3ZsPYrzDIKEbz/9+VlPDjXGiei/FnuaV10s77MDEpoFhYV +3S3gJJIiiJeDn5ZAYxnNKKW+P+m5x1XC/b4AHw8/gXDum9TuTAcGnTZe2zinAmCPgr2Poe45mEgm +GfTCkAC/lmO2Yzr8gg2I0rZwLjc2k36RwQBmYCHfj7KagRndH0WGX2H1FGEJOEUK8emYScaGVhw3 +LGQEJ838Sk4E+fK+EKmvJexRZ/Clk4Tqvv53QhIx0y4DUyXtenoOCIEkxjHEMst+LzPk38gpZNDB +NxIvrZNF2IiIAdQ3ch1p5eztr2R2XTmFyKVHIKNu8A9yOpjW0GPetX1In9PGicOfos1UP2wAuq7d +prUfMV/Wal/bjtmOdm6STuWzhN7HqDqRsesWaaBTVE4S6UZX+YvVUjE31Wkt0taeXC6ZvUas/o+C +1a9kL8E3OH4ZobHYPCxs9wvOzhd9FqIp2KINe3iEtHPsIStjaeELMrCHPjQ8Bkfn+eA71lPxgaxF +fA1zk79uvlpVDIxARrFB1HwJ4J40G7W1CI4VoratACmn+GKd61e6R0x8njVRQPWN+JBvpC4/1lUE +3JQ2ikFD20aRp3brkgZdGM5rx74hpPso5F2PIS6ZYw0En5OcKiN9k3IjdaFSPpYadeYAiha8IAIm +alBR6An4gaB7bLjPSOspMpLeN5H6gLXd6Kr42/NKfNG+kKeU55stYBP+vOYP4SAD5MJvM/7JyTUK +UJFB+ZzkXc9rxzXJE3Lr4AM6lboiZMXrofYBPcUy5BkL2y6HqkAldl+XMyRfH6jEVRvPE32UYiXP +ID3KUBuWcuNGdCft/15I+38vq29YUcIkmyRTQj7i393+zWzxZ0rcYUM+sin2tPzR9FBWSGbP1XAu +XUYrMYQ6e44ZcPmqjU9Gul/+mzhN7PKGk5ONgt/8T9h5Kxlik5A4Zmxy03j2+kFOUmEQGVzTmXsh +FzgVRcDDjMnzB5tJi0BwLlqG/LwXp/w1dpvIqSg/yvL+T3iRgoKDThV/F6oNHaNdu+OEoeC7Exmt +mPyb8bc1f8XO8vHikAfia2EImFWEUeOaHrEo9UK+PnLEdxASnCcO0oUZSN18iDQw5pl64Hk3VKTV +s7nlr78sCOVhdsY7X0CPsA0MHDCpAseOvP1taYAMYSGQEdBjNcSOK9NVBszmYGbO4xTRoEHa1HkJ +kAi1+BuEQZjs0J86PRRiulzSB+45gdS62z/Pw59FVlk+8b4Xr8veIqMedydy6mk0UggaLWzPNngp +7m56sT1ADpVLnvf1TdU7BEXeKqgl6v2R+8AL6YAlSBN0BZkUqwGdOy6wKSyZe3HQvZ4YZLKjGNi2 +nPusiaA/1A8nFWDwgDC66ERgAxD/B6ukESGEyaGda3y6OC4sh38tbR3HmWkecHLYrIq8UB4cHgYD +KN+X2zE4wiAOecCZ4Nz2iKtj7ZAhL3zsHQFaBkI2UA/cA1ZqY3LNZ2TmUI956+ybyIx9IWXcJu99 +QDjGn/R9FQ/ir+D/cc700/8bL4XDxrpC5PWko+C7vpGTsb6StqfH2frbNNGRwZ5sk20VSXVk7XhO +zi3XQ/PSbICXHjlrkwVW7boqgfQuI2yiR7Tm5PF21cZYS3rMPP1Z3u2B04h+JQcX/PTvccqYYCSx +zvpCK930Kf/HhlJZxfrm77B+Ib5fwqZ+/M94gZ+sTmBg8fWf9DjCh/+hnNwAneCoQuhDX9Yj/QNW +QzAQmMRQQwapMg4xgFngKMEH+CQr48/yUkHI+ITJKbzBU+zz/ju8nEP+grjKSlI54ljIPvZyQN82 +9YnjCUHay0Ch6aH9GFW3W2CH7+4v3C/DXts3E+tEij3o/s4xxksMdXW4UQaK1/Yl93pLPm17wuN4 +Bv9iXiC4ctypeiDDCoGZCJPFO1o0TAjqEKKKPRp6Lj7SStjRN7L3TYm9VFT1IeRMT15yW/I64AHg +jQGB5OGr5PfA7H+Q0+Vksg0z9FUf8s4IzPSjf8eKzRdbBauDemDkL8VrcZFbmr9NlCicEyx+oYT9 +VzZ4VdeFQy985twHf63tOz8A6EoI0RfCNuXGh9+XQVMbuHzQftp+Yamf8IdjGq2Y3fCK4zc6bf/g +e3utFSSmt+QYKC19JqJ6GcLb4oSFPt8zPFzHM0j//Kf8sPST/D0Pq+vPjZJjEfV7ezEYnxVrCx+N +iCJXLxwHcmkYjRFA7ZclT51pR1w7BrlyTbt7EV4HifJMtPllRCd3N5/jjqO77sTBYjm8jB9lxk2+ +/4i3qOqIxtq9nm0LofF/mceUUbu+/VQaejlSS9w1NifZxiZsZEN4CxqoHpkkJ8egDJ0zl8p+kYbj +ZfgBJk84zULj9IriHv9BOgG8/tY2Rujb60DkjQP8KCN9deTQ4QiAqmsUjsxLGdr2RFd6hCU+aJB6 +dKAZj3ZW8oA7bj/2Tc8ilXuoO9IrBnIBj8Hx4MhMDcmQf0KM9BgwOHCM3G1KoR4DDCFA2jGLjfQd +53RgE3YWt4bUyJG1mr+f4YwwEJBDW05D1IZ+17j9ImYhj0W/X3xNTu5ryIkQra+E1CpcWA2AoaIc +P78bfBVIYrkIZSnBw335Uzu4uQYdaoIkOoI3DCHaRB9SqDYW+WekS9Y2pRy5biucOkjUxmS4QT/A +UftZyRj9EAaY6Jx6+sAmbNX5swzKx/WUJcnER7CSv+5r0POfQ2eBsnWlxXC1dq9EFuVZx4njJVVX +thcceetJHvjgumEa0Jl+ReiBD57cdpFC2pzOgGM/hlRCUfd0qvOSt548gfhfe8lWydztThK4zSED +jweWtqUv4daZEBFSj8iUPPQ0B7MJUZie/Y0QIDz737CBV9IDB7MrDUHyt7eiWJSFk4DURgGmVRXt +XIicxlkDJxBipEU9ECLgp2qMtnXoT/LRVSMtRPLXP+Lj5EUzP0obxnsitNnCV32UhoTy8EIeDGpA +4MT2nux8b5AR+DiQi7gipnp1p+Q6nVXkzjeAmW6ahtxF97qKpIYglZ20cytb7QV6CI4m+hwMsvCc +t7kqsvm3pgo/SZiCPv5ehBCMHhG+8feiMBA6kHAZ5D99QByznFokA6IfZRD1RWbClSQqfwWZ/Cdt +1lgFURP5RyH12OiImGjsxZCBJwifxpm3+lf/ISEyQkh1f5eQ76dahq5Vy6yw6BteQPSNcArNRPqo +HzG5JH2Zuopv5C3hSOMnTQECHIv694jdDv6sjAtKe1acInjSDhA7jnv6z/ThmEk26o/h09Au/FGb +6NBSJgN6/C66+kpeiIWQxi8YhOvRqfIPWOC+HqDQKEbzDnLbT9Tlz4IVJm4xOHmSow71PSnQB1bs +hd1i/xA2GuONwbopXVc/5TomjeATRQ84+lP3BfX0gdA2ab/qlhFGY4lwfvsjQnSw10Bke4A+wKbB +KbAHAnaBvQvaVo1cwvdpGeYvFDYJ6oNcsor6GAZVn6Sv/fFH4TOYFUWu+pzYHI6Q/kdclzrDL4M2 +oIgRX6KdpPyDP5M3zd89yaabj7JZVS9LJjrWKxlNj4PE72f8n9MsHQeJ9OWZOttej4OUik9CZaAT +kEgYr+itbvY03DRkRDLy1U8NWxGsdVIPz+A3QLL0cQYbl3T0jPpZGchPN3Naegipmz2l7j7bX8sA +HlaGTkSGZ3Ti1gauHpams97yiIbnIE97Jm6M9TJUbisTMsQNu/obeUjZjpXxnLJyC5lMJ16Gzvaj +MchjGiqjnYBMhFh4zK9lmRnEBDaANEM2oyqc/Wwm7j/J0vBjffWvVADLaYjR9I5WlPKTHI33ZAYo +TbfOiNTdt/AhQvRjXLZyRoslRFzqo8Z7yrPABGVgsw8GDfpb/sn3J5x1r8ZQgP3p9/JCD+0UywfL +qqAnkAHZ1+X3USCRTmeMhRx4rKB6Fs29XPezsL1Qv2ZyeMSG3kZ+HrPs6dWZg/iKh/AjA6NfR34q +u/wLdZuQz+cqH36D/DiLPr592vN3HAbKUPnKu2eePzEfxAnDkS+VA8enZK7Jo2K6VBHDz/XhuEd9 +CAGpy/kuG7BFma4PPOfPOPbxddmm89m6eBlBR0p8PF/HFHjgnOSO3r7CiS8ug5cX3xxbZe1g5RBl +Nuw2Fe0T+fpAQXoktemozwg/5EYd2lNIom26TUtZT/J68qf/Yg7OSS9UhtWDeixdo1/UIerMb8cy +Kj4LDBfkPdqUt5fEnOptlUPkhCyez0QG8T9y+yeZeX+SuP4yrpULqK4tq2LfAYgd/LUN1Upfg9+w +WZThdYltoMVzVOY16cxmDtpg0CPCvb6aa+eogrcVt2vYiNvuSCcFGQSOJ/HvTxLjrpPVPokRbPoJ ++pA3Yn/5Wkhw6Bu8Eyz6kMHTr2QmFjD7LLXoA3ujtOE57i1WXg7sVmaNv/wqliEEQOqhrx1BWI7E +0DtnKLos8uPjfaFSCucVnbqobQHXtq+I/re1X5dZ0pRBdONLzG4wyaB7kqI/gt/Bx3yM6izeB9EH +gY4+aM6eTEbgi/4VkxkTfeiLa7BPAES+tFHvc/V79X9TGSfFmR94kr02z+1H5+lrfio/9qtgT4Nh +XdsW9BH9Yac/Ben/KBN3Ko98x4ZXtMs/IcwJHAFc0/L4s5D7v5fjlnEfsfWu8DHSHmqmZ8tLxm8l +5l1X6svqyE+YxDN9LL6ASc94txcwabZ+akxx2ocvYNJpk/KBsEpsQTDhf0RPGAEiJEXjzOU+CDka +UjxlRl9EIP9iGEsl6JIeIyIl3ygDdUIZgTiD/Hp6Xe2X30p8QZ5BtpGHyDQJY9HKlPbq8e9KtkVm +hKiAPGt6VEyuaagM4EAaXEOekgb5orF6fL2HB2o4jjzTC5Wpp8oAH3k+nioTw3E0zt/KQL21XG+X +cu+drK5oFAmWuM1RVGUEm1j5dTNxV4NrdyPrNSBZGrGmsRjc+s42w642MMTXt31xJBE4Px2j9tgg +feTjztPOhI151jN9Tdcax+6edqRj6QEbnPV0GbHorjSU8CCuuaNsnYrp+2AUFsuI+cV84nekGe30 +27xbeeN9+PxnX/VchqeJ92OdXR6vbw8XpPd84rOj9XCIj9GHp+3h6Pn1dBTLaGVt69aWEXWDtI5Z +LK+tcw+vHlbHNPxW7z054rVMhh5OLg/apr/oKLbbWIcs/7m24ddbu41YGFepttva5ihuLUb+nLcJ +GYiAR5aOS/xdtUmpnDyrpOZr7VXse+MbYjtZqs+ovGvSzdl2lK21C8dzrq1Gn5fJ5LgJgVQsvW9o +nxOb0j1Y+p6PBsdWHzEPldGNLREG/ZC8G6JbhvZjJqNn05aj+8RCGXN1GcF8yR5a/xvtbqQPavM+ +Rl9e1iJW2Hdjtg/eAvyduESbWWqXGBxoWrSfkIc+A25hg+Co0rk+veM7db+ciBUJuO7Pcbu3fD1d +uT5oR3NmpqG9UoG3iHEtn69ttR5mU8i3K6edRTGCHvIub8DBZKR/4jONg549VcaUAAFcH1EvW06V +8Rcy6YDHPjpAlN8T8eA7kQZCoH1jwCy/6wENWs0pFzk4VSakcR26X9CixY70DPjij59fwGRGABtR ++OS381d/zgtvT5XBMyDtajfFTnVm/huZZccgTUf8Vu8lW39GZ+hbIO6qndDzDD1fEvUEaq+1Nthm +3zri9n7v+YlxdeTI7h9RxYOkc0rIsMjux4KWFN3W7di6ZEbU3s/kzvAYrcux9fD0WflzuGb1GtHH +1jy2Pn8MZsfoIcM0y2vt8yO2fYz9ZmmX8FtbR/eLI3WZ86HH6HVr2qyeI+3g2DQjPr7NM5Nzi657 +OttbxlGMRuqRta+NPu+LPT8rCm4syel99khd5uw34w3Z/QTvdtZ8bhb96Nl1L1dB7Ey1IjQGAxoh +fIhGuLcDPhA1hdBg/MZktp9gpNlJXb/GaoYGIMoeC0mjB5vhN+5J+icdBJjm5Bom1b8gHBTEUkOj +/k1J+L8hDf5ZPCYIJuLWlSzLdRSuURLyT3cVYwYcs9xy3Y/V8TAX0EYlpyhD/mFGGzPjWobk+2+w +gd9mnfE22boJHrexJCXpUQby03AS3yeiIzMrz4g98vc9AwDEDox43kOHOqJcn2mHTP9b+Y1wTcfh +HjjoCCKsGHgZwAJ1BTby3KQM5KdDLMtTntFq2gqALtVAGW6YQfcGB8K81dWUGMxjPpWf+3GQ/5cA +/n9IJv/XMbkwLREgAkSACBABIkAEiEBAwAnZXHhEjV13gmdku4aAzKCJ0JW6ydueqTPFRp4njyK8 +0S7om6vsuz16929AQO2Hb5ZVmUGQ5Y+mlzRYNdUVFBB5462alT0b6+nf9ZYl1jdKgwQjL7uMvzjq +Fx+VA9nhd8jTy9SsXE5/HoMJK0OPd0J+9njkzbpKgsEB5MaAxHGIS2Oep9XT0+hoqGRdjpDCb3sO +ZThWKqf9Q3Gq34liCtfXRw3z40g7YPnvZGClHL0Q98cf/88v91/+/xJkbnP9Jij/EAEiQASIABEg +Aq8WAed4rxaAtRUHb9OjXo3oxc2/iH+WzxfMzmLm3MrAm7WVz3qZzYoCjjHARvKaBpPI4fmDPUpS +vB73i43MqkibNcfMum2+nxDRsvGmlD5ZMZHr+j4RJ7L2u8aB2ANIg8EH0ml4DMrDiSp2ckZ9E2u5 +JW8vk/9IHLh+x8ZyO2taH/UyTCaFEXgZA/YjkyGzi13xMlaMUUs9bMGIdMShlmE6wmM2Ea84+G8U +3SvD30ekMlmd8JzWRYC3gyD0Hi4hb+wZktl2rJ0c95EA/Mev/s+7u/9HPA4Su0qOWXc6rkimJgJE +gAgQASJABIjAq0QA9EqPeEZ4RkOODZARBtamWXxGi8FpTfiHck0GfK9HNFsOGEwo0ZS/ejiT/dbb +kDvIr/IinT+DYvA9VMSfw0U/JQTHIk7IrJD2+gzkszw1hAV52tuwPE1bhufruE5kkofucYpPIMhK +nkH+TU69FeqhOrJ/PmHelqGDLmP3epSVYeNGjfsoM5YTDd5xP74RCEcvA4Ttm1OPLbwaQWe0MamQ +Ke6Y/CfKaB40nN3e9O+xAx7Pss1rbT7H1G0ubZRlNL9jn+mlPwaDY8sbrccl6mNJ9r1w2Csf81kH +7WCpHfkzWfuJeVSnGsDJ6pDJMGojWTmj+Sy1vzksasfonbQ59K1lvtTze+lkSxvJ9LmHjIv9iN9E +/zHj+GM/NpfmVDrM8Nm73LnyvJ93ArbU7y/aQ9NmWl/SkqBZnWzo7/fGDPl9wrQr3nUB4gdy2SvE +maPfa3+PPBPqDdKqBLgtDBeNIHuW8ZJ+92f8r1xUEj4DTvu8Joxl2PPP09tBLilD87XZ+LaIWg+7 +YasX+uuh80ybXhMK7jqAgBw4+cU+KqbcQ30ndfN238FKy7WGgNuar6wcIF8feNRjwGfwOvLyduIu +L1q5xwt68FKEDxBY/slvfTkFzlsVge8x2nNs1PawdFLOfsVzGJloen05jCtX7kl+OE/3Tu5pGXI8 +FI6Z0pUIvIwBL3nBB9fQgN9h9Cbf5UivJxkl3eNcYF19wf0gg16UayG/Ydy0nCY1XhGPURmuu371 +mvyGnNG5xftmP/qCI6T1ZyCeP1cNShJgFQlpQ98xkQRGAzyXPj35kR7G5kc3+stBevm4YbbyIa3X +QeslQsaX/fh9tekgo9fHTKcWaefMp3o5Wh8mm4uwpA/I6b6yrW/UL/Tl9XKdu7PwurZ4KFaQpbSX +yfNQsNsS0gALyBl1H51KpvM5fTi4qIs6qxm03a5Un439xXrb4/dI8521vSe0dbnxezmHG84LeURH +O2J7B3bd4BM7a+DU6sptawQnhyDmY364EjWzCX3JFHyO+xL4s3oP9g8lwvcgU8x4Qefwe9LQYjON +eoW+z032YnuPWPfasOPjesez+HhbwXevzxF1Uf//HraFfkMw+j2wfAbp2aas7/hgfUcw2Wd9AGfp +A6APmKzKI+eNq49b8I9I9p11tuhHvH/QTNDnQN+ob2goKMf6JO2n3gcSoLhYP7dko+5LvC5z+qi2 +2fRB0T5jf+M6UZlhV8Uca//lunYfGv13wLX7FX22tvGiD+2r/Vho3EOZOLNcP9b/av4wChOn7Qti +QZqH5a/hFPgUX/IkvkS/OC9wfUAO8BCtd9Bz7COsjtq8wV3k3kfo0JK/wzX53yfJ69Fs5R34jA4Q +Co44t/4jdHbsxwcdwODjB9HDe0jR5OIdDi63Hb3/jmlUopC2eUZ/Ci5xlruSVi/D7BlpIsn1Z5yU +uqQ664126mUX1UycWiSymmcow3WpolmZLZa1jIBzLEO/o3Lu3K3/0nL8GfsezGfyTFuG173Wu1MG +Ktqrdy0D2Ih/l/dOaBgQdAw77k1eHWs/If1m4o4G+lac3SMa7gcxRjHq+/t3d2/Fganh4+130rjf +mvN4dIOXRl1WGKA4yQPp9UU21tHBIXwnkurA1O6D5KBhIh3yhJOV35/kH15s8qADiIe7jzLqAmZv +0alK3/n4P1tDfpAfaCcgqEIoHgTTT9C9dALDzVBbOJySd1huJ/E6KlYcvxo00nqHge/usKBs/K7X +TDOQEde6BmeNxJdnUBclQ8AK+E3bz4FttPLXiqM84ITqWSOo5LMpQ3sx6zzU+VsbghwYwCAfddyO +td0Hj0FaxcLux44f5flS2CjJ0pEsOlQro5KFoA9v38BH5TZ9IEkkzdqpNboFgD19IJ2/iGZCvEIZ +VR8GshMh5KntoNh+tSW3C9g5SALkdp24neBZG+yqXD6QSg0YOFlZ0f/bxI/K4XWK+lHsIA9swp2j +2VgcmGmbKkKgfUrr0mcepM6P0r6K37YytA6mL9c5sEBdvIw6iMQ1S+tloFwnIz7gRNGKJfRlFfS2 +EPtGvZaCVfLydgA8MANjMunYBZMCIGnAFDNm34lfwlf4ElUtun8pTPwU/BJ8ecXHOxyV2XDBH/UV +cvGZ2yieJ/0AqqjX6AlRZ28vapsmiY1H9FckhdHfue3G9zj47GiDPyZ2lPCq/WGiSvoC8f04U72s +OBtJdCIIwiZJn35fyCKKqvpwsiN9g4oAgm92D0qGiSDtP5qP6lSe0bJxD2Xpi1SKPu4xqHBS7gQV +adAHSben3BTfkZG22aJ7PKPzVH+HPsbLhfDBTmGf3i8e6APCdOxV7dMqEYmqTyDhlrYx06GXAZ1C +LjwPbLSupkefSAhiaiEH+kJd0b6tYbmvwgtBMViykAwVD/2yDGC1bwcXgA60TLkO1czoowiGST+I +K+XI/5U7fAKfQL5hkOT6QFuTfD/hhZD6tlrU3fBDH6GGUjBB63yng268QOpJeDR4S7G9txjkCRaP +ZvCPguk7yfetyKFp3Xc3NjT/0xUFPRtp134LcsA44pMh7WyGbZqFZ5A3DBBgV/IOG8AzZt9eTg17 +MdutBN8EnJBl6AAPwt5FJ3DwkeBPQm5CBZ1T1LpZe9HfUICn9TKtbrGMST0sox7Zdv7kZU34lNmF ++ehSD+CipOy5b9f24hm4bOqkCn7gq9qe5KfnX5OpEUkyIZoaqmRtqdOch03JEh4S90mjXciuwfcg +pTppNDS4q093j+LA1HHqW1alnv8gFUflZdPCPSqOr3DU4uBQwYe/BbHGq4WlsVjmamb6Ay3e0ZQ8 +lcxLdhjA2myeOknVOcBCx/koNqFWrI0fsy+P79+JQ34nzwrA7sTskUl97LF6zXSrv+NINpLoJU1E +ch3bnDok2A1klEJ9JgYjNidpuAZHiY+nwejjb0NGczr065qHpY8DClvV0BWKtgztELwRFRjrB+md +gDo5d/X4LJeSVvyDEqET+ev56ewa8jf9OaZL9WjLj0TXBUPd1Df3HJtc047ZPj17dsKogyjoA2kN +f9eHDjatXp5XrzhNZ3XG3zly1jZq5KWrS5apkBU1dvx2R6sNwwpvy3bbxV/Xtc5sQobSPvV7JMLe +MWm8Ycgb5frAS8uU+8grDoRUDMyYfhQ+Kw1Sm7g8pLowu3ZZvFyfYdS6Qk7I5l7Q8tfBrZUfTO/g +K56HzKiDrgBC/3IBMsK+/g74dbCaww96iuQT2QlpfxC/AQkfMbOC7ldI2zu59gm+BLPqmGmV5+7/ +FmUVJw9MdDbQ7RH1Rbmoq7bHoLw5eZbqPnqvlzfk0sFFyMQHV7ikcpqhLS2RL8ngPiHiCRIOwiv1 +174BvkRwfMBEDvISbDDzqr+l/EchPlhtfQv835s86C+qPkol8PvhOxBGYA5S9nD3JM8hL13FDRMD +PjDQMtCXiE7LCgDIv/QPAEZI3oNcexRf9fh3pQL3/0nExqQV+ijt14rN+mqBzF/dvf1PIgMmjD4J +u3dyrvZvoLarT45f1UfrEFCA6QptD7cjQdFBg7Vv15v7MfxGud7PeFk9f+X3nJAEm1F9YRADfQAr +TN4Bf7mu/S4w1NUKuSf1L32upPVVFBXvWR/aXtrBlPzWgdk70eXfljywUgVsi75MH5gUMH08oK1h +wCbcwFdbikKKHc2tVpfuBrzDDLTBA1zhCT5ebmt3Vl84aXn3fK4aiIPoOguk3e4vQV8En2TS/A75 +16/xmfb5mF7uwW4qKXWgUN7k4vNDmt6emxQ9k7434NT6dGwa+Xne3eyWZDKRtR1EcjaDj9ZjTo6m +nNi2/JaHv2j23rhCndyVq91ZR4+Zd33OynV7XzaAQxOwKh0Sd88oy9AxcTl6GJm9Frat80/PM4jW +EPyxio/WH87HFQBSh2s2ule5bPRi2ZU8ojEUoXwVxrNEEvVDyBIj7LefSocgTnnS0A/q3iq5cY6T +oYWJMmObFaYDu5EHlLhY4U7u1cnjh1y3PwdQ62xGuNrVoV80wbxNe2cNeJ2M4losK3Y0beFxEDJj +A9X/RD/iM0Y+K41nW4I9a4szje4Ac8sg00WLa+ikpvqwG8lyeyG7sBGkbwqPefv3Jfl0KtBsQ7Gy +79FG63dLe6CHBq+2XNWv5at6gex2rSGtXRXHOmlT7Dgzzy9m0MLj9fBZF7+f6S/m6bqMecfn62Bt +BKuOgnQGuswAIiSvDjDwG6QBvgRYYoZIwz4MS7cEzbJTIe8UvS6KBWScST/X1oauN76gPjMDdJQZ +cmLwGMngSJkz/QlI34OQ6UeQN+CJGVjFWIi3zH7qnIBNImiIEWZtP4nPlpUJTOx8EltTt+H6wH3I ++yB/Qe7g35En/L2tAmG2VcNmvLqYFQYRhYv1MtAcpNxSRsBLOw+rcIRLySrIn/lOVducEdqDlUCM +ANikmbSNYxqI52PPKHjyT/MDJtFXdJSmEzSlL1Z94J/Mtj5Km9UVb3zXt4+a3brtNG0ZWLk+lFDP +hVVF4hRgL83DdGhVmTTzfiubB1pJe1Hek/qvKabuzssKQchG/bPVdS53HWhhchARCdN8H4XnSOxA +eBL3HfcW/9hu4725Z0w2cCkdnaAxWT39N8pWwow0aDeBuMY0dYQo933CKM42u77Lklmpj45y5Dfs +xUn5QWiMjSQrkfbRhMiuz5iviROByNsHvHEmXsmd6c4Hp5XMB7nriAUYwkeb/iZlmBxaV7fnmIfp +scphKlS1NOTf24OSd9PJnIrnLXRypxJ3jeFCh6RljjgCVAhca260Vcpx+RRTz9kNHeS9+0Hlpvlq +fwwZZRYFRqZLo9VYytKX60h9EWZpYD82+zWpU+NECmGWDkCXjHt1x9KJ5I68PDwiA1jFC04xkkPc +i7OMvbyiw4JISrDRmzSJ1fbkP0YmS+x/D1fUAXmg45kJDeoZk9m2lqp1h26io8iAGLjvIQ7VX4o+ +LS61PzKWuuiMpjmkOTOKMxaqY3dkVpdonD5g0hl6N9QZ2X3GqzUVX1HAYyDZk1n1WSGnhbic9WqD +dbQdS6Ozg2VN2QwlZol2WmbAJjNzbdXcvnRmWvLxeO2eqnvXVASTA7irs7Y6I0b0Y1mSrq7Fmsas +dUQdtNDBjuPq1tzgMeZh/RZsQOXsYgW7KDN7w5+5wWZn1iozKy0TTVzbnMioS/n4HCHPkODBn1mZ +Q495IrV/ETLyDfdX3sbaDBX/xG9oGyyZalLvfM3V60qzhk0U49EiWzuqIYb+0LMg2gbwiPpIzMoa +MfA8zL1qGWZzB7zRu53wTCkBtm2dfetHO+RTH1nuOo9QyYxlRf34ypHLBijUX5kTw+qUE5hasvn7 +1s9NMHB9BHGHDB3EH32RxbJr+BVm6Zt85jDyPlxJyBFQxaTW1t5i4IZZfPVf7sMG8tQVHN/w1rbR +ItSThvcKYcfKXLuqoMaI8or5lM9IZdo0C894k3MC5sXU31G5uFj6i2fbjMr2+zET6M1+q7+vnbjN +kjY41nCcJo+Didf4nAFUG7y127nVKq+DtzGXz+tV22Oou8vlPqfb8E0Ox07/AquAf9tWihWUmXfk ++d172/8R+WvP1kKemBSI4XCS/HnGHYbrmz09znzJdjEqVZJjHgzxWroUbswnLgWYQ0DjuJMQGDdQ +LKHrMiZi0+TiUvekGAmp1n0qk8Zcyq/2iT4JYTkii/bnmIGREdO9O2NPGB1+7SxtcNCpd1cfPXxQ +KCBwMgoj8k24Tqgnnc1Mo3NAqqMNEngZ2klaAp8F1hkADGM6H5Fr4hjbJL1K+hI+9OmhIv6cOn+A +HJyPGpiqZPxj/qDMTvrzUgcdnbYep2Qrq9N39za5NlsQ5OjpA4Qfcrb60PpnjdBKa7FSnZpiEXbl +YU41u0FQfODl1W4fU0zMufogEvoG0dOyWuCFoGjcXvLxwYhuljXv1YvljDZnfgxYYlD9FoNqke+T +h7ko9ubMNcZSvms/F2RUcmE6dxFjWJUn1dABA13DjvAM8jPMFW+7pnYZGhnK0EFead/zWJltzXYI +DYZSxANifbVK9lfJ28JntF2Epn1cY8oUbfdF3CftrCDvqFAxqTcAeda/eric20UriqbrOBmbkNBY +d8S2+3MQzf7pVIPoFOGW2gFEXXu20AcIeTSxICZyVrKue2LKBkrtH8znKBJSB500aPpVbEiEs3uQ +dvYk+xlU3fJdzbBubkSfaM9rXpjtB59HPzeolz2TeRsAZO5bXdW1ecgXD5kb1ZeZuPpnWZGoc2y9 +/iPm2TEzJSRQsm4KRRiTtWPXaWhOalb6HxAZCb9FzLn8u/9bTNxBPaYPj5vPzBpkQgfx8qxudsO8 +3Uzf2dOL+LNnl3vod0sVrJPqkfZU163zH+lDOml0BUHq6iFudXLSjFwxBg7wB0GJdWZdrlXO5fc9 +v05jd51ZG6mz/RPiZs87ya68zoi/5oE0PntvYLkcximr3JocclqH78/rioI8NHHLRjjqKkQY4aoc +aP/+DOrrBAVlmNx1hcDlchzMnru6dSHg3Isnm59Ng48LRYsDiT7kIFRG4/QQixZk7cqga5hyR09u +KRtwEJt4994aQ6fRqNhGxLStWMZLVZ2UDQ6OkasA/iDOU09tCOVU2wIcPiMH5/VRHAIGFlp+yDH2 +PWhYWAKOsxQ1qUhu/r3bx006h5i/3ajLaaHCtjH+TmL4juo4IyDWh1WjhM/TzVq2AWgCnhkN0hwo +NJFBiY891HOGIHujZKdnTN5B4545OHfQZaTWftAbaje8DJ3OQnf0ASW28e2uD+QZCX3X+DsXFVRD +Vgew4beWJ7+XMPL7xplni40rPqEzkC1TM4/4ZslBM3O5PYZeZ+qixRjm6lSCMYgs2IBWLyGuHUG+ +OtuAlSr5ip8aq255+uNehq96aE1Co+oRC8iJ5932oo06Er6UjoTeCel+GOw2nIHLVx4msvTTKvy+ +0vXOOowSjPf8wGFjW7YowKP5Ig9rrBkBGbXRmq60n8UVmJE8MRBz1+Fk1/rdg8ej/behZrXPsxlX +xKxbBr6pGXS8cDzYH3xcdNSS2vIoRNBsDX8dO5cTkCJMQ08Zs5PHrCzXnJJHPBqKcIke1adYpiCI +6Bc8dh0PlU7uoJ/xKo7AenQaD/tZshNtK8Wk6se/+/J9Sy7df4awIu9yoCA0cZ0lh0oiXzjG5rXP +wsBfvuDAiu/CaXMzQGg1UQbKVRN8rjh426dIPhfBBC8oe+Q+qk0gdh5mYeFXXs5cHnoynlW2xd4H +8GgX4Ck6MeIkIuoAbTHashtqNF5P3xqzX194Rh+RMiaYyMX6OxhFOyPtaSYkFfaPLI3YTuLSg+L9 +GSfk8VQZf15Fs2e0wQdZ1F+jjJinKaSSfEvvs94132rYxUjcHev96mysTwhlVKfTkynkWQcNkp8+ +E8vwFtL0A3rYihiX2JhyNNhOVFtrYxreJMn95KbGvoy4f1sk0A4DGzFMkCVHYI5f+2boUpfd3Dv0 +LF1bmB2FJl9EIJ35OmhkVSuWCdKIwVsMrsKuGLrz1J5Wyap+UAY2CoFE/P/e62k1H/GsL/3PNUKr +8+LA2Ge3Ixl0PbXOCvmBkICYOwkwZ1MFDTI/xxE1Arpyi4cqFfTe0kmGwoHOApVvOpIDg3BjW1Bu +rAu+V+dkRh/vQwY/TQKbpZS0FjmGJ/LqLLs/g7o2y6YzJuUTcJPbof3XGfdWHzVuNT4ZhDbeNMk3 ++gN1LNUYS7JJuInc91l33HObsTbWGT3ZCpapd85OVf3yH1UfdI6fZmtzz1jSenpIT/XBnxV9Q5fy +xwcxToi0s/aCJJ12TCKDnCiBmG8dNvpm0Jon7AF5gmDBPspAuH7aRucm7g5yrlGqTiU/nD7lbcxd +kLZ5t0Ori+hMiR2uZ75tCcsouh85WI++s5tQDSY19HSSwcwaU5wQwhVZDD3ieLX+a+jhkMjGaF27 +Hs3L+p668uvPeaeOzYogWGh7eoLLYcYl/AKkGzc7szDeTYgNlK+lDekHj1qsus662+1JKSCqemJJ +MeeJD1B7x6ZKuYl74vvf4kQVnRlubN7KOzpcRvugjrJ6NuahQOj8UR/3PV6hOEj1gwli1pGMRxD8 +umFR9BESAFLIiVWNzParPsyp1AEzVi6AoRTWTniAYEu70lV1bIIVvNW7YDUfB1Q8it59BS7KHdw7 +vuqBFbp/wixB7eyhuCcTxxdRYzblaMjid+tAcWIkpiK3Wz1dCILJJxyH2T7SN7heqhbUDGRgGMn7 +YGNvuGdf3rmrM2VE7jSaocvRUsPR5yfpWnJjN3tydcsD1mg49sAkDX50fI6GPWI12u7Bbg5W5JvK +uJg6qD2s6HTG3RNkdoB8ahprDfjtJFzvFQej5YN74y8co5FArS8a3kGcex32GAiSUp75JFMbuhQv +MUJ6TJOQAz2CEqfQtHh5PBDwhfOQ/8VReeEPMGYbrMQNR0vGANF0GSXU38OFUNF25sWX8x0rJ7Rw +kt/JPwVB/kJOJzK+UlA7hVIH1V4lgu7tjIRABjhnzcfIymI9goLduYTOa/KohyiYI1aFegiFdyJr +GpQbZrCVGvcPAT7MdFBRONdFtEfdIAUZYX7BU+Na1QfwRJrSySu+6Hj0dBjTh+vSbcvNEs/5IFVn +goNASqDln4a7oHzkZaTVya6T0rbzjfgjD9W5VczrUVduwEy8DKvrkr71HuwW9YPNoa7xgWDTkFfx +8zJwD9csDR6rdm4y6HQbjmAreJdTV4xYTcrBw2afcbDmaqrYmGwxjYvrHXsd8Af/E6ukPbPnA7Gs +HilOBarFj4aXYJVRZuwkXkuT+6YuPdO7hA2WIw7hYwbapBc42KeOVGM4zTFlhiZV83e8bAa2e3pH +1EcUzNsH9Ar79PaIZgxiKPcfsdEUAyBdPS1t1Lco4ChgjZnDai+OCJTvurnV9eGhQHGFTcsvEwO6 +Ninl4jSZOvmkBwWVSj3I9VKG6NVtGk/7LDTkRX/iIWxQNWzA8zC+VvJrgE5JTEcxGqqGMoOR+iCj +hjLaPfV5BjYu1UdMiT7gx3UPYdNDDqxcrbfpJDSlR5k5ftD9cGjj5u+sH6uDTfS7f4vQIn/+wBEc +mKfOvOsEQSlXTxOyIzbVFkw0PTSn9sHo/4sT1k2lwvad6HRnNFVfZQD/Qfy/jg2wcI9jIA0unOsO +Uo+Pn/Wuk4wiwVs/bU2+g4P3jhY9qBguQOAOeX9Y3CPYNrZe42tLi2lgjMFOot+vM+LBxmqojHfo +ZrM+E6/2Kuldlc4d0AkeHAeplba+JtoxGrY7A3Aw+615oi1afSaz/bjmZNllmkvvHTbktO91Y6zL +ZBi5HHHDLgY49ahHGESQSUUTOeLpMFoG6oG0kbsaSDqJJeTC9yvFvmCpn4nuopPu8FSZrtUtXSyO +BMvkJZ5cGh+O4rI9G2XDZ9n8AQLwBIIJbOAs0RhNQdgjg5kJHTGrY8IxW2UGpCzHiQO9/3j3Vo6G +wrmunzD78nclbEYbuR8HiMcxm2/447QCLEuUUCfzXnDwcpQXntMzXzFDYjpNq2/1qbOeurlEZHDC +5suO+OuhAe5AYS+2cjA559w7LxSueJhBw5PoP9OcNxR3WsBUiZg3kFT6gq3+M8vwwYg7cfxVYhrS ++cwrykHn4IMVFOck1TuSpdl2N0A96x2goBz5B51rW7JytQPKHb3W1vXhnY1PkWisW7G92tG5PpQ8 +4p/pA/noagF+y784W6OrCQKSyin/HB+HWgmHYeXX1F9YubjmM8buU9pO3HHBfYRr+XKFqqjYf62D +h5q4zrXPio5xxgY0H8MLSSbOoLShIrM25pKJ9lWGk9tJJBveQ/qpR0qG8OxH4fk40q/HTFBO06G4 +umPbcCIU24brAOk9tMntua02rjuhgV5Rj0oKZjA6uGy6dfkUizLD90naPTa13f0nY2YiWz0/GsWh +LPEt2tH7ahhAr6slVhjk9LoWbjCmz9EqjKRzGbxoX12pvgIiud5mMvTBXrTdiY2ZDprHQXKxd+9e +ZqbL0YrFcX/CZkk/HlKnXmSghFAK9dsyaYPxkZ3XrafLynec6HMv+tBi4dvRlpoXOU2Khy7VluQv +8qhlWEcsOX3CEZ4I9ZL8nkROfTeITCBhwKa2oLPape3oEYUwM9imyFdm/SVZG26mfSH0bv/i4N8F +dJ8fV1XjvehDMDhUgmHt2HH3vkGFsDbtAySbWKsns6hMaP8ANqDUrnZhRUL28Ihll8Hpf4J9lz79 +k4Sx+suoFJe3chEDKpUnssYZG3J9FNT0pCHYAo559vmtIjZ4gxwDqRudRA4cC4qq60Qc7kmfrjZg +5bh9e/9pfRS0jxAZdNTvxLfrEdFO2q0/wux6Oe/9+YOXNH3S/mvB77b+VeURASJ5V3fShn1pwUHw +WLJfj2kKVv1noE/cx+AGaZyU4mv8DRtGGqjJjXImDe5Xsq1Ezp5xOZoyNCZcHXDJH4OsgzJQriq2 +yOFl6G/YtcvlMnl6y8/5klbVnKg/0x0khDLii6TUPqwt+ZKa1tUaqMolddE8TffxBB3Vsd1SlUDf +DWmv/ZUnjPptvte0h2m2E3c1dju3GE5SKyUdN8629U2uwMI6YH+Lqp6Xi009cNBCyNHRo9JwvrVx +4mUmvqwNI5dyPj0gPhVO1Mt6tll/05kChsNn1MmUc+Ox9KkvgQhOUjfHLjn2HqZOolx3umv8WY+l +/qYU91XaRkIjj3Fx2v7DPZUvkFrnrz4TqsYFgw5l+Iyopk0MYjIdYRWEbPjn2ETji6NgIy7lqSiD +PVDPvEZe5mSsKvoI6lAbqOWhIpeOT6vtM/wL9lxvqSOA/QT8oQ/HTKGM+jCZIGdcHUG965GLjT7U +nK2h65SMyemYeQdbY+Tt+djhVn87tT+th9ZZ/kGH8Ug0nb20mqoM8h8fMClWlpeTrBG8alqrQ3zG +Mahc29I44XUYJ8e4mszNYE1Jq4bNhI91uNPZGr9vCvRBEy7XJXPDR9uQYesrD7Ef01G+2Y+/aMqd +qz93DFZqt2ZbDefQ/TIyafCIpXklTUYiG1+iBEf8VXmLtHX0kw7d6o9rPt3XEqURvW5No4M6OF/5 +F8v32VJvZyhnksbbmjzng13URdNDVwOCQW04W/+94KmzuLZBUUlg0Wl5IzfOC7dZXr0m93HdysDK +q06qA2vwN0wiIYRiFE8lcJKBLmkXHJ5kStVDb3DvSc4LV3dib0jVMvwlTeDxMgh5xKSQrg4AK4EB +uFjo5gQNtQNvYw1OUR9tiI22R/jL57pXUuTZOO7e8bsufHIJ6bw/QlptN6HtLCnO9CHvG5Uz1rEx +VSoKrKCP2K/hGvDSaopO49nnA2ahSUT/j3hLqhL0YE9Qjx29qRuaA7F+xITeQZ8uDyiRk7/N4B1k +/eOj2Ja8uBF8oRD5ohrVOephNlTnM2RwU7nGUl2qL7VEOmg38q4+TDiSNbtnyHsOoi2kTTPwjPow +H+B5eiO5WmUX1voW5+/1TaR4BhejIuz3MzAljZNtFdvy9c7gYKNoa7ShDDyjj5tMmp191zJcpiaP +unJgz8/F10c7dx+vE2Lwa44HGkcHGy+yyuE6hrjoF8qgsr4PZ9QPLdlTuLeduGO2AiNc7TiLx6gx +gA4M0rSdJhpEIDfFCZpkZhuTI3D8Gpy8xnJbp+p4KeFrpi6QzOXCMW/65jZ/AHJD2JHexZ5R4/PO +KqBYyaGni3la+rYYx6NXvIvpDcIJrTv69nSX2LGOKL43ixOh036yEazKguumqDoYsbROniCDzlQ3 +wricTua1w7B03oHEPLK6uJwThigPzerDdBEHUcVibWm7fD34KIlu6uP6cyyjDHWGLWBV7c7Ki4Ug +7xoGBXi9gwmJtmLlWcUBYJQZ7QBy+8pJJb1oRPaw66oFqINZIe82yK5YoY2ijE4GURYfCEwwM90g +lr49stX16TbcPu8zN0c09ToIqHhMZdbOHGF61k4OXrNuyfVscpX3eXXxwL5iezuwzawRbLyvdhUw +nQx6TVetLziwXVTPwMUfHdQeIxdIMvIoClRs46w/rmlYm1y3gUPtT7zPQH8CwmbHG87poyuV5VHi +TkUQ7fit78ADtQy5jBcAoQztj7x9G7mDPegmZbAxKwn59EirmoRh1vpenSBxSSNRAraK0CG+bVue +VDTgies1DNAKwbO6cmB1XWonFStUDPWErDN9KbLEgMrs/xiLqGlx7K/pYDIIw6ScziAHu3MT6vXp +tY9sKodBgOD/wV6e1cqIMKnHgyOBrL4jFYqcuvIb+YIzvfVjzjDYWLS3yXe3RTc7Lz/+bu9F+9X0 +xoabyYgoyqRa0VfPfQ/VmORT00fMnRg/Vz2KNYUUstpqR1u2t4N4vRJ9y7sNlfG0bf/Tuz5b11hI +qEOsq34voXtlxfqYjmfEqOJxkGPpD1KVjXHmyIJhHTqrIHw0LncEGhtq2S8OIKNTs/Q64reHew1A +G7TlP3N/qPraoSBlTxEdJ7yUaabL7uyg1b0ZnwzJXhv5gJzpzKTjsFByxepZR93zPiFOWt5cOY7H +DvqYU2vErYd7W09NH200UXTv+bmGvgmrgGFXZjPrJV0cVb4NzA/ayojtzNnEoP3P1e+4hlKa+QIe +6vt0xXCpHRjpnEtzFKZHVWA88aw9JLpS2TsNZ017hg/3wesMVpWMz90f0UeCSrp5udfX1Dx9AGI2 +Efu5WG5mn7N9DNroQPtZ6h96uhnJs4cbyHMzgXaQDHitsYfortq+2++h/LAir5d7tpHWD/XoG8bi +BtSshemEm/ybEEX3F0VQXbDRiQYbecWYdw0V8Iedv8z9hm0YqawY2G8dtPjMp8nkaXTiCQMgzJRj +FAOB5LesQBysrtXBKiQ32Rw3XbFFXu4TDBy/5nWBLEij75NpyvA84uSdD0i9nMjfPD0K1jxNLj0t +wX+bHFEuzcPqWl/0ifT2kIehTcq0MrwIf77aXMBXw9EOZqeerQVJfYW1l8wxWrCv7TPuVfCFUi7x +1uLg4BIFpkxEgAhcJQL0NVepNgpNBDYhoBxY/tNdRTOnIMy93C4s0Q9yLr8i+5zexz1P49xY09cj +cP35uBQjKT0EJO5Ji5u0NLmxSZ+Vd9LtISjtb19e0/hvSOMjR4S24SckBUm3rJWYNr9jTDlE0Lhy +SVPHKWGlwENndO+izcgr2fcZetQTv/1h+61lmExaRqhr/Y1BjMe52SBmEscOmVAR5ImHsKLqMljd +IdPsoB0igNgXbXc/Gj0C+WZGk3JrH+LeL37+6lxHtqWDa5/t5bUl/2PryPREgAjcPgL0KfvqOMNz +6/0RabeW4c9n+YzIculpzlXHjDOcS45j9TG72uC0e0rOnI4/F5Pfb1Msi2g0fyKXXKu/HUiQR2ef +ZR/DcxojpvUZI66T3zboqKs/kq/OegdGW/NEmbaBdTFPf95JrzwzqYf/DlPl7X3l2g0hntRdGPXk +d1uGY+V5hPs6PjHSf3CKVdCK8f5FgrwUnvhixP1Y42d6IkAEiAARIAJEgAgQgStFYH4G+XwVugQZ +ttf2ZWbct8vNHIgAESACRIAIEAEiQASGEegR13itvZ+lR8HHPnNs+jVljD4TgdsoVzfKw1YaIkax +mPaUP+SBEBxbGJlTK4n7sMEzIREgAkSACBABIkAEiAARWELAWLxu3g6xMb4L2gl7DYaXNPrI2IoA +iTutjwgQASJABIgAESACRIAI7IWAvmsFRyJL3Ly/iG8ywW/3cU3fQrxwEk0jE4n7XkpiPkSACBAB +IkAEiAARIAKvHAGQcnnVsrzETU++wet/fZY9vnFcXlyms+x4q7seIZnEyBiqRxF3vP7XP2MT+ufX +XS/M6FJlPT86t1li2Ad/mxVkrQ4QiO2c7fv1GAjb+uvRtdeUOn9dOm853KX690kf1L4IQE/MkSN1 +/C9m0ydnu8t9vNjLz+/Xc+3juf3LOh8i7uDr7+TV3m/tNeTxZNDXZVKsLREgAkSACBABIkAEiMBr +R8CDW/CG3U9yhOMjzngHowdp93PY9e2pRswfZGod3/Em6I/hTWlID2IvPFvPeE9GK0PEHZK8e3d/ +9/59Oa8znMR5cXrr1fdSj3i9OPCuVKBwauuV1oBiH4vAZGP+sQ8z/dUiwLZ+tapbLTh1vhq6q3yw +5XCXyt8iD/4oJP0TXhqlce3yDyEykX3jN2bd38s/EPlH+e2z7UqqcQ3kHn/l916nylzqcsVVWiaF +JgJEgAgQASJABIgAEbh+BDwMBcQbM+lt6Axq+AlvepV/SvA7cSu4js2sGvC+/BmccS+ZxBHGB1kW +eJLCH+txN1lRJ74vcryVZYqHd2+f3yarGNpIaO71sicWi9mfGAHR+4Ns/sAqE+wRg1hdobrUYfqJ +4XgV2aOtS9jevSi9rEB+Ep37cVqvAoHXVUmdNSr+/V5OZyjt3F4VH/ZdvS5QXkFt4dvltA0cuFHa ++QXxjVcA/0tUETEdyuEsBgX09vGDcE0xgIvgmkbInWta9HgJb9FZchgqQmA6U924/gnnutvsegsw +iAsI/UM+TX4Uca/lYDVACvj4dxcCpvr1JwnlkXAeWY64l7AefD7KtY8SO/RB5Kw7el/CGlnm6RCA +3jFAFd0jxuyTNHLp1qnv0yH+8jmLzt/JkuO7d49F5xIvSOL+8mo5mQRG3N9JG9fwT+ngPv5eWrkO +0DlCPxnuL50x2rkwuXffiW9HKMLvMVijb39ptZyufAzU7u/+Vlg7/qJlP4i+fy8TMxejeyPuyjXj +EY8YYahtioe6xykynaMd4atw714Sv5NTZnrkfvBkmXXEXYkyZjYRdG8Cn06bwzn3Vifq4Kd3czhn +JrxkBBBShpG6DmRdz9T3Jatsu2y20gj3oyss7ou258wcLhUBn4iyfV4qJtv5pWprJ7lA1oXw6Erq +ZfGNnSrIbAIC3sSfh+OXqfvFOXGQco926SbEue4zakfFES2TfNYTd2R8cZMdHYH80sXJmqmG94cQ +kIaBjdz+wYCXffkQctebKIRCQfWYyMgXF6+3upQcBL2ggFVkPYvBN2/Rr9+0eWB8jgX0TzoDey9m +gFnNm64yK9ci4JPXF6X3GWF0mSCQ4zZZumI01pNtI+40MSJABIgAESACRIAIEAEi8NoRqLzbZpcq +UQ+EfDKzaMxeJyLGB6Uk7q/d0Fh/IkAEiAARIAJEgAgQgQ0I2AEJJXazLAuWUzMsDMB/6ybRUg42 +cZS48/IPcfMDHxL3AZCYhAgQASJABIgAESACRIAIpAiAlyOuD6fE+IuYlMyHly4hExwBqX8tRz0W +z74vhAY5cf/57v7pZ0mOf53PYdyNzvaPheOkddw1QYwvEgELZiEodtfCmNnLI4BTZPh5bQhUP8dT +RV6R6iXGGf6dDf4V6Vyj2o1rXCLheEWqOEtVTd+xrEtUe41qsc02yjuVrdseDMyym+Hir2/Ew0ka +enSkPed1c5KO3/oiJjtfMl7XzR2/Eo7+v99xxv0sxshCiAARIAJEgAgQASJABG4bAZs1d4Kukw0g +7AiVQc2NjSvPt/CaGvdu4TR6vOQ8Sucl7h6/o0I10yZ6PE6I7/EYoNvWMGtHBIgAESACRIAIEAEi +sBcCc1yznvrib3jymfG9CjYe++DnFRv7rgQd9zFdD4IeZ90Dga8z7pdA3AEkyLlP/SO0x8+r1DPd +5H489ge/Qd4vcZlkTx0zLyJABIgAESACRIAIEIHtCLwzLulc86NxSc85ck3wUnDsXblmnC73c2uF +yOJ9BE6ANSTGZuH9RBnlupc04w7C7qQdhF3fa2tE3gHDDPwnY+6eXitXBig395nELs3UbiTNzQFz +wxUa0ae38xuG4dVVLdNpdv/VAXblFc7aedx0dot925Wrb5X4mc6Nk90kl1kF2Ike8gliZO9c06M5 +PIrjRbnm6H7LhZ2pUrXTh8qgfH01rPzFDLsSdfmNN0v526MAsG6utRl2kHV5pfkdRk5IP/AmqROZ +wemy9dWFuYFJXdJxbE4nCnM+AwJRn+5U2mKp8zMo4sxFuE6zdn6rExRnhvsiist8O4T0NM0hExch +P4U4DgH69uPwOlVqn/BF/s410dDkRab6cR/rXFMvGhcF1/yIyeM9hAs76HVWHXnGuHYrQ6/7TDyS +SJr65vdlOU5P3CHcWxFIz6m0uB/96zPuoZIuq8+0v0dFOvfXYOsDnZHZjixNdn9JPm/k9767WBJ/ +Qj3DQ7o6EWKj1nbqmZxb74/oYY8ysjy2ytEObnuzYFtl0HZgGUPf7Swr9O0DWW/oa5xIJuc56pqV +kd0Pfk5Vu2ZW8hgc5vLP8sjsztsx9On6hu794/qGXeh9+MkV9c3kzPDO7mf1HNFXJuNIHueQY0TO +JTlqOCjas2SGNhztC/lD396teZqRunmaEX1l9RjJI5MpK2NEpyN5ZP1p5A29tFkZ2f0MB/Xt8k+P +sJPM8D367onOO/ez/Ht6z3zW2vuZLBlWmV1l97PyM1vQ9hS4JvQA4q46aUJmgBEmhnWWXp5DCM0u +R1Zhc6q18fpad7MNH7CjHpPNqfATTlJNeQuvgD89cYdwfo4lwmP0E2bWW6N0xfpoZIsi555VORZG +V1q2/McHGr18sjzmyo4rJb4/F+X1SJrGRJkBxA5/FBPHW8tpNgN7Hp5mbjNwzWPDfgPksQXLKMNo +3dt0rq8eDNBJ3cwyg5OaRGI3S7K5XT/CQRTzmui8NmhneGsranKO4N2rqspm9VyAIpUuy2PpvuOT +tcFMCG/Hs7Yd2vlcR5fZ7pwM0Y9B53OjD9yyeYzVsz3Rr3YHewKEYoEOZUbgrW3MsUb2Gd5LBw+s +xXvizxbq6e04bR8L9cjszmfRQNCVHLRsJbhj3FrT5If7qAF9LGGR1XXJJ474kq121/ruXjseKSPr +Bxd9uxMt+PbYwdtDkaxleGb3l9pHxLvnB1p9rJkQGWrnVuE5uxqx3QyHufvgmrWe7vNcHnvIm+Pk +7xow1gjZ8QUrsjk9cW+FcifVyu8x74Z13cS6hTzEsr081U/i2DVJRlQH8lihkMkjW3WMOqROYwFg +xwpCbbLrgTIW9byHEVgec/UYxWqtKF4uXsqAjrzNB+TOZ2C9Day2n0Hb7WER28dWnS/OHmf6kMr7 +zvw1OFTZZxSG+0qYF7CK9r9GhtHGvHmix+o4a5vh/pLOt7Rzx3JOhkwfFavMdjNFmF9etN2t/iiR +YUSfI2nmiom2uyhKUs/M/jOos750xJcM+d1MkMQpD5WxoT93W5vrryFe9O1ZddbqVJ9bqEemrxG5 +snbuMmy23RFhZtJk/RYm6cIccs6RjpUF+btNtsYRBnb1VBkfvUs5HmnhJ8/MFH164g6AqpOSymAk +GMkkZPZ4d3dI+JuBfyyWMX1GvhYJh8mWpVmSzzdNeEfZjo61cUCJpR2uXr0Bhlm4RYYFnt8ygHCd +LuGRYZndH7GFLA/HaqmuGVaZHPH5OQLlOs/yyuxryXFmOs2wymTLsIztfIucmRxZPfF81j5G8piT +w/XtkxWt/Xg7d7zX2tfIc1k9t+p8LyxH6rLFl+DZrK5bde4+BPn06hN9YhtKk9m03x9pQ5nOR3xz +Jk+GVabPkXosyTDiL0fqmWGV2VycGMx0nmGy5BO32q4/v6VPX8Iqco65Mkb0kdnd3P26km0DGEwI +O1d2TuWhTKfkmGvlr5NFyzOupyfuaqTWc0USGsk8wMXvD5KgHhEpFxB3hBnKvT9Zltl9yDOSZpGU +mFXPEbi6vC7ptpS1hN1ovqPpluq7RY6t5R+jr7mytsqgHVyi89oJbtD5qJxL6UbzyNrl2jLic1tl +WStDrNsaGfyZ3n6GSL4yu8gwHrHtEflH0mxpw7HOa/3EubAYwTT17QKohjo2HyUt4foW3F/Ktnt1 +2qLTU2Ew2oa3lK+2IvrUCUnJqBcWhfzdD2yxrexZr8eIXayt8zHPjcgx0qaPSiO6wKx15Jrfoc2h +/5X/eEgsTpYB10RTROw7uOZuRCuMrnzDqR/9GGdBcU3LRdEOFn6LPPrcfMVPT9xR+Aexat0AYGwd +J8agbtjF67NOkNHj5Uz2o/R1bYmzBqD3twyLrw2QVyBvpvPMMb8CiG6yipnes/s3CcoNVyrz3dT3 +7SnfyflSzaj30+rdB0+gTZVrGmmPJxPqzDvIsZBP/bu3WCEODhtlNerECqkEvvDz5w2pkAlk3Ywk +sZXTE3dg4ksrelyPLQH4MqLOQBiASItjeZyzotJ8g+reVsX8iAARIAJEgAgQASJwOwjoJLFwSUwM +H3BNi09yLgqa6S9qAgIg1Gfnmutn+c9D3GNMl8cgxc2fHucXRz56zWbkb8e0WBMiQASIABEgAkSA +CBCBvREA1/SQ0y7XlAQ4YjxyTZ2NX7vxoFcB8NZmpt0D7WPo+uTNqeC7GHkYmUe4Ty/Uzoo7D3FX +WUJMUbsMoMtMmHUPIHBZaW+TZn5EgAgQASJABIgAEbhNBDRm3Uh4b0K75ZprN4cvohdOlYkvYFLZ +5B/2QiAspp4qg8wQm2/kXSe1X3pzalvBF9mwcJs2yloRASJABIgAESACRIAINAhcAteM/Bvy6O92 +42kTZF9PxpnX6Hlm3GlRRIAIEAEiQASIABEgAkTgphFYeapM3dRuoTwveqrMTSuIlSMCRIAIEAEi +QASIABEgAkDAp8zDKTF+qkw9VN6SRXLuoTLIYiG+Hbc5405LIwJEgAgQASJABIgAESACuyDgxz8a +Qfc84/HnvhG1bu4cP2XmfMRdz9b00Yj8xQaCyWjDjvDxCvoxkdykuosZMRMiQASIABEgAkSACNw0 +Av4eIJzRjkNR4pte9V5zqszuXPNWTpVRvt4Qc1yrb0mV7+/NlPxUHpD8HvA3bXGsHBEgAkSACBAB +IkAEiMAqBPRln/6kvzfIiGXkoXH2G1yznUxeVbg9dHAozMJbnlZMTp9nxl0Pw5d/ehSPfQe4fk67 +38c9gKeVNvDxOtpdz9jcoo0dn82OvvRRY9jnsGPpzOolEMh0Dpk8zYrG/BJVYpkDCGQroNT5AIhX +lCRr59n9K6oqRTUEMp1m9wnkdgQ0qsN444RrWtbgUjohbDxUibqkf+f81Mj7ZkkCZx05DlLLu7Tj +IAGSvw319yE8Btf8Lapez/g2VTyHWfhTEJisI3UCdYqy3Sh8ROgGFo1FSbvcUCOTH2vPGh0lBEt4 +jGC12dCTDEbrsUWO0TLW4lFDxewlC/EVzG5vaAd48QIacXv/mLqtlfGYMpbSjmJ56jxG6pNhld3P +6uA6xWajdhDug3N/2cbaQXom47lIwx56H9HZqdNkeGY6V99uL1CJy/T+nNqE/Vjr20cwOIc+RrAa +STNSnwz3uf56pPytWLnOe77b8/Y0n+TCqbhFVtet9fS+yky8q5LRMjJZj7UJtCvnVXiDqmIsF7+z +vxPZ7eWemDx2sh/95LFlT9IHR/7WdO0vW/JNqhqeA45nheLlS3rGu/zGqkDSF5xnxr1WKoxEjJ9M +Xrp0MLO+o2ZjeQpME2MfgXcFzhWv960uaxogRnjecpEXyHmbD64/QonoAOQ7Gvsxn5g8rQcy7rw5 +zOupz698s9gIVlimQvkZllvMYaQMN8+eHLEex+jB0yJv6BIG7292m5QjP7QMqyQadK/Tz8rew3ZH +sMrk8DYWTL0+0mLZs31tYkk7zWTwcnq26zgtlYE0SzaxVL4/6wMx/EVebTuu+sJ9+bGKvHvM5kIb +8nr02lDVh/mBrB326j3inkb0AZDW+hptPokvyfxRbD89281szts5fDd0rngHcPBVbcI+0Pnqdr5Q +12P0MeJ7l3S+5JddH7N9kMcUDPj/Oey1DOA6l0fSPrytIo81unhrbRs6RyfW689jGvUDmSF17i+1 +H63/gM90f7e2L61lzOCd3Xc5q2NdgcOsHdiN1n9F6uI88CCPtYD0hHEFS54qi/l2TRoEQLIoq5qx +XbioU2V0pgGOualsXcKQe+r4LFwGI5KVfHGzOYQxRjev7P4WAdyXbckDzwafOJuVp5lzJNn9ERkz +rLIyRuqRyTFaxlI+WR6ZDLgPe/aOImKudm/3M7yycrLnR+6jjDWdS7S7ESzn2vcebWAPfWVYj97v +ddZ71HGknXs5S3o/ZxtbsiuXcc3gwbHI+owR+8/yWKv3VudK5J/769FsNd1IPZba8V46X8IqKyO7 +PwJIlkd2f6SMpTQ+SILNxpBgf8Z9+9Zyos7Xto8RuxmRc8T2MrvYq4315MUAJX5cRwdc09ofuOYW +TA9ksAJrqIwlQPlVNG/4llYHfGNCnHnGvYOwymmxRr7M4bt+cW/L7MuIAa5Ns8XoZkd9a4XZ8NzE +kDbks/ToCFYjabaIt0f+p8TKCb01h32dyArg9sBrxCbG/NSKChS3cjGfU8uylP+I3Z5aPrfrTCFb +5Rh5fiRNJufo/bYsJw6jz4+0obV5jdjF2rz9uayMPXSRlTFqe2vrGn3YXH1GZBwpP8UrS5DdHxEi +SzNQxkCSrJSj76sOTBGVa1ou0CGiMPb+HDM5c0RfeCbibiOLCIzHvfvyD/765lQduUqN47LmVkDj +qBibEpY+KH9pVsgHG2tlQt7vTKBejKPeh5FJQVhaXzPzmdUBsns95vLXPDYa8whWWRlr6h91o+aX +1CMrI8MqswUtXgTBkmlPp1ga043bNqrL5JkrL9P7iE4zfWR1VdkX8M5sotZhJ9vrzWi6k1yqa4bl +iA/BLIrrvHXMHjKlncjKdo5HM31l9cj0kenbfUlms0vlVGy26nzAt2e2mdVjCQ99VnTp+xZanasu +YBOWydoY90xnmc6rzjbgPVSG5L/Yl24o36A+OPKv1c/W9pHZv4e/uc7b+mo7t7AJpDk27NXL30Pn +W2x7xGaGbCLpHzK8F+9b23OuiUlgXx2YHEdufjNyTaTNbGVINrFpn2l3vbcx7gqBFF5j3O23xsDL +dbelmfLORNxFEF8uUnLSSIPfupRsFTbucvfeO70htK4rUdaAnNy1jv+6ankZ0l4ChpABfRTiIOfk +8U79EuS9DM3tI8VL4pnp1O/vU1PmcgkIRKI2J4/7/5e0zUvAaqsMl4KfT8wsyYM0SezyVjhe9fPA +HpOdvrrhbUxxt3+6vxDfnWvaIBqEWSdY9kAQgwUbkH5y7osBBTiu/QahR5k1NMbkwG/df7k8VX8e +4q6jGBFET5GR736aDE7OgJA1Hgmz7PIbFTxmiWEPrM+dR+ZwsvvnlpflbUdgRKcjabZLwhzOiUCm +0+z+OWVlWfsgsKRT6nsfjC8pl0yn2f1Lqsu1ygKa+UH+6fHizjWNsIOQ6yy8zcBjr6VzTY/G2Lj4 +8wybjxRQnGduciiv9ck7n6HGNfmuNgJCL4lCFj11nJ6464ySCa3nZRoj/xiW0JzY45bfh7QfvDLX +akmUmwgQASJABIgAESACROCkCCjX9Eli45Lgzc419Tuug1Db/Xp85F6z7VZDn/X3CnuoTDxGEWni +seBHgHN64g5hKqBlQFFfxOSCekws7vnRTho2Y2mPqBCTEgEiQASIABEgAkSACLwyBJxr+mS2Txz3 +eCi4ph8LvivXDKEyHuvuM++VwGPw4KEyNisfT5W5qOMgl+KH6r3d1itemcWyukSACBABIkAEiAAR +eMUIRLLeg+EsXNNHDr2TEVyoGCrjI4sxvZ1nxn1MFqYiAkSACBABIkAEiAARIAJXioBPPgsx1zen +yr/uqTJSvRgqgwHFZZ0qc6X4U2wiQASIABEgAkSACBABInAsAr4puW5SDbPsiLOvm5axKdV/tAHy +h4Vyxv1YRTA9ESACRIAIEAEiQASIABE4QCC+VtbJuB0HGX5OjwaVG37m+8CRipG4/3z/9PTzEw5+ +bz+6abQMDjRiBzKsOa7Rj8JB/lg66H0wMpm7l5gIZH8Kr4yV+ggWJjzN60YRuJfVJVuO8uOdePTW +jer6uVrarn0zD/V98/pGBeHfpbWPvhX8VWBy65W8l1iCeyEc98pLluKFbx2JV1I/5bfPs9L41uWk +GRzONZe45AauqS9IClxZuSbiXnA+fJ1lB89tjoPU4x4Dx61yoqLyT38jb/lSKi/FCK+tB9F/rTU/ +34w7hPXz2Xv7TyGwkmz5IC4IB9XzQwSIABEgAkTAEeDZBbQFIvBqEFjFAiPXBKdsuWTkmnFy/JSo +VoIugeyPOHXGyLtGxVgtXRb8TPzceYi7npnpowl8t+NycE57/WAkYulwiD4/RIAIEAEiQASIABEg +AkRgBIGWa+rLPTELLlyzkuHINa9zFef0xF1fJWukHcDp7lp7eyrO0NSXMxmo75HuXEOgESs4YRrf +TTx3RKaOCoGNycCZphMq4wxZuz5R1NKxqLCLgRH3GSRmEXshAJ2O6BzlsZ3vhfrL5TPq2zNf8HI1 +YMnHIhD767k2nNnFsWUy/RQB5Zo2KRy55js7r13bW5jdPinXDG9pVVlQOLivGYqG8MjFh3CsDPiw +vzU1ocGnJ+4gn/76WYCGDuyt/IW8IOr44G1WHtfkG2pxLYQH7WejNtpyILsZZ6Mw2wG8tpOFUuIe +gV6nHsOKVq0XDSLmcsyFL3k2a+uK57OxmN5fwjzTx0Bd0zI8j7myXOdulCuUsqRzd/wxzSrME6yq +vhfSDWM1h3vEam4vy5LODWMsIS7aRab3rJ1m9zObWCjfcfZ27C4u6jTq3F8AkrWVXpFLbXiSPtN5 +hufS/ZE2OoD3lrhTFc/kWMJxDcaj0Bzj25Hn0oBurkyXf7Gd7qSPoXov2RVCAjyTJV8wVFA/UfWZ +Fn4w10Zm/ekIVklbj+28Laf17VsmZhbbxzF+1x3SsbhbGXgs7Z+yvvTYspP0yjXlH/CtXNOu9SZP +Uvk3yKcE3TEyQg7fpIQdBB7fMaDAdyvHSfuAfZyeuLdtFvHrEBzCRWP3StbX0Y4YxhZgF/JXIBec +gAuvxGKFDM798KgrLTrwGFa0pZOpRxChrh2n6fXUKswR0Q1EtUKTddi4P6APyLgGb5UjKyMoZXYQ +43msIO2tznuNM5L2mP4YE0ttdwCLDKtMHpdhTqfZ/douEp2NyjFn29X+F/RZ33iXFda5H/0byuqR +tOq0zf5XmFad4ZjzRxM/MFePDbYd9aV+fIGgLW0wjPa/Am59JMuj2t6CL4lvOTxWjqydt8Q+W42Z +Kz9rQ9G3r9XHSN1H2sdiH2aAre1LJ33YjMAu42z7MJ+4ZLsZFtHuejrdzbdngmQ+M7uf5J9xhlYf +i33plv58QU4vU/dMdnyRz4A7zxogyhnq/fuxfHcMPjNtvqrOxh9XwvmIu7YNNxpUwtDFH68TOjYs +F2Bp4738RQz8aqLWAFEHCvKlHruzAJZ2ujPk3UfQ+lraVT3tmJaCjsceiKlEtohrN4OQZtX9Aaky +rHwjyVJWdeywAW/Po9uJBByW1Lmh+ANC0RLztUS9h9uS7cIovB4ZFlsGjYtlhDbfKwMdrG9UX9u8 +3O4WiY/JMTvACFgNmHqaZE8dN01dfy75oyX7j1htmfFeql+mD62A4b3V7vwItK5vTnTq/mit3ble +NvnuzJKO8FdL9r/oEzMZrH/MfGL1AzN9eXwBzUCRB0mwIreYR8RqQdgtbdPLP6nOB9qH+82sD0NW +awdKJsa8qhLbjH7glPwJ/QciOnTFNpBI1bMA9MmuezTIpknBDhoe0aG+TP7jg+dJqAz0YIDivttP +/DsD9HmJ+2RkE7yzk+paOZEWgL6VGgDfPU6Y8YbpgC45iew4SgV2w6CibVhzDc1H6as6ERinVXKu +I0zraQVns1iLWFpDmUvjS0VLA7Q99D/ZnNIIA11mS9YZVms6nfjMKh33HEaSUVoPeX7rDESm06z9 +TJzeSmBjHr0sRspIsVqQrdeJ91QT0621gax9ZPXI9DGigpEydIl4CbO1AIQ8l9o5kqVy7iADyvF6 +7jXxFGGL/eRc/mk9E7+8h86RR2abuL9loJb6bvPtS2VkWGVYaPhv0HmWfu39rX5VVb6Bt3j72YLl +iN9di0/bn/baRss1wZYreR/wD8fIFnGqBF1fj3olp8rUyoZG5Nd6jvwDKoeRtNVcR0c7OdRjgF9K +u8Uhx1WGHknSDbsFgl0+W2TVxrqLFPOZnDr/rA7nKN9MWkHo6dxlcJ1nA4lTqmQPPLI8svuZzkbr +n5WT3R8tp5cu6nRO5+7c3b2dUp5T+bNjMDp1/U6df1bX2G7nBmrIw9v5lm7tpeuaYTF6f0s9Rp8d +TTcqc5vO/fupfXtWj633R+qflXGuPObKgU/1GHcNk5oZaWiEB24beUe0x5V8Tj/jDkPGofT6xiYA +IxfiMjguady7fQD4XoT1kpUw0lGPpLnkOlK2ZwTUQdjPOf/gaa7Hf1DDGQKZTrP7Wf68f3kIjPjt +kTSXVzNK1EPA/fXiTPQ8fySoOyJQB8I22RsHyPiuYU12sXe++6kmzGqfbrFC+lv+46EzHqozuPp0 +euKO5Z0PFofmMUdKYERoP689HhcZcN1RnZeXVUbOsvuXVyNKlCEwotORNFk5vH9ZCGQ6ze5fVm0o +TYZAps/sfpY/718mAples/uXWavrkUojFQRk8MzINT/JNZ0zFi763kg7fjupn4RR71VdlImQmEJ1 +yyeECtbwrDhLHWb3EgJ/euKuHN3WjzC6wLIEavNR/vrsOmbcMSOv96wiENxHT4OjkL0gZz5EgAgQ +ASJABIgAESAC14KAxe9/FA6JA07AJ0Gelbg7aZbfGj1jXDPyzD2rOTkOslDe55MKIYsIcRXHQerM +u4ClKxgGZI3nBeByLcb76ZKFrVtwpLqnSTEvIkAEiAARIAJEgAjcHgKYWa9cUzik80f8rdet2jU0 +ZucYGS/TT+nS3z54AGk3suuEvhcqs7D/5Twz7m4ac7u3vVK3Z0KsEREgAkSACBABIkAEiMA5EFji +k1tPEDpW/ra8EA1zbFYx/XmJ+xZJ+SwRIAJEgAgQASJABIgAEbh0BOaOg9TYd5t1VyIfZt81Ft8q +tnBIC4n7pSuf8hEBIkAEiAARIAJEgAhcDwIxxLuuAgTSvnSqzEVsTr0eqCkpESACRIAIEAEiQASI +ABHYhkBLwCfvLeq9xGisuDPOuIfTYiDbQayR3I/1YNz7mAaZiggQASJABIgAESACREAQCFyzyyPP +wDXrO1ssqL13qkzdkNoJlUkOZDkfcYfgevi9fPAdZ7jXnbfNPVxH2kcBOHtlMg2VCBABIkAEiAAR +IAJEgAj4e4GABL77G1KVe9o7hZyH4i/45t5cs25CnZtVt6MrV2rrDMQdoxsRUsG0SugbrHAkpJF4 +3Hsr9/SYSKtJPfMd5B3XtrwbeiU6L/kYz65/SfRZNhEgAkSACBABInA1CNhM+jsXGGe223nts1xT +0ig3tXS7TRSDyzabUCcvYLIRw4PPZtuR6OC9eC6hvKcn7pDhvQgHAHEQvr50SQB+b8wUpNwHJR/l ++0fcxzOSFmQegO58xOZF2GHQ1/ObtRrJkIavxr4IdW0WAjYeX7/cWwrzNPa+ss1lMoOXRyDTaXb/ +5WtACY5FIPPt0RfcYt92LF7Xnt75i76jRv7Rt7+MRpVrGq+EDj5J48KbUr09Ktc0ZVWuKQm1Pe7N +NZEfbKE5r/3RCO/iC5hslPGip8qgcDdokHbI/dYq44B6aMzLqPv8pUKhNQaqU7w7dk+DsCJ+rh+B +qPN2RaXVOWqbxLldPyA3XoOoU5/xiTrN7t84PDdZvWN9O0Ageb9+U9C3cYZqzLVzT0Lfvr/Oe1xT +J4Exq35pgG+LIDn9jPtEPTb6uRehERbTG1HEa2gI2+r3XDryqY3LCpk7jB+jodrAOgJoA03yWDLL +to6t826dgMdpHWXq7QaMXj2yeib3R+RJsTKb0JmKGWVn+hiR462Uo9l3YsuijEqYV2CVydDqvNdZ +R8e/MNpeLCrDKt7vYQG7ris9K+PwUIYP1ufwxqpbpo86g7XCCbhOkUdv+TPex5v2urNkG+zfdRn/ +LhE0pPPVx2P7mK061wGE62OhHS4ZXiqD+cw5feB2zWOl3U3yyNrxXBnBb655WUuv/2r1Gdt55jd6 +9ydtOKvn3P3Qh3X9wIBgqW8f0Gn1FSt1nmJh+pzzJSO+P4PCJyA9Xc937+XbtR5z/iq04znbXeoH +s3p6+1r07U3lWzkmfnelr8nk1PZm/Rhs27lf+9zaPjYrP973CTqVCYJgJl4KVlww2x9DZcrtGkK+ +0A+cl7g7IcVGAK9HrKTGJtk9LGkgtAZLGnuwd1cSyo4hKHNKWOpgfIUADWjNZ9Rx+yzdmjKAGTrj +Rfg8zVw9cD/oZI0cFauZh/XlA0b0lnSh9ViJt+erOu0UEld8loqoDmsNEM0zrdPY04m4c++KaTo3 +v3GYJOhj7UxgrEvP+Tjes/pwp7sB52wVL7atWQcZsFojyoiO2w59jYl7OUvtw9Ms2f+Szxup/5IN +Z/rI2uhI+e6qFv2E6XTWtjfqfFTOTenMSOJgq5ffqD7WtvPMt1d9yBft8zvGXfvltYBk/Vzswzrl +ux/Y4n/jatpo376muiB7IN5z/sq5zVxdXDZt5xs7sznfnfGOiR9Y4+wGgIvkV7mFFOr9ncaySwLn +mo4JJpLXtoOeSJ7vo5VXB5hSiMe+Q444sHG5Z/vm54LOS9xdIHWsgazVSppgWgEH8gTKHQBm+TQb +kX1u1DtgVyVcyBJCr20Vq5EBh76/GykmPZFHbSrBV29v1IFiteAZs/s6E4k8hmrdTzRcxoycjpXW +Y4XTixBmOt8CeabTST1m8MywytRQ9bWHzjf0qC7HnLwZVqqHxHaXsBhpx7HTX9vMnKguDUBUlkwf +mWIX7le7Wkizhz4yEb3znSNQIzrfskGtbeetTvAbathK8LJ2rOWKMEvlxP1lGa5z97O+NLsPrLdg +MdHnjH1n/ceITWTtHPcrCewkjhMFa9v5SL+w5K8ymxmxgRGsqj9aqY8ROZbSeP+qf2FfxjWjncV2 +ie97H4BS82+MwjeexlUuJ+xH1Pt8xF11KCBWZ9GR1gF0w16zVJlWfoAApuUO5JEZVp0lQ4faJN6j +M09xsARLZHgLUY7leyfSk6mWseTNNuKNcpdkULm8jDk5svsDgMP252aYos6rvAN5HiQZwCrDIruf +ijWA1WIZpoPd5JgTOMFqyDZn8vZnl2ZReu18TZvLnhmpR5bHsM6XEma2md1PhSjtOGs/m+u6IAfy +jr69lzSS2TWzfC7/cBtaaf8jcGd9ZXY/09WQDJ5ooQ9Z1PlGu/O8fT5nqT/fWt9FPLf63RGwR32m +9andLDfinYkJn+v7KZW0R65pRNq5Zg1P3DKa6glkzt3DYnTmXT6+OVZ/yn8u9lQZ4IGQF5wQo0fz +yAUP4QBobuTx7M0tMx6ZUi/l/oid1AHMpQhNOVYj4CRtaQaqJe+rC+ODF4NAplO/v7VDv5gKU5Ch +BcoR/08orweBY9r59dTquiRVrin/IteMJ8o4WdbTCi3tlsiJRXSsjDrQ8t/2kI4l8J/oCGLoCfjy +fAGnn3Gvx+EIau8gPEYZNgICQTcuX0YfVjl8V4Jzw94tm/XJ7l9Xk6K0o8SMer89W8l0mt2/PURu +u0aZPrP7t43O7dYu02t2/3aROU/N6oZPKQ4nyYBrgqT7MeTKNYUNOwd9D455Jq6pnNw3BuCHLc/E +iZu4OpsgdnriDgF8ORBns+tH/sY3p1aDti8+GjqPulkKESACRIAIEAEiQASIwDUj4O8JemdcUkl7 +oZyFespk8AchzSc/HlIKrPHsXj4mojGgwAZjW3rvhsqUZEt7+s5D3DG4AHB+HrkC2cym6+8NG9Gu +2dgoOxEgAkSACBABIkAEiMB6BHxSO9LLF+GanR3XlYyLcEuhMgOBJuch7qqGgQ0Jtxwas94U+SQR +IAJEgAgQASJABIhAisAFcM0arm6xML3jINuT8uIsexJWdUbinqLNBESACBABIkAEiAARIAJE4HoR +qOe3y+5YTEg/2vE19VSZJlRG09g+Tw+xWZh5J3G/XtOg5ESACBABIkAEiAARIAIXhUBzqkwl7GEG +HgR98gIm/EYlLM2LnipzUWBSGCJABIgAESACRIAIEAEicE4EGiY+twH1smLcBSA/U7N3lrWfkOMb +VE92vuY5FcWyiAARIAJEgAgQASJABM6GwDDXFDK69G6Vkwkc4vCTE2R6IpwvVAbB+e9MhHg0Dy5B +8Lc4X9NWCfSaIP/RjvIZeqPFyRBmxkSACBABIkAEiAARIAIXjQCOehQBI9dUvmnT2Moz7Yx3vW6/ +9+aaOCseoTDOaV0GDZnBcZAoW+LeL/o4SJB2HIgPaZ204/B7nKeJGB8HE8H5cTetH6KPdLdG3uNp +Qb0dxPElWhfdUCjcUQhko+vs/lGFMfFFIJDpNLt/EZWgEEchsKTTzPcfVRATXwwCI+14JM3FVOgK +BQG+4I1PxiVBlPH+oE/GIXF/Qqbtt/NTf+fQ5qo7aW9OlambVCEPYtpt0yrKg6xIPrACcPoZdyXt +ZZCh57jrK2lNSD0EX27oG1Tle3xbKkYsivCNfjzcaS6eyfRaa58cD3SjKN1OtdyUoVfYf89BeLjY +3P3bQeP11MR1OtdhZ/dfD1K3U1Nfpl8iaXxlye3oW0mXcZysnd9WrS+rNpgAxkw7ONVH55pCnMA/ +oRefKJ5wTXuTKt6wijR7EffK16zjxwS1frVy9Hh0O0UGl5HeKa8/+6KnysBB3Zs1QxDErvu7luDg +ICRAvjcpz/Eepvrmqhm7c5K1SJY3rALowMXKXpptR5ItJC6b2dH71oPMnaHv549uOmM/wWoXfWQ+ +JJMhPJ/pZO0gyjv0toG2jh+/B0bd3RqP2G6WJtNHBnVmd/r8Rn1kMtT7cMwz5/pmOLhe1uq77czb +Tj27P1rHDO/sftWHG95owSHdUBkDes/sIhNN/VV2jvOg7a3RO3DI7MonZXp+IKuf33ffPeu3E38W +28eW1eysrqk+shmsBJARu8v6sJE8lsSI/bmni7YT2/lW3/640XYzfWX2l2GV3a/2O9JOM2E698E1 +MdvuXFP9iVy7N4X0uGaUeUWR/Ue8cbu+sALgpF2emPiWkGZwsjrMuD/9LHX8+e6pYxg6fX8/yfIe +BHzko0Td2Dhm0X3UAYdzL2U5oJ6XOzU0NpB5J/RDZd1P3r36hKWHe2iqGQ2gI9dlihnP7EsWGqfU +KVidMzqHhTzm5MWzSuA85qpgOymn5m+kQzv8I6doHOcqx0xFUEdA1PtoPJbpbE0nhjxTrGDMZuRL ++lD5evp4EhMptnSvOM7Y5TvTOWLZ2o9jVYnVHFbe4FaAoc7b7NAHrJNsEDJmeoZtKXkeMfpOZbQ+ +S7YLu7U0Xb0n7SMVyxyUqrUjh9sEjKOrD/OkS/pQvyI6F0xnPdE7y6Bn31HnGVYK1ZHK0Drin+vc +yGTbjt0XuO4X1DIPu+M9J+OoPlDPFT5NBQtlzBnukj40C/MFqEavKqLz0tQX+h73y+4vDppHUkb0 +m3P+f8n+vZ1Dz29tkirqXP1/8P24d6Rp1ZHBot80fSzZbqaPrJ2rfQ/4EiUsc/4Ivs703cMB7Vt8 ++5P69Y7e8YzWwz4H7TT0YbN9/oDtLmHh+oQu53y3p9GY6hn7zvDGffRjc+1D24/nnXGbFT6tbeez +OjWbUFl6/a3bwyEQ2nx0ord8dN63x0l7WMEvON90/wubcf7ZNjTlmtbXKRcd5LSwRNhkkOFJBwfG +NZWq4TcSWv21TvLvCVP6sAF8x33LRSuKa6Vfq5WX348qmyaoJa4LlRmv3yG82tCNqPldXcroWDPq +eLRT62m0d20hYze2uST1+grh8Aj0e7B5Isjo8Lj9riimLLtkD5oznXMkupSzUQcpVu7QB/Qxq9rG +nmbVPVPGHMGc5JNhOWp3hmeWXXZ/CYs5x45nNN8k85E0i9V1nS4UtVTGHnan1VyyKWsfS1hleSxh +4EXH9tMTJ5puJsui/SfCeNlzMvjjxw5QarFB53OipHlbHqttf8C+R3y7+801crg+A5c8wjMMJjXB +FtvpoD62yDnsJxJZFu1+wLf7wLurr52wWtJM7M8H3GvmfjPXOvt82r6CT1xj2yrYiG+PmHdqU+Uc +EWIkTVOGR3hUrmn3ncxPuKY1gE+ixLkJzMFWOU0WCDhuKGcOdVFCb5M6+qAN8gfnZ9cR91UVMbld +adFh6Mw4ZHdSHwxkhd5S8TIFjZQ5kmZRkAUNuSPT2aM1MzJWcCZjdn/ECaVgT+21mzyTI7t/Lhm2 +yKHP2oxMV6emZ9f5SJ16aUZkzNJk9zPZsuez+7dkd1HnLW6Rkxy7ohbzWiQ+A+1vD7z30OlIHltt +b6SuW+XwmddeO1ddhVnXtWVlz2X3HYeRdEuYZ8/v0ddmOt9aRlaHrHw/JQS++2Onv446b1f/07xD +ghE5szTZ/Uye7Pnsvuc/mi6TZ+6+Ym6FeIgq0iKWHZ/KNS1N5kNXyeHE3FeLprPm3Swx264cIJL6 +w5SnJ+4+ynFgIE8EctIBSaKsEa4C8MIeAhZZPd2wT23gFwbNzYqT6TPqObONmwXpxirm7dxn3Xtt +OXYYbOvXbwBRh3P6pM6vX88T3jJQHep8AKQdksDXeqTGi3JNn5j18JT427/rbLX8awj9QD9weuIO +EoKlRyxfIBYNoyCEiXj8D4S89RNkevaYKWfLTPsO9s8sToCA6jxbaRlcKzuBeMzyBAj4bNtc1plN +nEAkZnliBIZ0fmIZmP15ERjWOf37yRQDHVgI+TPX9FAYualcc7kL3k+2SMhDHLt+lf84Z8cXjc23 +kjXuXv6B/yHefSaU7fTEHfL8Xv59J//8GEgn7bgePwiZ0dhvQdgFBvHPSO5+aDMnIkAEiAARIAJE +gAgQgWtCADzx9/Kf74Q8Rq75ySI52vBscM24D3AkEuIoPBry7ptOQdadwCuPryx++n2hrPMQdwiA +0yN89zeEbg978RGHghsQXrOz/yhwmZgIEAEiQASIABEgAkTg6hFQrmk8EptAPfTUw5WcXrZcc9cZ +4rlQmYhuJ1QGs+86UY1Zedsv0QktPx9x19FMmDrHV68bZt7TE1Cu3pxYASJABIgAESACRIAIEIFT +IaAz78Yp22gNhGqfLYIjbk7NKttuRl0OqTofcYfcS4CdDcwMQN4nAkSACBABIkAEiAARuFoEepzy +bDyzPTO9swn14IQhO0LyIk6VuVqtU3AiQASIABEgAkSACBABInAMAitPlamhMstlnXfG/Zh6My0R +IAJEgAgQASJABIgAEbh2BOoeVItfr5Pyy2e296pN4n7txkD5iQARIAJEgAgQASJABC4Egc757XrJ +ZuJx1KN+cByk/PHf9QVMl3AcpEPZvoypB3E9UMbeWnK2mKQL0TfFIAJEgAgQASJABIgAEViHwAjX +RM44glw/RjR345tZqEy4r8dB2u+LO1UGR0H6sTb4+2Fhd+/75rW0fJPkOuPlU0SACBABIkAEiAAR +eC0I4Bx358VLXBNHRjonfZJn4qmHm7GKM+7tRlXPPIbIXOKpMj76gbw4VxPntIOc+8H4ByDhBUx2 +f7cR0GZN7J+Bvwp9Lufs/v4SMcdTI5DpNLt/avmY//4IZDrN7u8vEXM8NQKZTrP7p5aP+e+PwIhO +R9LsL9nryRFk3GPJcfTjW7zUU/7h+9wEMHSCN66e9NM7VaYt0Aj+gI2cPsYdQrw3AUHUP+C3AQpy +3r5gCUQd8vth+bdK3ONgplfH7P5JjYyZnwSBuHzXK8Dv4y9XmU6igrNnmrXj7P7ZBWaBmxHIdMp2 +vhnii8tgVOcQ/FY5zUsrRWfQoQj0nyGiA7PquN6e4W5zyIVrnvN89wWg6ltUlzesnoe4g6A7Ecdo +yAHDdx9dGN6lSuHHwOhjlb0s5XuqMl1Q5P8ujK4+2huyYkX0zV+AQtKBxD0uH8i/iEFWn+z+KoCb +h85RxhY5ox3ODaRiQz+2LH29sun8nek7lqM2gUzdLjo2MVLmKM6j6UbKbNNkWB6T5znkzORZK0PU ++YPoEy8FiZ9K4ETnWoakWTNgy+TL7mf1H7m/h873knOvfEbq3bN9+HboEvpX3x0SRd+Py/AFW3Q+ +V9dzYpCV9ZJ9rfahjQ729lfw6+q7RZfaXze+W9u5tXFNk8izxu5av3KqwcExsh+Tdmud/XmUidnz +OgFsk8TRDpDGP5FW7SpvE+OOt6Bq1x5DaOKbU02gizkO0gm7A6ebARqr8pFSDAVScOU/GAnt/UF5 +WbbudHtle4cb6zYqoz+7lN4JHJx/NLLRMjydk/+5usZ6zOXteazpXKrOE7yzMmI9Mr1tqUdmF9n9 +If3MDcDM+FXnTuSGMjxM5HIureQs4ZjpY0SsJaxiG5izq8x2R2VAuiXb3YrViByuU/UpGwbgmc9Y +8keZTrP7I/XM2sdIGUv62EOGzHeP2OaSHBN/3Z4sMVKBI9JsxXtEH1ldM7KTyYj899D5lnYeZTgC +/oOkPrHTwyTaRYbZnAyjtnsO3z6Hd2w/c3Ls0Z/PYYQy4WK1jA7QHv9+cq7ZtP14iozKbvdB6MPP +u4s8VSYzSITSuPEDdI2HP8EnI9xZsX4/S9cT3Z/xhtzLo2Jw/PmekyIz+bL7yGwkzZKKRrDKyhjJ +IzOTrAyv61y6PWSoLbRH3myWxmflR+Rdsq8t9VhbdpRnqY2N5L8H3lk5WRnZ/RGb89k2kPdMniy/ +rJ1lOs+e31p+9nxW/614j/irrIxMxpE6YnXl4K2I2YMr7i/JOlKPkTQjNpPJkZWz5CtGYMnyH/Xt +I2V108TZ1Zl2Xvv01YU8+4+snWf62CBC6sNi2Vvk3CJj9qzOyotwqhMjYifhmrGfb2zEA/HrAMJ8 +xsWcKnMwSjRtxhFonRELIyRvzCONMlNUe38kzyxNdj9zeLEht3l53R2OtWWNPJelye6PYJ/lsfX+ +OWQYIQWZznF/TqetY88wGSlrLk2Wd3Y/w3vk+SxNdj+TYVRfWTnZ/UwPrldv0zG95812/ozKFrwv +Qedek6V2Hm1i7UrmCE5Zmuz+Hm0sKyO7fw4ZRu0ma+tzM+mtb1+r8xE5Mzyz++fAew8Z5uRUUi7/ +tAwryE+OmfjgU3PNJlSmHnMTBW8H+P47V8Iv8yQ7p3AjjoMRXMNmApw045sLXAE7F38x2WHJfGnJ +LLt/MRWhIEMIqNPIdC5pdLaOn5tBIGvH6vtOPBt/M2BeQUVUn5BzQaduE1dQHYo4gIATQvjuOVJK +nQ8AuVMSdKFxUiRm66fLONdcG7aUitoeB9k7VaY9DlIyjaEyC2WcnrirExMU9VieACguY3nCAcZf +nO0ez3tPwbnyBNnIM7t/5dV/leJnOs3uv0rQrrzSmU6z+1de/Vcn/og+R9K8OuCuvMKZTrP7V179 +FxdfOaT8wwz7d8Y1EQqjHHqGa7640HMCvPSpMpArkndftuid4e4z7/eTHT4XCy0FIwJEgAgQASJA +BIgAEXhhBHTlQ/4D+ggOmXFNpD0Z1+ycKgN4dJOq3NOomBga49dwIlWO4+ln3F2GupxkF+aE85l3 +P0IyrwNTEAEiQASIABEgAkSACLx2BHwPgc7/CqGc21PgR7W27xI6BX7xFBssAbSkXUl94fQjn/MS +97hcsSSdj5xGasA0RIAIEAEiQASIABEgAkRAJ4VDaMwi1xxMdzSq7VGwnd+Q860fB2n3r+o4yKNB +4QNEgAgQASJABIgAESACRODSEJg7VSZsUsWKgIfO+FT7xRwHeWl4Uh4iQASIABEgAkSACBABInAS +BNpTZXqFtKfKCNmfhNTMC3a+UJmTgMNMiQARIAJEgAgQASJABIjApSLQOw6yldXS4CWMyQZVEvdL +1TPlIgJEgAgQASJABIgAEbgyBJZOlZGq3GN2/RpOlfE3qPorZvHCpTiqwBnv8RTIR/mB43oGjsa5 +Mo1SXCJABIgAESACRIAIEIG9EYhc01+45GXoPfmPnzijPBM3T8U1jZxrLDs+vhkV35twGv2JlzRi +xh3EXv7OnIx+vhl3COBvRYV88Y1VIO1+z+uCMziRxo/s2Vu5zI8IEAEiQASIABEgAkTgdhAAn/Tz +2ZVXhiMhlYdaVZ/srHf8Bu/clWtGUh5n1h1mu4+Z98jhB7VwHuKOt1gBSAVHQNS3ozaA+j2MfnAL +afCMJrvBaXcfSS1VbSTNoKKZ7AIQGNHnSJoLqApFOAKBOEnRe4w6PwLMK0lKnV+JonYUkzrfEcyV +WfW4JmbY39mMur9JVUm6vazp/am4ZgyXab/7TLzX035fzKkyPpvub0X1vwBrQt6NwYLA+8ua3ttI +aKUOL/YxHZjYiEvx6Jy6j/vaocu9319sTSjYKAKqcyQWvULf7YBNl/DwzzaoUOejyF52Og0BnNE5 +JHdfgKXRG5yfuGzlnEC62I6h097LX6pvl/J/P/jGlROIyix3QiDqvOfbUUwNBRZfQJ3vBHyTjXPN +T+BURtTx17mmhs2EkBjnmviLWXc8t9unN8vumceNqv7d/taQmmVBzjPjHmXw2aXOSTi7YZZllM1w +Zfe9w92ro21H6u4I4PjR6ev9FQ7e6wF552TN6prdH8X6JWVwfY3IMJdmBMsMC381WhfTMFBDuoeV +RC7T10g9sjyyemZlZPejvpZ0NirHWtvf2s6dtHvcotYltGNv51qO6PzdDNEbrecW2z21zo9pg1v8 +alaPY2xvrRw++O757qhzYAIbmXuz45Le96hHhlVmd3vptO3/RsrtcYqt7Xy1rwl9tOs+yqI4h9CJ +tfW9BJ3vKcNqvI81kJAe5LylUiuoVS5BE79eX4saC4sk2G3EY9yXSzg9cffZ89rIO1brdYlG4clO +ESYz0nAyp5bdn8O9ddy9dBGH3ELmU3g+cx2Dy3LqcJ0M75H7XsvVnSlI0wKYI/rM5BztaJfSeajY +HmUtdWQZFmtx9rotye91zGx7CwZZ3iNyjhCTkXJifbfiuuRXtuh0xP6zuo7kkek0uz8iQ4YD8lgi +y1tkiBjs5cfX6Dz2Mxkea21ytP/Iyt+C92gbXbLNkXpkdhf9SY+Q9rjNaJ4xXYbV1vsjMmXtPNZ1 +bR80IkcvDcoDn1SddsBouWasy+5cszk1RuVtyXqshAk30B5PT9xbcJcMq4Jt4D8N1GCNguNgYk75 +vcYX02Z5zMnlVVpy6pG8ramfPxPL6kE5Au9ImiUZ/fmlfDIsR/LIcNpaxh4yLDbcZoZ9Le6ZnNl9 +t/u15cd2k+WR2UTWBkd0nrWzkTyyemR5aD1WrqCM5D2is1H7Hy2vTTeCUZYmuz8iW1ZPEPaMUG+R +41jfvbasrB2P5DuS5tS+fWsbH3l+D6xGbG+pHbpdjOZzKl6StY9MvhGbGcV7JK9MnjX3faAGYl9D +z/cWppnGjyHuIO9Lx0EO1On0xD2OZoENYozibACE9FUFdaoGoB7Zkw3tBmq41ABGnNJcmi16VhxE +kxrzjE69KUTvyz/EQs7FzI1UfUTGLE12fw85sjKy++eQYaSDWJJDbdt03nOe1bGLzreSvAyvrfev +AW+XcWtds+cznSP8ZS7GvercQmTWlpU9l93fatujWI+UMyLrFr99ahmqb5/x3dH3n5pEjWA5kmYL +3ln+2f09fM3JdW7tF/11L35d6wg/YJVZExo12sYyPLP7e+A9UsZImhFZ2jTAWGPc5R8mfhG77qfI +IG3cQ+lcEwehQDk6qbyXYDFUBuXO/K6nysh9TeKhMvL3RY+DVOdkPVScbY8DEgcWyTwGCWkj4GuU +eMnPoK5LGxBB3rhB8ZI1eLxsmc6d3O/lO46XkE/sjUCm0y2d+N6yMr99ENB2vhA4634gm/nfRxrm +cg4EfEA2V1bm+88h42soAzh7qIzSTmtkzpt7XBO44Pqu/e5kit2EQkFN7LsSeqS19MedKvOnn+/u +3/98//T08708qC90Ch/9ietWKMrS7yNB/ZDLZ4/fygMOqp6uYYXome22lKzgyb23NgIJdcrsTmUX +4RwafJc6FVBGZM0K4P3LQ0CM9UmXnWC3om98vTwpxyVaCoEbz+W2U+pKI/wD2vsVtG3qdLs9qs7v +Ve/S4os/v3Zcr13+7VpNc4A/Rx9edG8Tl5fal1OfqT6zBHDnLYfD7yH+ppO+khZc/b3xSd0sLJ9P +xid1BVR++2oXvmtfUmjiUDn6SOGWhSuXv/f3kqkarHFY/NEDRkKtJ787hoz7mk95yPu5Z/798PPd +3f9+d/pQGRXe/sUQGT1HM1CsSfiMVQiK2HUUlJkN7xMBIkAEiAARIAJEgAhcHQIfhVM6OY8EveWR +Tqb976m45olW1c5D3KF9ANrGu7tV6DKTkXitqBB3/CZpv7p2Q4GJABEgAkSACBABIvAiCESu2YYi ++j0IBq7p93flmgtr/pPZd5MhgqSDjZztn4+4Qzifee9p04HzUJkX0TgLJQJEgAgQASJABIgAEbha +BIa55ilq6HF8xnnnyLqvCLT3lSgvk/fzEvdTYMQ8iQARIAJEgAgQASJABIjAiyPQhIC3M+r+u8fP +44z7wioAifuLK5kCEAEiQASIABEgAkSACBCBHAES9xwjpiACRIAIEAEiQASIABEgAgkCR4TKtDnp +LHs4Pn2mpPMS94NYnkaq7D4NhggQASJABIgAESACRIAIzCHgXLJ3mkwMUdl1U2pHmDZUPdt3Gt91 +tKDd8xF3PVEmnqFpp8bE61HQCHw8NpKmSgSIABEgAkSACBABIkAEWgRaruknFPa4ZjtZvAvXHHyT +zOwe1Izd353pHHcA66Rdv8u/d/LPj+Jpz3dHGtz38+lPPSqi6RMBIkAEiAARIAJEgAhcNwI4x90/ +kWtO3hVk/NOv7co1Q6hMi2Qk6z1+HkNlFrRw+hl3BwZvR8Xbq5yEA1wn70iDuuoh+CENyP6tfuaW +cmJ94+DmVnF4bfXKdIr7S0dZvTa8bqG+Izpvzxu+hXq/5jpkOh/x/68Zv2us+4jO49nh11jHS5ZZ +SbpxRueR4JBv/ZoJ71zT3x30Ha7bm1Z3qV+IJmln1eeOhowDDT/H/cVPlXkL1i5S6NtSAa5JWUdD +c2+7GlxyOBbswTiiY7MdTu+DGZej12k7RpkzGC50JuEIFiNptshx6vy3yLbXs3G036tvaxN7lbsm +n9egjzW4HPtM1PncgMwdOQdsx6LbT38Jtpv5btx3ObesJl9CXffR2ulzOSVWmW9H7dwmnMht0fvp +0brOEnQCWP7z1HBN0E+joDox7NEfE/61M9d0v96bVd8B3dPPuFchpQYPAuhDA5DPLrpB64jpDG9N +XWrII7MhezgCb7xtXlHZ7hTWNPR2dNcaTDSuufz3MLwRrLbqI2sMmU5HsUI5a3XhdVySxQe2PsjN +6jWn0yUZMyyQ54jO5mTLsPT8l7AcySPDJqtndn8rDiPy7dW+tmI5gsVSfUb0NVLGFrtz+bI8Ru7v +0c579tNisHZi5hjfnfn2Nf4sYj1ie5cgwxZ/NdKW5zY9Rl2ttatjbHuLPkbq6WnW6nTEV2RyZPfn +/Cr6VR84g2v6rHuW31H3b+ZUmaDhNvzFnSgABJAYGWmaE5J35L+4CWEhRqkqEBttTyjjUYaykDjt +pGC8eH5uxOkbireMSBOsUn1Avq14D+p0Fgezy102r+yl3Ll8srom94f0kdVhoQx1qpmMe+GdlTMg +5x7tPGuHGZyL962NzvpMDzlcasMjaTIhM6wTvY/axZIYme2OlLFFV3sMxDKYK4lb6MeqHKdu61vz +38HuVOcDfdgip9hBjlG9rU03ZLsJt8nax5BsC1hVGeG/F/jRLnL0hHWuKTL6Kkfr9rQPN66JLE4Z +pnisPxj0PWeccYciDeg27sex9tGPLmXIv9+HWKEhgxpMlI2yRsjZSJo5cbz+rqR25BrvI4+1MyJZ +rLTex9LRQhlxz8EgvAfJMqxSfSw55UGhMhkWHb/hk+WxJMqczfsz2f3Bai53YN7+kkFYpo9MlhEs +lwZIbvNb8K7tZqGue8g5qvPeCkqr8wzXWX+STCCM4DiSJqvriE6X0mT6GMEns92RMrZ05HgWMbMj +vj3zzxneSzqrfUZi/5v1vtGXbC1fiVciQ9aHjdjEiO1FXx7Tn9O3Z1hk97N6Zlhl9/fy7ZmcGtlh +AzpMBre6cd4DnqmrXlsmJlthFvJqVxu6xD5n++cl7gDLlyoAqg/copx63+KQvrPZ4D0ad6boc993 +xzpHyrP7e8q7dmCwpwy3nhcwzggB7g+OuG8drpupX9aOXefeod1MxV9xRVync+092gR97/Ubivv2 +xQkwqSZ1fT5dq04siiOWGrnm78E1rc/dlWsurELFQVyPn6uN5DM6pyfukMEGP4ofhMU/r5uPfPwC +nJ2Tew2buVGDz2ZbsvvnawIsaS8ERhz3SJq95GE+p0dgRJ8jaU4vKUvYC4HMd2f395KD+ZwPgUyn +bOOn18WE71poLfhjnQC36ILINf0Z8NFzcM1sMl1lyBKd6xx3H/kght2BAph+MH7dZY9lC0M5l/30 +hsASiAARIAJEgAgQASJABC4bAR88gTvqKV2290evWzghOKiSY+OabejKLjUcDPHOJtYXBnunn3EH +EHHZwoFy0o77MS6wxs/IdZz7noUX7AI0MyECRIAIEAEiQASIABG4WgTAK5W4B9Lei1/3TbTOR+M7 +hjZX3mb7nfvOxbVfdKiMg+DkfW7TTgtutvS0GVxmQASIABEgAkSACBABInAzCESu2U78OrGPvNQJ +9ikAODZyJIbrLDx7nhn3CNLc9D9jwE5hNsyTCBABIkAEiAARIAKvB4Glid+Tc81bO1Xm9ZgNa0oE +iAARIAJEgAgQASJABHZF4Lwz7ruKzsyIABEgAkSACBABIkAEiMClIHDEcZDtBtWLOQ7yUrCkHESA +CBABIkAEiAARIAJE4GQIdE6VcYKevYBJ78t/kj2e559xnzsrc6lCJ49JOpkGmTERIAJEgAgQASJA +BIjAORF4Ma4ZTpXx+jq/jTPsc5tPne9exOZUvFrWX74Uz9WsFQvH9+gZnHZD/+75OtpzWg7LIgJE +gAgQASJABIgAETgLAjgK0iau61HkkUA7FwW3PDXXzM5qbwG5uFAZANSCh6N6cDC+f7pvsSJpP4ux +sxAiQASIABEgAkSACFwrAj45HLkmiLweC/kCXLOdNR8JlbmYN6cCOAyCPsk/fYuq/MWoB3+VvNt1 +YIu0b43Q+71jz8K8BqPzgcxcLNNkdeIaKkQZUwRcp3MvFaPOUwivLkGm0+z+1VWYAk9Xljt4RHLB +FwzehsHQt7+8Hv3FS5Ckfemnz6x7tAfSfGcz8/4y0GNnx1+wxqePcQeYby3YqAUIuIHAx1n3FwTj +RYqOsU9RAL8+F6f1IsKy0NUIuGOPRK3Vd9S5O5/VBfLBF0cgErSldtzOwry44BRgNQIjOp/zAasL +5YMvikDUeSSILlRs33P9/YtW4EYKB7b38p8nYeCRa2IiWCeDF057ORUEvVNjWm534P/zEcTpifsc +ORkF6hSz7RgsYNlkbtOrlpkMKLI8luqX1ekgrAhGNwpYSKcy2qc3OPJ6bsUiEy0bnGVYej22DPDS +Mkznc1iMYJXpfJSYbxms7WG7GVapvhMscTsrYyveWkbSjrP7I3IOYWHtt9Vr7PCzfDLb0iXNGZ9W +/cCSzzNfsbaNVX2hrjPhjRne2f0RjDK7cp0u1XMPf+Oy9nQe6+GrziN1i2my9rGHPkZkyvAeur9g +M7vIMOrbN8iR+ey9Bmu79KULfiDDe8iuAkHu8ZbMdjMZRu6v8a0ZLxspV9ME/9fmOfLbQ2UWON+Z +iLtJEDuQWL+BXbTDmI0kzBrZufNYQ8pHZNROykjDXHq9vzQSDZuGR8tsOxg31qWB0ggGWV2W5Euf +DYOcNfW8pGfSuibCbn0+s7vRTuzUcuwl5x66z9rIYhkb22iGwx718zwynWb3M1my52OHvtUfLfrU +Ad+b1SW7n9U1e/6ces98M+6P9AFtPpUI2Uke3TwG2sceWI7gvQXzEb+Z1SO7P1KHpTyiPpYOFdlD +jq6sHa75FCcwrV2O1HNLmrmZ9ng9n1ifleBMxN20FGc5cMnxdGWvGSWtARfLKEufkZNssjyy/N1w +e44GcY9ZDPxIvYH3kjOM8V5z+WV5ZHKMlJFhuXYWMMq2tYwRm8h0Hu+3eomD17l9DxnW3vlleGX3 +M6wyOTKdZ/dH63FqOUbkzHTueu3pdM4Gsnq197M2mukb+e2h8+z0rwzP7P4ILlk9RsrI8hjVue/R +att9JC1ryOpI+xjxVyNYZJhntjVyfy0GjkNqdzv0gykOIUHWrtfuaxjRV2a72f2Rei7p9Fx2Nytn +yzVt0NbjmlldV98Px0E6OW9n2pF375riZw/17ptMZyLuVpp3XjEUxMHxI3pWg3VlD8YOvSf6Fmd2 +ZVC8CnGjPud0m9nEqwDqxiqZ6XTELm4MkpuvDnV+8yqeVHCkDWc28boQO31tI9dsF9PPyTVb8h1/ +O6mPaOi4Y4Gxn424Q7hP8h/IEuP5PDpjbhb+9Kp92RIyYp7df1npWfoaBEZ0OpJmTdl85mUQGNHn +SJqXkZ6lrkEg02d2f02ZfOZlERjR6Uial63FdZeuRNhAdq7pHFiDLCzSwiM7rjg69jwz7liewdE7 +GOl8Z7YBDCdLiVjOsqMgr9t8KD0RIAJEgAgQASJABIjAuRAAZ9fjxhuuiWPIYzihThZfANfMJ9Zn +kTPi/v7u/unp53/+8m9//uU//9Pd3S+/njyARD/+67/e4X/4yNe7r378S0n35e7us1x4M6CZ/ypp +Yzo8B+L+xsrS75LmK8vrn+X6kcOKX/7zl7sv//qXJuXd3V+KoL/8SuS8+0fJ69sBIZnk+hD4QXT8 +b+9+/CK6Fv0/wnZHbfL6KkuJFQHo/Ou7r1XncMo/yn+kfY/4ISJ4fQigPYvO/1n6hB9//a93//yj +9BO/hM7lQ79+ffoclfiztPN//q3pXFStvOQH6nwUvytMB3/+Rblm+fzrw1/e/fLXwkn/62C/jv6g +5Zr/Va59lue9f9iJa/5r5Zr/KlzzTvzTvxXbFGelrsn6pKoDsVv9RB6Ka/473P+l2TjsX7jN3Rfh +sODl9zLYsEWDcWoM5/mbDZbgMUcxi9jR+vfvN5QxeVS9PT9EgAgQASJABIgAESACrwGBs3PNOVAj +MW/TOFE37h5+jqhonLjP5SaEWzl3Nu3fxnfNpfd0WX5RHnnmM3j63DNvyqimjhOOyXsERaZ5MQQ+ +64hV/vvmN3cyQBUdfy4T7tTxi+nk1AUXncPxiJJ/kMb/aO2bOj819C+Sf5mC+fbuQVTu81OP8u2z +mcCLCMVCT44A2vmjePM3b6zn1vb9LX37yZF/gQLA4bJij2nvkW/uyDU/I98lrlnr0JlJx6Uf4sy7 +fdc/lv5b+WErjHfGW3uwbCfuyPU3waNm4J8ijuEHqWmi9d+IjNa/i4Tt1l5ceRAnwd0jqfouLMEb +H9RCpb+F/b+5ewN7kL/83CYCqvPfisJN56LxUlFGw92kwlXfrt/fiNLNTavWqfOb1DkqBb0/iH6/ +fShH/D1KO6/+/mZr/Uor5hyuR8G8/au/P6ZfP0HM7B9zrjmuQWXyq5zYPsR9XFI0x6NSjyXGUKxl +7igH156v/0ZmZQ8/RZ7POmXPz9UhoB13M6Qmab86NR4lcCBrbzDg/pYD7qPwu7bEPXJOwn5tWjxe +3omOC3nnQO14GK/jCeFhjx0OFinc0dTx6AdyqJDl4wjZbmfW5fcPMOgkxl3T5HEz24k72tOoEx2p +bw5dSbEhrxJM4Z8TKHe0Dky3HYENdrC9cObwIghA52y2LwL9ixTa29e1sQ94kXqw0OMQ6Pl2+vvj +MHytqUftZCTdSJoDnJdCZebI+yiRPvrclhkr8CB3DQBa+FhoahbWop1yNgGuedlMK+JcDz6eQclM +B3Pflmv9kBjO2r3WNs56EwEiQASIABEgAheOgHO+vbjmCFeecM2MmI7gJyOBbyXTOrtuMxMeAz9A +RbfPuGshEiGOGHLw6O87peqIRW5KpIpSbYQpdtI9IH7RWHtZNVmqAfKThXJJV4h4PiwiYR8xKqYh +AkSACBABIkAEiMAFIKDhKfJPuN4D9rGlXBNpsGsx45rGMHucNVYbgwVwTTnxUKaAjWtmuDgfDTPv +cROqfo+cFaE09vtB/mJDJk7bwP7Rzmc7cddMURlEm0ohQs4fFeRAukWGB4SX4wAIEwIkPabT35pV +GdHoQKDNp1agDBTKZ48RUKYE3icCRIAIEAEiQASIABF4aQQq12xJtxxaYHuZlU/ie+SaDyDhvr9d +Emja3/xGuCY2nR5OFGv6Cdcc5ZszoTJ/FDI+2VXfEPyznioz0SIIfBmX1AFFXd7ANbmDUZMw/AeZ +Ln/8LMA4B58QdclHzv/SfABoHZyIYm4hvjUbd2T3X7rlsPzjERjR6UiY2PEl84mXRCDTaXb/JWVn +2ccjkLXz2H+N8oDjpeAT50Yga8fZ/XPLe/Xl4VCSKT9UIq6csXDNRyXy4JLGNfWmbYRVol6IfOWa +fzTy7ode7E02J9x1ZiPqWUJlXPnRAdXKFgkKKEhgEsVzMFsnZgQd6TGqgiIe/2iF+ABgbzBfyoCj +kfVkyO6/lNwsdz0CSzr1tkAHvx7fS3tyRKds55emte3yZDq1Q1K2F8QcLgIBtHP69vOoYsI1lWEW +bqm+1vY09sKsq44sA0SkIJ1yd0w4G0P1mPasDS/Wtj1VBgMJfyDOxjcz80gz4Bt2CpU5rIESbkig +Mej6n7KZdImUKPBhBj7WU54to6nt0+0W/bR4bnt2rnt2f8iCfWQVMYlhTzYg1LxWzcy45SGDmWFc +utnDdTYwDJyrNMpY2kyi97fImKOd6Xz0fpFyBRbuNOKj8dQnXYWyD9S2St+h/Sxu3kk8Q6aPFO4B +mxm2uwW7SOTIdFrQWn5/Q3Y/hSLqFN99EgLffbN+zw8MZzyo80ynqT4GBMrKcN+e+oIV7as2neLz +ltpoptMRu5lFo23n+A2dt5vgdtP5Fr850E4ztb923w4fDt/tLtW73J7OPY339/m2vEP098A73T+Y +KD1r59n9ET+Q2V1t7wImZtONa2oYTOSaTT6FUpkCsO8yfLRJGmnfi2s+Zz+q7HMdBzkH8CTsBQHu +q5lIKUGcPZSDJY+t5H2EeGVpsvuDdmd1C6lHdjkPZz7QAWa7s0FSEc605ZOVkTmS7PkB2QoS83hk ++szuD4hQkkTOvKuuXQLoK5MmsYtMH1n2ajNJorSMgTySIorOlm0302t2P4UiqEW/9nQ+MMOyXM4A +VlkbSvUxUNOsjBFfkuaRIZH7vEyn2f1FCeJqsic8WTtPDCfFcsBuMrVnZQzd39a/ZPrK/ED2fAbB +pGtR3jP0xPgx2jG7LO8hvAflm0u2uYwdOEWUzblmnXEPN3vtMSoozAPX1rQL15w7DhKytafKBEdR +Y9yXdbTfjPtkJh3xRM8ONALyLM7czLk5k9476w1QjLDKqOkVfI5xBCeDI+8MtxV96vwh3TnKWEAh +Otye8/VrMGvZvf6yn3NgdY4yLkTvS6uMmV2czRBeiT7OgWeEMiVaWwQ6l862yDjy7DnqcaIywM/i +XKLruzdY26UvP1E9RtS0a5p96tHbVKrRHr5pteGRB3PsmJTsjRsreZdKrwpdXXGqDAh9PSLyLKfK +mEa1ghYWYwOLOsPkk+6zKwYBHaRpebk/FzYU7GpH587MG/ucY8/un1telrcdgawTRwkjabZLwhzO +iUCm0+z+OWVlWdsRyHx3dn+7BMzh3AhkgQBs4/tpxLkhuKZPEM9xRpR6QMyNa9ZQ1YZsRq7p4dlI +ss9YYxcc9ptxd9IhI51aPx91fm8z5FJ5vas4GcEPBq9xRbiM5wzsR599jCNYKKtuUL0wRI9RSy8O +Lj7Pxn4MmkxLBIgAEbgMBDLfnfn+y6gFpTgGgUznx+TFtB0EAsEG1vEoSF/5MN4IeqiBkpgwxsdW +O/QaJtkxK+/HlIck+jVwTczca3RHDcNBDnFz2pyiRo6D9Hh2/JV/kxcw4djI+Ri7/Yi7jH56L1Uq +mEnlFbFySgxQmCxxGIf3MzX1OElJ//h5Pmbg8Xu5J298qsf/XKOhnyT28RqBoMxEgAgQgVeEAH3/ +K1I2q7orAjIRHEOxY97gheWs9ucQmEdJH080rORdzm/XVyrNnOHu+VaueVQl2lNlLAxGBwAzp8r4 +IwN7nrYT93ZmfKZyU6DDEoen9/h1jxUYGb3WZ5ph0lEAM/FVI8AO8KrVt0p46nwVbFf70Jy+aQdX +q9IhwXv6pc6HoLvNROH0mIUK2js8NUX53sS4rOWaGi+PDE9phOc6VQaY6LLfQADQ5t3IHW3VPEeP +27lNk2atiAARIAJEgAgQASJwswhkHLLQ9Xyv2FA+DYpHcc3kVBkl/zFUBmUhXEZ47MCk9fYZd5Sn +BWW7M5AkSPSmcyrM5D7yHDg5xp9B1ounSkmCHwbyu1mLv9GKQe+/RVyVKB/fMX4bMMUbReN1VEv1 +LDqXt+KprusL2l5H9V9dLb3b8O1MbOevwwQQQvtb/Mc6dvr229V75H5Ltfyj2EPv2MfJM4joCBf2 +5JoD89PPJcdwGVz1yWUcB+knyICv43tb6TOcKvMZ6xFaoYGhgssX1zN6ijo2v6Xli88/iGQCRFbm +7TaL262Z6PbhDd6AIQaDRu2D7aMa2O3Cc5M1U52XGQ35KgcLmN+hzm9S3VXR4sNxWtrnHz7fff7e +Zqb0JAN+bhIBady/kbcb/YBXOILYSKzyZ3yhzm9S3d1KgaR7E5/07RfKNWsl2giQSM6lQkrULU0l +7fE4yGUVr59xvzR/ycn019OYWVMiQASIABEgAkSACFwkAseeKoMBCgg9BqZG6s9yqsxFgkehiAAR +uD0E4BQ5Ur89vS7VqJ0purSZo9eljbPUVolLG/dIvZ8FexayEwJhs2k9a/4STpXZqXrMhggQASJA +BIgAESACRIAIXDcCc/HtWa3OdapMJgfvEwEiQASIABEgAkSACBCBV4HANZwq8yoUwUoSASJABIgA +ESACRIAIEIERBJpQGX0khnvF7yNvZC1lrt+cOiIz0xABIkAEiAARIAJEgAgQgVeDQAyVieEvSzPx +Ag6OzfLTZnCC0m/6e7lI3F+NIbGiRIAIEAEiQASIABEgAqdFoN2AipcrSYl/FDKuXDzcV7Ju90Ha +v88lI3HPMWIKIkAEiAARIAJEgAgQASIwiEB44RKI+dCpMpLuAUdCguDPn6BE4j6oAiYjAkSACBAB +IkAEiAARIALLCLTx6u0LmbbhR+K+DT8+TQSIABEgAkSACBABIkAEzoIAiftZYGYhRIAIEAEiQASI +ABEgArePwNybU6Xmb3z2PWxEZYz77ZsEa0gEiAARIAJEgAgQASJwiQh0XsCkMe5zp8rITX3EY9zl +L0+VuUTFUiYiQASIABEgAkSACBCB20KgPZ+9c8pMYerPhB2/v5V/n+0iN6felkmwNkSACBABIkAE +iAARIAKXjEDYpKrHQWLWvRcqg8l2nDyDE2Xy+tQY91/+8i9/zpNfSwodsvDzahCAvvsvKng1ELCi +RIAIEAEiQASIwAshEHlnDJXBd4TCCDGPZ7gjFCaGzkxCZTpV+OXXlaM7cceFnzXTP+I/h8T32zcP +lRw9SJJvv7dhwaVwJpHjUQSDmIXCvZGBi1zUFYr58zBfSMMsdjcEvr17hA3+VhQvo9nP0Pml2ORu +dWRGBwi4zj+LH3J3xbHbbRpK7Y7e3KEf0p+fOTlzm8oOtYKKxa0XnWtvzs8tI6D9tnA442/4U1q7 +cdJL6NfNCME1IVaRLHJmkONWSeHCH+X7b+0+ZtjrJ3z/3r6b/Wv6Z16u5J2nytxyQ2DdiAARIAJE +gAgQASJABM6PAEJjnKj3Sm/v47fG0yw9ROJ+fkWyRCJABIgAESACRIAIEIEbRCCsDR1D2oGEzq7L +f5I4d86436DZsEpEgAgQASJABIgAESACZ0ZAZ82bSXOfRF+eSB8WlMR9GComJAJEgAgQASJABIgA +ESACMwj8NsS8t4Q9RsH0SDzuPzJUhrZFBIgAESACRIAIEAEiQATOi0BLzuPvHj9nqMx59cPSiAAR +IAJEgAgQASJABIjAKRFgqMwp0WXeRIAIEAEiQASIABEgAq8Pgd6pMT7rrrPr8q+dhWeozOuzE9aY +CBABIkAEiAARIAJE4CUQWDhVhqEyL6EQlkkEiAARIAJEgAgQASJABDoILJ0qsxNgDJXZCUhmQwSI +ABEgAkSACBABIvCKEeCpMq9Y+aw6ESACRIAIEAEiQASIABEICHDGneZABIgAESACRIAIEAEiQAT2 +RIDHQe6JJvMiAkSACBABIkAEiAARIAInQiA7VaYtli9gOpEimC0RIAJEgAgQASJABIgAEdiCQP6S +1G7uDJXZAjqfJQJEgAgQASJABIgAESACigCPg6QhEAEiQASIABEgAkSACBCBK0DgzTN5z0Jl+AKm +K9AnRSQCRIAIEAEiQASIABEgAu3m1UFEGCozCBSTEQEiQASIABEgAkSACBCBIQR4qswQTExEBIgA +ESACRIAIEAEiQARuEgHOuN+kWlkpIkAEiAARIAJEgAgQgRdDIItxbwXjcZAvpioWTASIABEgAkSA +CBABIvDaEPijnSqDMBkPlXECH0NnekdB4v4f5T+Py6Bxxv21GRXrSwSIABEgAkSACBABIrA/Ar0N +pys3oc4JR+K+v9qYIxEgAkSACBABIkAEiMCrQ4DHQb46lbPCRIAIEAEiQASIABEgAleOAE+VuXIF +UnwiQASIABEgAkSACBABIrABAYbKbACPjxIBIkAEiAARIAJEgAgQgQMEeKoMjYIIEAEiQASIABEg +AkSACFwoAiDr+PROlclExjP/33wnK2fcMyB5nwgQASJABIgAESACRIAIZAj81o6DjOmci2fHQeKZ +B/nH4yAzlHmfCBABIkAEiAARIAJEgAhsRYCnymxFkM8TASJABIgAESACRIAIEIHzIrB0qgwk6d1n +qMx5dcTSiAARIAJEgAgQASJABIjAIgK9N6fiAYbK0HCIABEgAkSACBABIkAEiMCZEchOlendf5xj +9M+yc3PqmfXI4ogAESACRIAIEAEiQARuHIHsgBiGyty4AbB6RIAIEAEiQASIABEgAi+PAA6PwT7U +9vNHO1Wmd4LM7qfKvHn4+U4Fkf80R9Hg8g/4z2+eJfxBE1/YR2T/LAFCLqZWRwT//u4H+fbthQlL +cfZBQHT75j/cwRwfO7a7TxnM5dIQeANdf364e/Pm4e777y/QF10aYLcgz+Nn6Yce7h61M+LnNSDw +RrgI1P3mB2nnl8g5XoMSzlhHMLjPDw8a5u2fi2zvHa6pJF58VCXzoJ3KPUFEhX/G3+Cjb+SC3a6V +BU2t/BtpBIkOL2eozBmNkkURASJABIgAESACRIAI3DICzsjBxPE9Th7HSWT/bul/8PTL2JC437Lt +sG5EgAgQASJABIgAESACN4MAifvNqJIVIQJEgAgQASJABIgAEbgsBEZDtdvYmX4tSNwvS7uUhggQ +ASJABIgAESACROBqEWhCYFCPGgXjYTN+AX/lnz5C4n61KqfgRIAIEAEiQASIABEgAteIQIxxN0Ku +lxpC/639/sE3qkYyP0/iOeN+jTZBmYkAESACRIAIEAEiQAQuEIEYGhM3pjaEHoQ9nnj4rd9fPgmR +xP0CVU6RiAARIAJEgAgQASJABK4ZgTBrXkNlZuLdMfuuRD7/kLjnGDEFESACRIAIEAEiQASIABEY +QKBzHKQe9Tg3Ey+36ux7Tt5J3AdUwCREgAgQASJABIgAESACROB4BGJs+9IJMzlpR9kk7sdrgE8Q +ASJABIgAESACRIAIEIEOAitPlWGoDK2JCBABIkAEiAARIAJEgAicE4HsVBnI0mxAnYTKLL9BlTPu +59QlyyICRIAIEAEiQASIABF4vQjg9JjK7cP3QURI3AeBYjIiQASIABEgAkSACBABIrCMQBsqYy9Y +8ll13ahqs+763WbfeRwkDYsIEAEiQASIABEgAkSACJwTgRWnyoC8VxK/LCtn3M+pS5ZFBIgAESAC +RIAIEAEiQAQmCCzHtcekJO40HSJABIgAESACRIAIEAEisAsCS6EyKMDPdPcNqh5KM1Y4ifsYTkxF +BIgAESACRIAIEAEiQAQSBAIh15S+AXXuBUzxvj87f6Y7iTsNkAgQASJABIgAESACRIAI7I5Aj4CH +a+MRMlUyEvfdlcQMiQARIAJEgAgQASJABF4nAkmozLdyX7l7PAoS4TJO6Jsz3hsQSdxfp1Wx1kSA +CBABIkAEiAARIAInR6AJnZlMwvuUex4i42KSuJ9cYSyACBABIkAEiAARIAJE4HUg0B4HGWbYdWOq +zbbX7/iNNPNx7RE3EvfXYUWsJREgAkSACBABIkAEiMDJEWhDZQovr+ExvVNljLuPiEbiPoIS0xAB +IkAEiAARIAJEgAgQgVMgcMQmVRL3UyiAeRIBIkAEiAARIAJEgAi8QgRiqAyqP3Mc5MEmVWfvyyye +xP0VmhSrTASIABEgAkSACBABInAKBJpQGRB05e9G6JWwx5h2fwETT5U5hTaYJxEgAkSACBABIkAE +iAARmEGgOc6xPUXGj4KMG1VB5J8D4ReR5Yw7DY8IEAEiQASIABEgAkSACOyGQDzesX2Taq+Q5bPb +4xMk7rspiRkRASJABIgAESACRIAIEIGIQO8ISL/m6cZ3p5K407qIABEgAkSACBABIkAEiMAuCHSO +g6z5ti9a8hAZSdBy+RlZSNx3URIzIQJEgAgQASJABIgAESACnVNlFJRk06rGuedvUCVxp4URASJA +BIgAESACRIAIEIHdEFiadTeCPvai1AOJSNx3UxIzIgJEgAgQASJABIgAEXjdCAweB1lepVpm4pXL +8zjI1203rD0RIAJEgAgQASJABIjAmRGIoTJ4+VJzqkz3OEgj8JXMz4vMGfczq5PFEQEiQASIABEg +AkSACLwWBHqnyrR156kyr8UaWE8iQASIABEgAkSACBCBi0Fg5FSZuBHV0vNUmYvRIAUhAkSACBAB +IkAEiAAReBUILL1wKcysf2tMfRI6k+9YZajMqzAiVpIIEAEiQASIABEgAkTg9AjMzbj7dT9Vpj36 +sYmNnxGUxP30GmQJRIAIEAEiQASIABEgAq8SgebUGMy01zPbjazrNZ4q8yrNg5UmAkSACBABIkAE +iAAReCkEfCY9lF8jYEDQcT2miSfPMFTmpbTGcokAESACRIAIEAEiQAReJQIxDGYp5v14cGqozOfP +jz//5rd3d9//8c3d3efPBzl9e/co/3tz9xu78618r+kOkx8vyQ5PPHwWmR4f7+7eFCnfyPc/fivX +8LkQGXeoJrOYIPDt3cO30Pnd3YPY7ucHUTR0TX3ftJ18lnb97ZvH+gJptvGbVrdW7uEB7yh5vIOf +/+wNnO38phX/+T/c3f1W2vkfYx9Ond+uzqVtg7e9eWO8De1dfP1n56QXovtnrinyijYeRWb1S5BT +bLa8Tck/c0fFzKR5kOvfl2ed27S8/CZj3FFnH2DcroWzZs8IoDV7QycuRIAIEAEicAsIoC+X+UR+ +iAARCAjcJHGnhokAESACRIAIEAEiQASIwEUigGWklaNSEveL1CiFIgJEgAgQASJABIgAEbhJBFaS +dmBB4n6TFsFKEQEiQASIABEgAkSACFwdAoj1tjj3nuwk7lenUQpMBIgAESACRIAIEAEi8BoRIHF/ +jVpnnYkAESACRIAIEAEiQAQuD4GF2XYIS+J+eSqjRESACBABIkAEiAARIAJE4AABEncaBREgAkSA +CBABIkAEiAARuAIESNyvQEkUkQgQASJABIgAESACRIAIkLjTBogAESACRIAIEAEiQASIwBUgQOJ+ +BUqiiESACBABIkAEiAARIAKvAAEeB/kKlMwqEgEiQASIABEgAkSACFw/AjxV5vp1yBoQASJABIgA +ESACRIAIEAGGytAGiAARIAJEgAgQASJABIjAFSBA4n4FSqKIRIAIEAEiQASIABEgAkSAxJ02QASI +ABEgAkSACBABIkAELgEBbk69BC1QBiJABIgAESACRIAIEAEisA0Bzrhvw49PEwEiQASIABEgAkSA +CBCBfRDgqTL74MhciAARIAJEgAgQASJABIjASyLAGfeXRJ9lEwEiQASIABEgAkSACBCBQQRI3AeB +YjIiQASIABEgAkSACBABIvCSCJC4vyT6LJsIEAEiQASIABEgAkSACAwiQOI+CBSTEQEiQASIABEg +AkSACBCBkyLA4yBPCi8zJwJEgAgQASJABIgAESACgsAb/b9+Pn8uf9/YhfAb3Bz3ywEyb+5+gyR2 +/3ueKkNLIgJEgAgQASJABIgAESACJ0ZACPhv5H/4Pz6Fg+uVSuh/Wy7pBf2uv+2Bu0L2lbz/8fmZ +KDVDZU6sQ2ZPBIgAESACRIAIEAEicPsIKP12Di5k3L8qg5ffTtTfyIXP8tsn5/EYfuvnjzZTPwMX +ifvt2xFrSASIABEgAkSACBABInBiBJSf63+soDbsJSQopP05gQTM2Hy7PVun46dCk7ifWInMnggQ +ASJABIgAESACROD2Eagz7g1h/14I+m++9xAaEHRJIP9/E6boP2fB7QYfifvt2xFrSASIABEgAkSA +CBABInBiBCYz7oG815AZm2XXvahyEUExCJvRD0JlBsg7ifuJlcjsiQARIAJEgAgQASJABF4zAoW6 +/1GI+W8jixfODgIPIq9kfgAiEvcBkJiECBABIkAEiAARIAJEgAikCEyn1yfJf6vB79Op+Hp6ZLy+ +UAiJe6oBJiACRIAIEAEiQASIABEgAssILHD28mAnQQmXOXrG/f3Pd3/x4ee/+Bd58i+Q8zcHkn3z +TTjWRu7+6U9IfJjuJZX6F1KBb0Qsx+VfJF7oL/7XD5BW/r1/SdFY9skQ+HD3/Z/e3/2Povi/gO1+ +uCybPFm1X3nGfyH+5xtRdVE3df4azAHt+0/ezqnz16By7bpV5+jCqfOb1/n7u3+5+5ffPHO1Dx8u +U/fONV0hyjV/C675zd33//IvlW1+ABnFZTBQq9af5Pf791JPSVV6rpLAn/rmX4TT/AXugNvIX3yt +vFy4+t3/644z7jffFFhBIkAEiAARIAJEgAgQgXMhoHTcSLt/Ve7+/J8gynETyyTu59IiyyECRIAI +EAEiQASIABG4YQSeSXjk6B9A4rv8fHoxcP1ZjEjcb9h8WDUiQASIABEgAkSACBCBcyFQGDro+Af9 +TynXQ2XKr8jinaoXAh8eIXE/l8pYDhEgAkSACBABIkAEiMDrRqCdcX8m73GWnTPur9tKWHsiQASI +ABEgAkSACBCBm0WAoTI3q1pWjAgQASJABIgAESACROAlEIgbVOtsu0bJMFTmJfTBMokAESACRIAI +EAEiQASIQECghL60/BybU5W8H5wqw1AZmg8RIAJEgAgQASJABIgAEbhJBBgqc5NqZaWIABEgAkSA +CBABIkAEzosAT5U5L94sjQgQASJABIgAESACRIAIXCgCnHG/UMVQLCJABIgAESACRIAIEIHrRIDH +Qe6qt9lXWB1Ryh55HFEckxIBIkAEiAARIAJEgAhcOQItfzyOT77SGffue2ePNIQ98jiySCYnAkSA +CBABIkAEiAARuHgE5o+DjKL7m1PLNb45dU6tzrmneB1nBHvkcVyJTE0EiAARIAJEgAgQASJwsQg8 +HweJMyH9/PYLOw7yuCn+02GdyWH3BdP3f5D/yM8P5T/yyZ51qU0LyAPamORxupox5xyB6RhsVJ95 +vkxxiQgU/T7PZlDfl6ilfWWCt35fjkDWj7d4rnzui/Ml5YYOFp1tlIlt/ZI0tK8sxq9mVXwJuh/x +O9NTZUDY8akvYKr+q539Lb9PPuNeuGt0pvuq8ZjcIEd06fUX2r00fsWuHn5v35sH5rqAZ4JgwLoG +WoRDBuxOjtHe+rRqf+bbtblckE2urxWfXEJA27rr3Hb/sL3dts14P1Ndrvlg6v129T7RefXxl8E3 +bhf1l6sZ9O19+LMUpcVfSr8eeeYIUiMkfCSfNs3qGPc4ergU51n68Kk02sz/ILM1dUo23ndYizNo +Hq1YeaqaRRj4Yeb9g66BPL8Q61LwWGMQ1/iMak///zzvTh1coybHZfa2Xt4cfaw7HS+HKS8Hgapz +tvPLUcqJJam+3fpY7eJPXCazfzkEDnTrvMpEuhTd97jmHGoHoTKasOWhz09Powf6ua4i7mVUVAq+ +KCCtjpCvcmufkZOGr6tuQWCLdqmrrl6nHlQ1rEYZfkhhvwvxL7O//JwPgQncF2aT50PhdZU01Tma +IxvdrVtA286p8VvXeMMt6NtvXuHepp2/VX55Qbq/FL+zirgD0EuINmotuR2pTH5LXHsPdJ0xx7Sd +TauD3McBSY1o8niMXvNBzPzvQO1l5g9hOc0o8eZb3IVU8BJt8kKguVkxqPObVe1Bxajr16Nrr+lI +RPHrQ+V2azzH4S6FMB9rl89h1mHS2FaJn4emUys3Krqo5FXEHRn/DjPYI3P657QxnVF/JugFNJNz +iXgbUr+rm1Wftz5V8W3mvsf+HWjwf8/j0qA5pxpesizi/pLov0zZ1PnL4P4SpVLXL4H6y5dJvb+8 +Ds4iQYfDIRT5MrlmnOKN6JRhRsvPL+NUGZHq0sCso7IwPIOMRc6Fpj9cl2X38VzOpY0Pz9LkWAgR +IAJEgAgQASJABFYhoHuWfg6PWtzMNXDNVRVe+dCqGfdpWZeygOly9OQBM1/m7mm0vpP/We7uZJ2k +faUt8jEiQASIABEgAkSACBgCkXBdAtccCd4qcmokRoh7uZjjIAuyl0JUMzmy+yMtZY88RsphGiJA +BIgAESACRIAIEIHL4ZrHcUCPsIb8NVTmgDdP8xwJC9thxp1GRQSIABEgAkSACBABIkAEiMCpESBx +PzXCzP8sCLRHe56lUBbycgjYyU3Z9pWXE5Al744Adb47pNeQIX37NWiJMvYQiP3ThYXKUGFE4AIQ +QKduWxkuQBqKcGIE6iFP1PmJkb6w7NnOL0whZxCHOj8DyCzi1AjUCH390obcHBeCwxn3U2uL+Z8Y +AWkFdgToSGzYiYVh9mdEoN38c8aiWdQLIAB9j5xx/AKischTIRBXWU5VBvMlArsiMH8cpDqwA47O +GPdd4WdmRIAIEAEicDkIcHB+Obo4lyTU+bmQZjn7IJCcKtMe8F6PKi8EfmRyYpcZ94NZ/1B7P3+z +l6bG/3RiFz392OE7WC8/bqmhp6CReuyjWOZyEQiY3c3Jcmlnx14EZrcgREfvjJW/BcUO1KGnezLD +AeCuM0nbp7OdX6ceXeqcoz2vwMeaut4nvNLafbyWsUi8DOqYkxS7p8rEi5WqP0s74o42E3etdHWG +72Xs8KGsBsh/fueHWAb27e81RTofaNSXmsZ4Vc+zgvs8DnHo8JZUBXLPONfo2K1INvbrbuxz0rud +4u/vLFG5ZnYl30neb0/37/9gXghv5FP3FXzLiNe8PUheVY28o3ats43fpvqlmd/d4T+1nRfKpb6e +7fzqlK480fQJTSrX1DDZD0Wn+A94O743nDRyTa24c8bAX3WPnD5nniFMfTsnuJSXbG4m7n42ZSTR +wO9nrX5pHQD4gyCigP7BlgIUZKPgjrT+Ls+8l4TFwYpSjDn/AYQKV8oFU1BpmHt8YjZ/EAMpb129 +wNft7lHZV53Hs92p3cKmfuEjRBD3Quj2sapXDfTlVV4dc2nT1d38oXQApVdne788pe0okZI46V3g +32tfctws2o7SMKsTIaAn0Rh/qO1cfkPvf1BGshttOFENmG2LgHJN/IsTtnIBzPK9kTfXq/JxHbmJ +rp1rqo9Xo6jOX5/F/+Ty76xfQP9fykB3YBPRlXEe5yvipO+FnSpThiloJM+NwUZAhpEhVag2HOfS +ekfVVgDIGZTqoVAqDAQA9L4fG4ZV+UoDLzWbVGbfYpnbyyBg6q4bRmyQ9ovfeeN+GbFY6gkRgDO2 +mZk6NCvTLPy8IgRqz7F3F/KKMLzkqtZJuDC9Dgr2POVHxV+y/vqylUG3T4SrjmWiRVVc/bffLTPy +Ok2TTuw2XLN2/2V2B2Uq19zYR0xZZJvZcZlvnnF3gL3YEh1jDaRwbEP1uaE4tMuGEyqiw6fn1D6X +/zyaOa7S8+XO5bNX/kc2lTGgjsyUyd2YfNlLl9t04FkGlruPByPkmU6z+1TfdgSqKzoT2Fkx2f3t +NX7dOUzcdzNIkxXgOx+o74VS7Qz3ypD5rEKgiUd2GnEyyj7SjkfSrKrs63jIm5bRzBLyUpn8DAaz +mMfc7NmGdpb5dqMElctmWD8/gxUC567z4fFTfjlin7sR94OqLJS+LFghUYuT8uZ704FUhu9L3o+6 +6gEysdCXFPS2yy6jdltWQ4gMOvH5FrYNjGz8R51vw/fYpxXvQORGPOaqMsx5L+XPDv1YZIfToy8B +P8cHs3Ntd30KtWthczrNfP9wzZhwGQFv263jPYHGR307VbY/AhvUOcc1PctJZM0KyZ8nl4Pj6ZLl +ZwMa6QpOR9xb4TyGVDcTNJOaPiqBg8VNQ6tO2Nv9MuDx5w3ayt6zljOCelXXSOJtaTJjy+5vK51P +BwR0Kc3I+3vp4Z9DKXaGKdNpdn9ncV5zdr6pvvArDNY0AG//xZYRnY6kec3K2lp34Gsx7bqHwSd+ +2n5oazn+fKbP7P5ecrzifAohK4r2UAnlHeLrdYvc3jrI8svuv2Jdbat6h/eZ3otf7wPvhF1tpDEH +p6PKNYP9jE/olRx8Xqi6hZpxW+NpHUZMxYj7LzDl+LMuC8y9frLBpy5PIIao3psHqk5saQfpeJYH +lavjXzPN7nc9sikS/tII/ekChDrkNg8VaZTUY+QQo3IW8NhmbXx6NwQK6SqNbP3GwrLxBf8pG9ew +KaUeNbObrMxoLwRKMy9BcyOOri3XF1V8Jra4Pvgyc1B7Ccp8dkMAblyOLJDIluN0VDYil7btp1J4 +XxL7lN0EZUb7IaBqK/3wMSvsczOpOinDz8UigImzyUcnal33ZSBWPjnXrPbiPNE6itJ1TDmh/ypu +Ikwua4y7fEa4psiuG2FV4s7HqEpbxTal+iT/j/Vzz7xcuPrdf7w734w7KqQS592sd6pduq18qpCq +PxgC9SigreYo+aFj2LoJYasYfP5lEIBT/4OdMKIEYcBWX0ZSlroLAqJvdUvQubV7+crx2i7gXlYm +3rbzOMzLkpvSbEeAZH07hleVw1Fc0wb0PhiIfT76AhvoVa55IQO/8xF3AabiuWAFZaLzQyHQM6OW +Oww6MDNuo6Y/YPlrR8sanZ/fsUhm9QII9AZ+5ShT+cAIdOPaCwjGIk+KwB+g4OCUT1oYM78YBOLA +/GKEoiBEgAjsjsAc14zczhfVZ2fALTF4wnMkRz7xvL0yUrAdeT6X13bibrPUvpKASs6OcG2GqydM +WY4QAu5ESaa+yrGRcgfI2jIDkiGUof7ec4ZM5Svl4cx4/ZC4bbfDC82hdOSma2+9ugIX7PBCZadY +GxCwIGcfuHkc7G4rdxtE46OnRWAy836OPvi01WHuCwjUcDjdOGcfpRThhT1E8OIRqBTM+uhhrtmZ +eKtNfsI1LTQFE8YJ1zwPWMZ5F7jnauJeMdGhzXPM4Rqeq2S9WaMux68azHZ/MooKt3Yn16G88yiK +pbwUArUjdwE4E/tSqjhbubqiF0mbD/7XOK+zSc2CHIGtC2G1v0GG1PlNGpbreNLOlVOYyqn3q9H7 +Adc0yVMVdhLo3rWm3etkbegPPIKjzsQfPnJi7PKdN6uJe5R8lxiyFuTmt5eRKmsnSHep006yMJvT +IkBdnxbfi8t9YeXv4mSlQA0CO4VFnqsjof52QGDl0gjb+Q7YX1YWm/vqXrtvuebowOAFodmFuL+g +/CyaCBgCO3XoxPMqECirb9T5VShrLyF9yZWkey9EryYfqvxqVEVBHQEfb9Yja+zGJNBeroXfWBHQ +mf7E4EncaWY3gcDmkfhNoPCKKsHZtFek7Oeqsp2/PrVT569P59dd42noTXs43cG+02ZBaWSQSuJ+ +3RZC6YkAESACRIAIEAEiQAReCQIk7q9E0awmESACRIAIEAEiQASIwHUjQOJ+3fqj9ETgthDQ42XL +p3s8ox7fKffwLgf/TB6wi3ZtciJBi1R7gK+vUTbX9TS55tjZyckmk+OumkLiuqfVbWjp39NKdlUc +lyPIGUXt4gVxQl7PwB7iV2Fs1mrrsZmul16dqsKCwCNrvj3rXTg2Ro8bjmU1z6cvStl6JM1ttTbW +hggQgStEgMT9CpVGkYnArSKgx+jKOxQQ9nfwYjU7cx9vvI/Hx/5s71z4IGe/1TfdASB7dbWeNNu+ +ElXJrLwv1d8PEV7i5teRhZ4eJv+BTPreJntBXPt7mld5ozYe9XKPfYmHkuha5vMuJ+SLN736WMGx +0tdP2EvDftcEUbps9t6pgzcCe1nPe6Segy79nRnP75t/f1deYPWMNWTQPAz/nj6G7LUdYPhDpivf +xFXKUu1M32wYXmPeyljLF+B2f+P2UOWYiAgQgVeBQJzIaScvepNMEZR2MmkGMBL3V2FJrCQRuDIE +Nr5YbfKinXa6HFCoc5WXvIG8Cwn9xcTBgrg+HwXgL+oql4pgH4S8HvuG5Zhrpo0of51hFnknL4Zz +J2+Djj+EF0tNZ/WtniZ+W3asX9wnVQYb7QvJPtz9/LMMrHQEYUrSVw0/f46pZ30qrLS0JyrUQZEN +mvSt2rr6UJYgyktTijZ+94vwGwOKMJhr662T75yBz0yR94kAETgKgaWTE7BkuLQUOcbcVxP3taug +R9WfiYkAEXhVCMCvVB645GSOcEBzSZciXA7JrRFCv7GKnW5UJfqD8EYZx0opq937WRnt0gs8Vp6J +vVH07PHSXfXlfp5It4GCYlBWS8rsuXwHhfcXpdisug46/O3bQYA6UJGneKRophneJwLnQ6Dnk49w +9ecTFCWt7QM03m9brVYT98lExdgg4bSgwlkvlXAJMp4WAeZOBC4bgW2+6rluRthGs9trUrX62iVf +4u9Z72ji2Bn6rjJDpQ/EiEx+r0qvtKi9hwcxv4POvQIbU63tVRcqzD5kpTXwMSIwgMAMh5usjL10 +GzT/a/MF/UoNEfNtDno1cdcYz2aJdEA1p0vSkUX9+aXJeToEmDMRuFgElFIthC0cCK7kvMzA1lCR +GtitDVtnS0/1qbRPwy0woT0TuyMiInQEnw8W991u5vyD3N9C2jU8xgLU2/j1Sf1r6IxcnR3VLM3G +r0ezRK5Y/HsBY+ukUleYiG1P+8/3tyB+WDRsMYTQrweKTxIBItBHYInD4YlL4JszMhx41R55LzF9 +2qfpLHMbp9d2HAt2spq40/aIABEgAqMIrKeLQgbl4RgigjIjkT71BEIn2qJWe1ovi/sOoLirHsVp +U7pJnNE0p31p7IKUJ5jojqWNhDeNpDkK55ee5TtKWCYmAkTg3Ah0p5Aiea+kPKTcMOk+TtylPN0L +xA8RIAJE4AgEYvzx8GN1I2KJYNYNiTrDXphh6/PWzL1P+FiTYYy+wNbOuY+fMuMbKHWDaJUSou7g +ND14GxmPOPuDIsspPeE/w2ooCQeHXb5JVjePLm8MPVKA5+Qay19gKLP8EewQOmpx8KvLiQ/qSKBs +8OWHCBABInCAwJoOKGZyxGw7Hhsm7pjhCvuiqDkiQASIwBAC7tOO249TQlN0EhnLk5h1t4x8IyNO +DHnmo83RgJVwFuLpaVUGI37PwlvYTXfPkOWLZ4zpex71eSN2KmSH41bebbeHQAuJaqgQRIeMc+Q9 +hspMCpmfdan9hchW+56AT0B4UWykq3LaiTuF6G7t0UqxszlNso+p9iXZRcX71OVY/TM9ESACl41A +9X291bmUlB+/pDdM3L0TvWz4KB0RIALXjEB1YTZjG4m5x20jbKbMcJeZZBwBOPnY+e1+rUx6S1qb +do7ntDsrxKw+bpcz0suZ7eVeIf0oS2XRRPIf54WIjtHpX5/VLisCfltn5GXEoUcrHjFjG4841NWG +eJIKZraDr4+x5V5exKMeLTljGF43v41z2BGvXyscdKE4OhAhJgX1dTmf6fM40S2DOju5pxmY/EJk +KUdAxhh6pPbB2vMRkNhP4B/fc9BW+/kc9zI4HPrYIHIoLRMRASJABIBARtqz+zMojhN3qoEIEAEi +cEoEjIw+h3UYCQsvR9LiPXShxkk0QvUmmJ8zVYLYDXswHgcxKuXUL9NQk8nEtt33MBQnyZq/5ldy +qpPyx+A3qUeZrm+p8OT3pI7Tgpxj91Y9Dui1Xagvs9IKhxnswHcnWGmRzwOk41ZYirxVzii+hsfY +iq+/2Sq8fEmfw4kUrhgdOSxvjo2rGMeohGmJABEgAi+NAIn7S2uA5RMBIlAR6IUk9Aigz9D2oIth +fT0iWEJdZmaD7d40LAdEMISCIJSkxnM/S+BylsljZ79238jnqKqL3EI+JZs6uR4JNUJmtBrP9Vgk +ozMzxj7QOJh4nlyYYhX1Eevqcm4ixYpTByW7Xt+m25kp95UN1d3CTPom+UYVyHREgAgQgRMhQOJ+ +ImCZLREgAkciYGRrNHphNveGpHbzWyJ24d7cs379qLyPqViow1IZx2Q5h1c2M754P8PqSBPQ5HOV +mhl8xCKyuizmv0ZWPkMEiAARODMCJO5nBpzFEQEiQASIABEgAkSACBCBNQiQuK9Bjc8QASJABIgA +ESACRIAIEIEzI0DifmbAWRwRIAJEgAgQASJABIgAEViDAIn7GtT4DBEgAkSACBABIkAEiAARODMC +uxH3chTX8wtIsMP/pT5VFhVg+Viwl5Jxc7ntyQvthq7s/ogAWR7ZfSsjvvn3oNjBPEbEZRoiQASI +ABEgAkSACNwyArsR9wlp3+lteWuBL0ep8TNBYO5ti3Mwdd8AJol9gJDdD/nOnnxxRB7UJhEgAkSA +CBABIkAEXjsCOxJ3gdJfALLHGWVbNKPMvbzBcOFl2VtKeNlnJwcm2yglEvNIiOMopkfe27zamrVE +vZdHVkbPHuZkRPnHDjJeVhssnQgQASJABIgAESACUwQmb4brgDN9y90wevsSdyn2pTm71/xmZ917 +RNsrOxd2MgcGXiTT09nscoW9zLwl3a25DRPv55ej11cmDpsuExIBIkAEiAARIAJE4IIRqOQ98J3e +BOkRYSLDxP0PfwivvJ4Ueukx5CXWfl7+S1K4YAlxRkY/To5HlD2XZuCFJgU8x6izb2GYpO+Msw46 +5mxyW1n6mvqogxGMtxXJp4kAESACRIAIEIFbQWDyimkhFLr5Mk5WRpJx3FTzMHH3factph8+LIwi +LkkBtm/2kkQ6wBIgh1eYp7JmhDK7nxYQSftC4tXl2EBgxfP6yIl0+rNk/YtYXbQpuYiBgpJ6fogA +ESACRIAIEIFXjYBPHioviNRg6BXODXRZWE1IPk7cX7V6LrTySxtFIfLIrPGxMe49KI4bLIYcbNCX +1eNC4T/VjP+FVpdiEQEiQASIABF49QjMTuAtRUtEYr6G2O9B3J8HF1cyA4kB0WkiK/Yz4mOgXL/K +MpF3c6TLSFjPfgjVnHz/8V46jaYxp4a2sXL2/QSKZZZEgAgQASJABK4AgT4HOD0p0hn3//gf/+PP +/8vvhLpojECf3bZXf1djCYqQv3v/sz4+9/zZdSBiiUgS8gDJf3H3u9+pdFfwSUYXczaR2crM/e7A +r5d2Zf6zgNf8Qn2zMmJmo/H5ixo3I/7ZbNfS/m4kdn4SS3MFZkURiQARIAJEgAgQgX0QaCml8peE +GIBnL6XR+/6RvEBiA68GV8fP/UNlDgKE98Ho2FyEi1mFj32S6YkAESACRIAIEAEiQASIwDEICPFM +54iTBAOTgv834qADiYdv31kAAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image003.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -34150,14 +35258,14 @@ YWALYj/jHDsZ8xHIJflqMSSQoO0/SsqfUlRw6kLPxO4o43dylhjeWPYN9WRyYeM8oAZsaq40b2mO VIPbog4jQ+XAE46rP5HgH9MJcp6kBVhZAAAAAElFTkSuQmCC -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image004.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg -/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf -IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/2wBDAQoLCw4NDhwQEBw7KCIoOzs7Ozs7 -Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozv/wAARCAAsACwDASIA +/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAoHBwkHBgoJCAkLCwoMDxkQDw4ODx4WFxIZJCAmJSMg +IyIoLTkwKCo2KyIjMkQyNjs9QEBAJjBGS0U+Sjk/QD3/2wBDAQsLCw8NDx0QEB09KSMpPT09PT09 +PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT3/wAARCAAsACwDASIA AhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQA AAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3 ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWm @@ -34166,16 +35274,16 @@ BhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElK U1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3 uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwDxyilx -RivR9myLiUV3vh7wnYaRpB8T+LkK2mP9FsW4e5PbI64rj9Vvk1LUZbqK1jtImPyQxD5UXsK54VY1 -KjhFXt16ehTVlc6Xw3Hv+HfiU4B2tGea42u08Nts+HXiX1LRj+dcZis8PButVXmvyQ5fCi6dOmAy -RxXYaH4fsfDOnp4k8TR72PNhp5+9M3ZmHpXR2EGl6LFPqeoWhumgUeRAFzvb3FZj6dqGu37axrXz -Tv8A6qD+GFewxXZiY1q1X6tSVl9qXl2RjCpBQ9pL5I5LXdR1jxLfG81GRj/zziHCxr2AFWdEh8Pw -27JrWnX00275XgYBQPxrrP7EX+7Sf2Iv9yuv+z4qkqUG4ry0Zj9bTd2MVdEbwHrkeh2V1ECyCRrh -gcntivO/7Om/u16nJp6W/g/Uoz8oknj6VR/sRMD5O3pXJgcPyVq0L3s1vvsjSpXXJF97nW/ZR6Uv -2UelXwopMDFep7RnicxR+yj0o+yj0q/gZo2il7RhzGJryeT4YnGOGuIxVoWvyrx2FW7y0ivrM2sw -JjLhyAccjpU2xcDjtXHh1KFarJ/aa/JHRUqJ0oLtf8z/2c== +RivQ9mybiUV3vh7wnZaRpB8ReLkK2mP9Gsm4e4PbjriuP1W+TUtRluIraO1iY/JDGPlQdhXPCqql +Rwir269PQbVlc6Xw3Hv+HfiU4HymM81xtdp4bbZ8OvEvqWjH864zFZ4eF6tVea/JDlsi6dOlAyRx +XYaH4fs/DOnp4g8TR72PNjYH70rdmYeldHYQadosVxqOoWpuWgUeTAFzvb3FZj6dfa7ftq2tfNO/ ++qh/hhXsMV14mNatV+rUlZfafl2RjCpBQ9pL5I5LXdR1XxLfG71F2P8AzziH3Yx2AFWdEh0KG3ZN +asL6abdw8LALj8a6z+xF/u0n9iL/AHa6v7PiqSpQbivLQy+tpu7GKujt4D1xNDs7qIFkDtcMDk9s +V53/AGdL6V6nJp6W/g/UkPyh5o+lURoi4Hy9vSuXA4fkrVoXvZrf0NKldckX3udb9lHpS/ZR6VfC +ikwMV6XtGeNzFH7KPSj7KPSr+BmjaKPaMOYxNeTyfDE4xw1xGKtC1G1eOwq3eWkV9Zm2mBMZYPgH +HIqbYuBxXJh1KFarJ/aa/JHRUqJ04Ltf8z//2T== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image005.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -34296,14 +35404,14 @@ QH6OAAIIIJAJ0FGYCggggAACGgE6isaRKAgggAACdBTmAAIIIICARoCOonEkCgIIIIAAHYU5gAAC CCCgEaCjaByJggACCCBAR2EOIIAAAghoBOgoGkeiIIAAAgj8H7It1eH0PZqoAAAAAElFTkSuQmCC -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image006.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg -/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAoHBwgHBgoICAgLCgoLDhgQDg0NDh0VFhEYIx8lJCIf -IiEmKzcvJik0KSEiMEExNDk7Pj4+JS5ESUM8SDc9Pjv/2wBDAQoLCw4NDhwQEBw7KCIoOzs7Ozs7 -Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozs7Ozv/wAARCAEuAqYDASIA +/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAoHBwkHBgoJCAkLCwoMDxkQDw4ODx4WFxIZJCAmJSMg +IyIoLTkwKCo2KyIjMkQyNjs9QEBAJjBGS0U+Sjk/QD3/2wBDAQsLCw8NDx0QEB09KSMpPT09PT09 +PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT09PT3/wAARCAEuAqYDASIA AhEBAxEB/8QAHwAAAQUBAQEBAQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQA AAF9AQIDAAQRBRIhMUEGE1FhByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3 ODk6Q0RFRkdISUpTVFVWV1hZWmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWm @@ -34312,567 +35420,560 @@ BhJBUQdhcRMiMoEIFEKRobHBCSMzUvAVYnLRChYkNOEl8RcYGRomJygpKjU2Nzg5OkNERUZHSElK U1RVVldYWVpjZGVmZ2hpanN0dXZ3eHl6goOEhYaHiImKkpOUlZaXmJmaoqOkpaanqKmqsrO0tba3 uLm6wsPExcbHyMnK0tPU1dbX2Nna4uPk5ebn6Onq8vP09fb3+Pn6/9oADAMBAAIRAxEAPwDtvCfh -Pw3c+DtFnn8PaXLLLp8DySPZRszsY1JJJHJJ71rf8IZ4V/6FnSP/AABi/wDiaPBn/IkaD/2Dbf8A -9FrW1QBi/wDCGeFf+hZ0j/wBi/8AiaqX2h+A9M2fb9J8P2u/7vnW0KbvpkV0tcraLpx8R6r/AGyt -t9oLL5P2nHMWB93dxjPpR1Anfw94JSzku/7C0RoIh87pZRMB+S+9Jp/h7wZqcLzWnh3SXRJGjJOn -xj5h16rWH4qj0m08GzpoQvUtvNbf/ZmCpc4zuJ7fStD4cib+x5jN/amWkJH28Lg+64/WmrO/9dv8 -weiRrf8ACGeFf+hZ0j/wBi/+Jo/4Qzwr/wBCzpH/AIAxf/E1tUUgOUutO+HdjO1vd2HhuCZfvRyQ -QKw/AirUnhrwZFZNet4f0Y26pv8AMWxiIx68LUNwNIPjWT7WLHd9i583ZnO8dc1zWrfufCerLanU -FsPtjC1/s8gjZgZzn+DdnpS6f13sNWudRp/h/wAF6pFJLaeHdJdI5DGxOnxj5h16rVr/AIQzwr/0 -LOkf+AMX/wATWP8ADcTf2VO039q5aTIN+FwfdcfrXZVTRKZi/wDCGeFf+hZ0j/wBi/8AiaP+EM8K -/wDQs6R/4Axf/E1tUUhmL/whnhX/AKFnSP8AwBi/+Jo/4Qzwr/0LOkf+AMX/AMTW1RQBi/8ACGeF -f+hZ0j/wBi/+Jo/4Qzwr/wBCzpH/AIAxf/E1tUUActe6T8P9Nm8m+03w7bSYzsmt4FOPoRSwaP4C -urSa7tdI0C4hgBMjw2kLhcDPOBVDxfA1x4msEtLrTra6NtJ893EsmeRgYPQ+9N8TaVFpXhW7uIhf -pPdRr9oOmhQCwUAkjH3TR0uPrY1NP0DwXqiSvaeHdJdYpDGxOnxjDAA919xVv/hDPCv/AELOkf8A -gDF/8TWF8NPO/s+4aX+1vmcEG+C7Dx/Djv6/hXb02iUzF/4Qzwr/ANCzpH/gDF/8TVW+0DwNpiK9 -/o+gWqucKZrWFAfpkV0lcnOLdfEuqS38drNOsMYs47twqkc5xngc4yakZZXw94JktHu4tD0OWCNd -7PHZxMAMZ7D0pun6D4K1RZWtPD2kyCF9jk6fGMHAPdfQiucuZseEdWWLSptNVZTu/se4WYSEjkkk -AbexxV74ZecbK5aX+18MQQb0L5Z4H3cd/X8Kpat+n+X+Ym7L5nQf8IZ4V/6FnSP/AABi/wDiaP8A -hDPCv/Qs6R/4Axf/ABNbVFIZy93o/gCwuBb3mmeHbeZgCI5beBWOenBFW5fCXhKGF5pPDekBEUsx -+wxcADP92sTxTeWV74ht7E6XJqa28ZknFrGkjq3RAckEY5NV59ZGoeAJ4ydRiubQNDLFaMhmAHAL -jJ4I60r+7cdtTa07QvBOqCU2fh7SZBC2xz/Z8YwcA919CKuf8IZ4V/6FnSP/AABi/wDia534Y+cb -W5aX+18NtKm9C+WeB93Hf+mK7yqatYlO5i/8IZ4V/wChZ0j/AMAYv/iaP+EM8K/9CzpH/gDF/wDE -1tUUhmL/AMIZ4V/6FnSP/AGL/wCJo/4Qzwr/ANCzpH/gDF/8TW1RQBi/8IZ4V/6FnSP/AABi/wDi -aP8AhDPCv/Qs6R/4Axf/ABNbVFAHOXvh7wPpsQlv9F0G1jY4DTWkKAn8RRD4d8E3Nu1xb6JoU0Sr -vLR2kLDGM54FR3Asj4yk/thYPL+zL9kNxjbnJ34zxnpVXVotEtdB1ZtEE6Ozbrg6VgtuwcZzxj6U -r2Vw62LWnaJ4I1Uyiz8PaTJ5JAf/AIl8YxkZHVfQ1d/4Qzwr/wBCzpH/AIAxf/E1zHwv84x3DSf2 -xtZV2m8C+UcAdMc5/pXoNU1awk7mL/whnhX/AKFnSP8AwBi/+Jqje6T8P9NmEN9pvh22kIyEmt4E -OPXBFdRXNa0NMPinSvtgs87Jc+dszjbx1pdbD6EkPhnwZc2gu7fQdEmgKlhJHZxMpH1AqHTtE8Ea -q0q2fh/SZPJ27/8AiXxjG4ZHVfSsm7EcK+ITppulsNsZU6YQT5uDvxnjHTOKh+F3nEXDyf2xtKLt -N2F8o4A6Y5z/AEpx1b9Alojqf+EM8K/9CzpH/gDF/wDE0f8ACGeFf+hZ0j/wBi/+JraopAYv/CGe -Ff8AoWdI/wDAGL/4mj/hDPCv/Qs6R/4Axf8AxNbVFAGL/wAIZ4V/6FnSP/AGL/4mj/hDPCv/AELO -kf8AgDF/8TW1RQBi/wDCGeFf+hZ0j/wBi/8Aiap32ieA9MKi/wBJ8P2pcZUTW0KZ+mRXTVyPjmNZ -bjR0SeyguDcNsku41dVG09iRQNInstG8A6kXFjpXh66MYy4htoXKj3wKTT9G8D6pJLHZ+H9JkMIU -v/xL4wAG6fw+1VpdGFh4ek1GRrg6g1t5csulKilwCSCoxjvWR8LvOM07P/bJQxqFN0F8nj6c59Px -pq3M12Jbsk+513/CGeFf+hZ0j/wBi/8AiaP+EM8K/wDQs6R/4Axf/E1tUUhnPXnhvwVp0Hn3uh6F -bRZxvltIVGfqRTbTw94Iv4/Ms9F0G4TGd0VpCwx+ApmqJbN4tibUhA0CWbG3W4ICGTPPXjOM1kW8 -+I9Z8rRxYN5Yd59GuVlkkPbAwAOKm+lx9TV0/RvA+qSyxWfh/SZGiVWf/iXRgANnH8Psavf8IZ4V -/wChZ0j/AMAYv/ia4/4X+cbqd3/tooYwFNyF8nj6c59Pxr0qrasSnfYxf+EM8K/9CzpH/gDF/wDE -1TvtE8B6YyLf6T4ftS/3RNbQpu+mRXTVx/jW9tJbqx0trA6i0j754YUR5RGOeASDycVPUpGpH4R8 -JSxrJH4c0Z0cblZbKIgj1Hy1SsNH8D6nPJDaeH9JkeJQzf8AEujAAOcc7fY1Q0vWFm0HUtO8rULS -azZjHDCUFz5Z5BC5IGM4/Csv4Y+e19M7/wBtmMx4BuQvk9T1xzn0/GqWra8hPReZ2X/CGeFf+hZ0 -j/wBi/8AiaP+EM8K/wDQs6R/4Axf/E1tUUgMX/hDPCv/AELOkf8AgDF/8TR/whnhX/oWdI/8AYv/ -AImtqigDF/4Qzwr/ANCzpH/gDF/8TR/whnhX/oWdI/8AAGL/AOJraooAxf8AhDPCv/Qs6R/4Axf/ -ABNQ3fhnwZYQG4vNC0O3hXrJLZwqo/EiugrmtbFufE+nf2osZsfLfYZvuebxjOeM4z1o62DoOs/D -/gfUFDWWjaDcqRkGK1hbjp2FQ2Wj+BtRupLa18P6TJJGm9h/Z0YAGSOu31Bp80Ph+H+0ZtKXbfPA -DK2mY83aOmP4Qa5b4amd9Xldv7cMewgG5C+V1P3u+fT3zTVm7f1/WgPRHa/8IZ4V/wChZ0j/AMAY -v/iaP+EM8K/9CzpH/gDF/wDE1tUUgOZvtE8B6YVF/pPh+1L/AHRNbQpu+mRUll4c8E6jD59jomhX -MWcb4bSFhn6gUeKhYm40n7YLb/j8X/XbemDnrWfOttH4gvX0jeLc2BNydOI3eZuG3b23YzSvZX9f -wVx2uyzZ6P4Gv7x7S28P6S8sab2H9nRgAZI67fUGr/8AwhnhX/oWdI/8AYv/AImuI+HBnfXJXb+3 -THtYA3AXyvvE/N3z/WvUapq1ibpt2MX/AIQzwr/0LOkf+AMX/wATR/whnhX/AKFnSP8AwBi/+Jra -opDPIvjX4f0XSvB1pPp2j2NlK2oIhkt7ZI2K+XIcZUDjIHHtRWh8ev8AkSLP/sJJ/wCi5aKAOz8G -f8iRoP8A2Dbf/wBFrW1WL4M/5EjQf+wbb/8Aota2qACqV/o+maps/tCwtrvZ93zog+36Zq7RQBSn -0iwuLBLBrdVtUI2xR/Kox7Cp7S0hsbWO2t12xRjCjOamooAKKKKAM268OaHfXDXF3pFlPM33pJIF -Zj+JFSz6TYz2C2DW6rbJjbFH8oGPpV2igCCzs4bC1jtbddsUYwozmp6KKACiiigAooooAKKKKAKF -5oWk6jOLi90y1uZgMCSWFWYfiRU13YW17afZZ48w8fKDjp06VZooAr2Vlb6daJa2ybIk+6M5qxRR -QAVTv9I03Vdn9oWFvd+XnZ50Qfb9M1cooAonRtOGnf2dHaxw2uc+VENi9c9qmsbG3061S1tU2RJ0 -Gc1YooAKKKKAKUWi6XBevexafbJcyZ3zLEA7Z65PWoR4c0dIpo4dOt4BP/rTDGEL855IrTooArWN -hb6barbWqbIlzgZz1qzRRQAUUUUAFFFFABRRRQBVvtNsdTiEV/ZwXUanIWaMMAfxpg0jT005tOht -Y4LVusUK7B+lXaKLAVbDT7bTLYW9pHsjBJxknk1aooo3AKz77QdI1KYTX2mWl1IBgPNCrHHpkitC -igCmuk2EenNp8NrHBasMGKFdg/Snafp1rplv9ntI9ke4tjJPJq1RQAUUUUAFFFFABRRRQAVSv9G0 -zVCh1DT7a7KDCmaIPt+mau0UAV2sbZrE2IiCW5TZsT5QB6DHSmafplrpcDQ2cflozFiMk8mrdFAB -RRRQBVvtNsdTiEN/ZwXUancFmjDAH15qOHRtNtbKWztbKG2gmzvSBAgOfpV6ijpYLlTT9MtNLhaG -zj8tGYsRknmrdFFF7gFUm0bTHvxqDafbNdjpOYhvH/AutXaKAM/+wdJE006afbxzTqVkljjCuwPX -5hzUmnaXaaVE8VnH5aO24jJPP41cooAKKKKACiiigAooooAKgu7K1v7c295bxXELdY5UDKfwNT0U -AUrbSNOsbWW1s7KG1hlB3rAgQHIx2o07SbPSkdLOLy1kbc3zE8/jV2igAooooApX+j6ZqhQ6hp9t -dlPu+dEH2/TNLa6Tp9jbSW1lZw2sUoO9YUCA5+lXKKAKWnaRZaUsi2cRjEhy2WJyfxq7RRQAUUUU -AeZfHr/kSLP/ALCSf+i5aKPj1/yJFn/2Ek/9Fy0UAdn4M/5EjQf+wbb/APota2qxfBn/ACJGg/8A -YNt//Ra1tUAFFFeKeOPit4m8P+Lb3TLFrT7PAwCb4cnkA8nNK+th20ue10V86/8AC7/GH96x/wDA -f/69H/C7/GH96x/8B/8A69MR9FUVzvgPW7zxF4Qs9Uv/ACzcTbt3lrtHDEdPwroqck4uzEndBRXi -3jv4qeJfDvi680yxa0FvDt2b4dx5APXNc9/wu/xh/esf/Af/AOvUp3V0U1Z2PoqivnX/AIXf4w/v -WP8A4D//AF69l8Aa7e+JPCNrqmoeWbiUtu8tdo4YgcVSTauS3ZnS0UUUhhRXnvxT8aax4QGnHSjA -PtLMH82Pd0x05rvLWRprSGV8bnQMcepFC1VwejsTUUUUAFFeN/EL4oeI/DXi240zT2tRbxopXzId -x5HrmuZ/4Xf4w/vWP/gP/wDXpJ3VxtWdj6Kor51/4Xf4w/vWP/gP/wDXr2D4c+Ib/wAT+EodT1Ex -m4eR1PlrtGAcDiqSbVyW7HVUUV478RPid4i8M+LZtM05rUW6Rqw8yHccn3zUt2diraHsVFfOv/C7 -/GH96x/8B/8A69H/AAu/xh/esf8AwH/+vTEfRVFcp8N/EV/4o8KJqWpGMztK6ny02jAPHFdXTaad -mJO4UV4/8Rvib4i8L+LJNN042ogWJXHmQ7jk5zzmuV/4Xf4w/vWP/gP/APXqU7q5TVj6Kor51/4X -f4w/vWP/AID/AP169d+GviTUPFXhYalqRiM5mZP3SbRgY7VSTabJbsdbRRRSGFFcL8U/F2q+ENKs -7nSjCHmn2P5qbhjBPrXW6PdS3ujWV3NjzZ4EkfaMDJAJoWqbB6NIu0UUUAFFeSfEv4k+IPCniZdP -002wgMCv+8i3HJJ75rkP+F3+MP71j/4D/wD16Sd1cbVj6Kor51/4Xf4w/vWP/gP/APXr1j4Y+KNS -8WeG5L/VDEZluGjHlJtGAB2/GqSbTZLdjsqKK8m+J3xH1/wn4jjsNMNsIWgDnzYtxzk981LaTSKS -ues0V86/8Lv8Yf3rH/wH/wDr0f8AC7/GH96x/wDAf/69MR9FUVxXwv8AFWp+LfD899qhiMqXBjXy -k2jGAf612tNpp2Yk7hRRXGfE/wAU6l4T8OxX2lmITNOEPmpuGCDUt2KSudnRWX4Z1CfVfDWn6hdb -fPuLdJH2jAyRzgVqVTTTsyU7q4UUV5X8UfiHr3hHXLaz0s2wilg3t5sW45z9aluzRSVz1SivnX/h -d/jD+9Y/+A//ANej/hd/jD+9Y/8AgP8A/XpiPoqiuF+Ffi7VPF+j3l1qphMkM+xfKTaMbQa7qm00 -9RJ3CivLfin8Qdd8I6zaWulm3Ec0JdvNi3HOfrXD/wDC7/GH96x/8B//AK9Sncpqx9FUV86/8Lv8 -Yf3rH/wH/wDr16d8K/GGq+MNLvbjVTCXgmCJ5SbRjGfWqSbuS3Y7yiivL/ip8QNc8IarZW2lG3Ec -0Rd/Ni3HIP1qW7WKSueoUV86/wDC7/GH96x/8B//AK9H/C7/ABh/esf/AAH/APr0xH0VRXA/Crxj -q3jDT76fVTCXglVU8qPbwRnnmu+ptNCTuFFFcp8R/EV/4X8KS6lppjE6yIo8xdwwTg8VLdkUlc6u -isLwXq91rvhKw1O92faLiPc+xcDOT0FbtVJNOzJTurhRRXmnxV8ea34PvLCLSjbhbhGL+bHu5BGM -c1LdrFJXPS6K+df+F3+MP71j/wCA/wD9ej/hd/jD+9Y/+A//ANemI+iqK89+FPjXV/GEGoPqpgJt -2QJ5Ue3rnOefavQqbTQk7hRXm3xW8da14PnsE0o24FwrF/Nj3dMYxzXn3/C7/GH96x/8B/8A69Sn -cpqx9FUV86/8Lv8AGH96x/8AAf8A+vXo3wp8b6x4xGonVTAfs+zZ5Ue3rnOefaqSbJbseiUUUUhn -mXx6/wCRIs/+wkn/AKLloo+PX/IkWf8A2Ek/9Fy0UAdn4M/5EjQf+wbb/wDota2qxfBn/IkaD/2D -bf8A9FrW1QAV8w/FP/koep/7y/8AoIr6er5h+Kf/ACUPU/8AeX/0EVm/jXz/AELXws5GiiitCD6a -+E//ACTrTfo//oRrsq434T/8k6036P8A+hGuyrSr8bIh8J8yfFj/AJKJqP8AwD/0EVx1dj8WP+Si -aj/wD/0EVx1c9P4EbT+JhX0t8If+SdWH1f8A9CNfNNfS3wh/5J1YfV//AEI10R+B/IxlujtqKKKz -LPHvj393Rf8Aro/9K9ZsP+Qfb/8AXJf5V5N8e/u6L/10f+les2H/ACD7f/rkv8qIfw/mwn8a9CxR -RRQB81/GH/kod5/1zT+VcPXcfGH/AJKHef8AXNP5Vw9RT+FFz+IK+j/gx/yT23/67Sf+hV84V9H/ -AAY/5J7b/wDXaT/0Kt4/A/kYy3R3tfN3xl/5KFcf9cY/619I183fGX/koVx/1xj/AK1hL4karZnC -UUUVZJ9GfBX/AJJ/F/18Sfzr0CvP/gr/AMk/i/6+JP516BWlT4iIbHzj8af+Sgy/9e8f9a4Gu++N -P/JQZf8Ar3j/AK1wNYU/hNZ7hX0T8Ef+RBX/AK+ZP6V87V9E/BH/AJEFf+vmT+lbx+GRlLdf10PQ -6KKKzLPKfj3/AMi/pv8A19f+ymvQ/Df/ACLWmf8AXpH/AOgivPPj3/yL+m/9fX/spr0Pw3/yLWmf -9ekf/oIoh8EvX9An8UfT9TTooooA+ePjh/yPCf8AXqv8zXnVei/HD/keE/69V/ma86rOn8P3/mXP -f7gr6D+Bn/Ikzf8AX238lr58r6D+Bn/Ikzf9fbfyWuiPwy/rqYy3R6TXz58c/wDkc4P+vVf5mvoO -vnz45/8AI5wf9eq/zNc8vij/AF0No7P+up5tRRRWhB798CP+RPuv+vs/+grXp1eY/Aj/AJE+6/6+ -z/6CtenVpU+L7vyIht94V5p8dP8AkTYP+vpf5GvS680+On/Imwf9fS/yNYT2XqvzNob/AH/kdb4H -/wCRJ0f/AK9I/wCVb1YPgf8A5EnR/wDr0j/lW9W9T436mMPhQV4H8ef+Rpsv+vX+te+V4H8ef+Rp -sv8Ar1/rWEt4+v6M2js/66o8voooqyD3f4B/8i5qP/X0P/QRXqteVfAP/kXNR/6+h/6CK9VrSp8X -yX5EQ2PBvj1/yMmn/wDXsf515ZXqfx6/5GTT/wDr2P8AOvLKwp7fN/mbT3+78gr3T4Bf8gLVP+vl -f/Qa8Lr3T4Bf8gLVP+vlf/Qa3htL0/VGMuh6xXhPx8/5D+mf9e7fzFe7V4T8fP8AkP6Z/wBe7fzF -YS3j6/ozWOz/AK6nlNFFFWSe4/AH/kD6r/13T/0GvW68k+AP/IH1X/run/oNet1pU3+S/IiPUK4D -40f8k/n/AOu0f/oQrv64D40f8k/n/wCu0f8A6EK56nw/NfmbQ3+81fhl/wAk80j/AK4n/wBCNdVX -K/DL/knmkf8AXE/+hGuqroq/GzGHwhXiHx+/5COk/wDXN/5ivb68Q+P3/IR0n/rm/wDMVzz3j6/o -zaOzPIqKKK0IPa/2f/8Aj01j/fj/AJGvYa8e/Z//AOPTWP8Afj/ka9hrSpuvRfkRHqeKfH//AI+d -I/3ZP6V49XsPx/8A+PnSP92T+lePVz09n6s2nuFez/s//c1n6x/+zV4xXs/7P/3NZ+sf/s1dENpe -hjLoey0UUVmWeZfHr/kSLP8A7CSf+i5aKPj1/wAiRZ/9hJP/AEXLRQB2fgz/AJEjQf8AsG2//ota -2qxfBn/IkaD/ANg23/8ARa1tUAFfMPxT/wCSh6n/ALy/+givp6vmH4p/8lD1P/eX/wBBFZv418/0 -LXws5GiiitCD6a+E/wDyTrTfo/8A6Ea7KuN+E/8AyTrTfo//AKEa7KtKvxsiHwnzJ8WP+Siaj/wD -/wBBFcdXY/Fj/komo/8AAP8A0EVx1c9P4EbT+JhX0t8If+SdWH1f/wBCNfNNfS3wh/5J1YfV/wD0 -I10R+B/IxlujtqKKKzLPHvj393Rf+uj/ANK9ZsP+Qfb/APXJf5V5N8e/u6L/ANdH/pXrNh/yD7f/ -AK5L/KiH8P5sJ/GvQsUUUUAfNfxh/wCSh3n/AFzT+VcPXcfGH/kod5/1zT+VcPUU/hRc/iCvo/4M -f8k9t/8ArtJ/6FXzhX0f8GP+Se2//XaT/wBCrePwP5GMt0d7Xzd8Zf8AkoVx/wBcY/619I183fGX -/koVx/1xj/rWEviRqtmcJRRRVkn0Z8Ff+Sfxf9fEn869Arz/AOCv/JP4v+viT+degVpU+IiGx84/ -Gn/koMv/AF7x/wBa4Gu++NP/ACUGX/r3j/rXA1hT+E1nuFfRPwR/5EFf+vmT+lfO1fRPwR/5EFf+ -vmT+lbx+GRlLdf10PQ6KKKzLPKfj3/yL+m/9fX/spr0Pw3/yLWmf9ekf/oIrzz49/wDIv6b/ANfX -/spr0Pw3/wAi1pn/AF6R/wDoIoh8EvX9An8UfT9TTooooA+ePjh/yPCf9eq/zNedV6L8cP8AkeE/ -69V/ma86rOn8P3/mXPf7gr6D+Bn/ACJM3/X238lr58r6D+Bn/Ikzf9fbfyWuiPwy/rqYy3R6TXz5 -8c/+Rzg/69V/ma+g6+fPjn/yOcH/AF6r/M1zy+KP9dDaOz/rqebUUUVoQe/fAj/kT7r/AK+z/wCg -rXp1eY/Aj/kT7r/r7P8A6CtenVpU+L7vyIht94V5p8dP+RNg/wCvpf5GvS680+On/Imwf9fS/wAj -WE9l6r8zaG/3/kdb4H/5EnR/+vSP+Vb1YPgf/kSdH/69I/5VvVvU+N+pjD4UFeB/Hn/kabL/AK9f -6175Xgfx5/5Gmy/69f61hLePr+jNo7P+uqPL6KKKsg93+Af/ACLmo/8AX0P/AEEV6rXlXwD/AORc -1H/r6H/oIr1WtKnxfJfkRDY8G+PX/Iyaf/17H+deWV6n8ev+Rk0//r2P868srCnt83+ZtPf7vyCv -dPgF/wAgLVP+vlf/AEGvC690+AX/ACAtU/6+V/8AQa3htL0/VGMuh6xXhPx8/wCQ/pn/AF7t/MV7 -tXhPx8/5D+mf9e7fzFYS3j6/ozWOz/rqeU0UUVZJ7j8Af+QPqv8A13T/ANBr1uvJPgD/AMgfVf8A -run/AKDXrdaVN/kvyIj1CuA+NH/JP5/+u0f/AKEK7+uA+NH/ACT+f/rtH/6EK56nw/NfmbQ3+81f -hl/yTzSP+uJ/9CNdVXK/DL/knmkf9cT/AOhGuqroq/GzGHwhXiHx+/5COk/9c3/mK9vrxD4/f8hH -Sf8Arm/8xXPPePr+jNo7M8iooorQg9r/AGf/APj01j/fj/ka9hrx79n/AP49NY/34/5GvYa0qbr0 -X5ER6ninx/8A+PnSP92T+lePV7D8f/8Aj50j/dk/pXj1c9PZ+rNp7hXs/wCz/wDc1n6x/wDs1eMV -7P8As/8A3NZ+sf8A7NXRDaXoYy6HstFFFZlnmXx6/wCRIs/+wkn/AKLloo+PX/IkWf8A2Ek/9Fy0 -UAdn4M/5EjQf+wbb/wDota2qxfBn/IkaD/2Dbf8A9FrW1QAV8w/FP/koep/7y/8AoIr6er5h+Kf/ -ACUPU/8AeX/0EVm/jXz/AELXws5Git/wjpunaleXC3yrNJHEWgtmnEKzN6Fz0qz4u0/T9PtrRYND -n026fJkb7ULiF17bHHU+taPQhanuXwn/AOSdab9H/wDQjXZVxvwn/wCSdab9H/8AQjXZVpV+NkQ+ -E+ZPix/yUTUf+Af+giuOrsfix/yUTUf+Af8AoIqh4Uh0mePUW1PTGvTb2zTpi4aLle3HrWFL4F6G -tT4jna+lvhD/AMk6sPq//oRrwvUrHSr/AMPHWtJs5LEwTiGe3aUyLyCVYMeexyK90+EP/JOrD6v/ -AOhGt4/BJehnLdHbUUUVmUePfHv7ui/9dH/pXrNh/wAg+3/65L/KvJvj393Rf+uj/wBK9ZsP+Qfb -/wDXJf5UQ/h/NhP416FiiiigD5r+MP8AyUO8/wCuafyrh67j4w/8lDvP+uafyrE0DTrB7G+1fVI5 -J7WxCgW8bbTK7HABbsKin8BU/iMKvo/4Mf8AJPbf/rtJ/wChV4trVrpx0RLq18M6npk5cZkkLvBs -9mYZya9p+DH/ACT23/67Sf8AoVbx+GXyM5bo72vm74y/8lCuP+uMf9a+ka+bvjL/AMlCuP8ArjH/ -AFrCXxI0WzOEorp/A+kWOs3V9b3dj9qdLZpIQbnyQGHqen51L4j0O10fwzZPJpiQX88zq0sd6JlK -rg9BkA8/pVvQhO57B8Ff+Sfxf9fEn869Arz/AOCv/JP4v+viT+degVpU+ImGx84/Gn/koMv/AF7x -/wBa4Gu++NP/ACUGX/r3j/rXDW0bM/mm3eeKIhpVXONue5HT0zWFP4TWe5FX0T8Ef+RBX/r5k/pX -kOp/8I8fCsN/aeH2tri4leJW+2u4TABzgjnrXr3wR/5EFf8Ar5k/pW8fhkjKW6PQ6KKKzLPKfj3/ -AMi/pv8A19f+ymvQ/Df/ACLWmf8AXpH/AOgivPPj3/yL+m/9fX/spr0Pw3/yLWmf9ekf/oIoh8Ev -X9An8UfT9TTooooA+ePjh/yPCf8AXqv8zXnVei/HD/keE/69V/ma4fSb6HT75bmaxivdoOyKbOzd -2JHf6VFP4fvKnv8AcUq+g/gZ/wAiTN/19t/Ja8l8U7G0jT5b3TLXT9UkJYpbR+WDD2LIOjZ/SvWv -gZ/yJM3/AF9t/Ja3j8Mv66mUt4npNfPnxz/5HOD/AK9V/ma+g6+fPjn/AMjnB/16r/M1zy+KP9dD -aOz/AK6nm1FW9Kube0v45rmxS9RekLuVUntkjtXTeLbVl8P2N3e6fYWl9JMQpsEURtFj+Lbld2ff -OK0e1yOtj034Ef8AIn3X/X2f/QVr06vMfgR/yJ91/wBfZ/8AQVr06tKnxfd+RENvvCvNPjp/yJsH -/X0v8jXpdeafHT/kTYP+vpf5GsJ7L1X5m0N/v/I63wP/AMiTo/8A16R/yrerB8D/APIk6P8A9ekf -8q3q3qfG/Uxh8KCvA/jz/wAjTZf9ev8AWvfK8D+PP/I02X/Xr/WsJbx9f0ZtHZ/11R5fRWt4Xl0+ -DxHZyaqIzZq/7zzULrj3HcVseKYWutLW9tZdFubWKXY8mnWvkshI4DAgEireiuQtXY9J+Af/ACLm -o/8AX0P/AEEV6rXlXwD/AORc1H/r6H/oIr1WtKnxfJfkRDY8G+PX/Iyaf/17H+deWV6n8ev+Rk0/ -/r2P868+8O6Sdb12004bsTSANtGTjvisKSureb/M1m7P7vyM2vdPgF/yAtU/6+V/9Brz/wAW2epa -dYvbnw9p9jYxuIw8eyWdCP77gkgn3r0D4Bf8gLVP+vlf/Qa2pu6l6fqjOa2PWK8J+Pn/ACH9M/69 -2/mK92rwn4+f8h/TP+vdv5isZbx9f0ZpHZ/11PKaKvaPqMelagt3LY298FVgIbhcpkjgkd8V0GtX -qXfgyC5utN062ubq5PkG1tViYIo5yR1BJH5Vb2uT1seh/AH/AJA+q/8AXdP/AEGvW68k+AP/ACB9 -V/67p/6DXrdaVN/kvyIj1CuA+NH/ACT+f/rtH/6EK7+uA+NH/JP5/wDrtH/6EK56nw/NfmbQ3+81 -fhl/yTzSP+uJ/wDQjXVVyvwy/wCSeaR/1xP/AKEa6quir8bMYfCFeIfH7/kI6T/1zf8AmK9vrxD4 -/f8AIR0n/rm/8xXPPePr+jNo7M8ioq/oVva3eu2VveNtt5JlWQk44z0zXZa1ot1e6Lq9xeeHoNLj -02T/AEWeGHyvMXONp/v8YOa0eiuQtXY6r9n/AP49NY/34/5GvYa8e/Z//wCPTWP9+P8Aka9hrSpu -vRfkRHqeKfH/AP4+dI/3ZP6V49XsPx//AOPnSP8Adk/pXmHh3T49U121s5cmN2ywHcAZx+OMVhSV -/vf5ms3bXyM2vZ/2f/uaz9Y//Zq4e6kttf0jViNLs7FtLKtA1vHsYqW2lWP8XA6mu4/Z/wDuaz9Y -/wD2atqbupehnNWXzPZaKKKgo8y+PX/IkWf/AGEk/wDRctFHx6/5Eiz/AOwkn/ouWigDs/Bn/Ika -D/2Dbf8A9FrW1WL4M/5EjQf+wbb/APota2qACvmH4p/8lD1P/eX/ANBFfT1fMPxT/wCSh6n/ALy/ -+gis38a+f6Fr4WZGi3uh21vcw6xpc14ZdvlSQzeW0WM5xkEHNSa1rVld6fa6ZplrPb2duzOBPKJH -LN15AAA/CsSitHqQtD6a+E//ACTrTfo//oRrsq434T/8k6036P8A+hGuyrSr8bIh8J8yfFj/AJKJ -qP8AwD/0EVleG9X0rSkvF1Gyubn7VCYf3M4j2qevUHmtX4sf8lE1H/gH/oIrjqwpfAjWp8TNzVda -sJNKTS9Hs5rW1MnmzefKJHkfGByAOACa96+EP/JOrD6v/wChGvmmvpb4Q/8AJOrD6v8A+hGt4/BL -5GUt0dtRRRWZZ498e/u6L/10f+les2H/ACD7f/rkv8q8m+Pf3dF/66P/AEr1mw/5B9v/ANcl/lRD -+H82E/jXoWKKKKAPmv4w/wDJQ7z/AK5p/Kud0TV7ewS5tNQtnurC6UCWON9jAjlSDg4INdF8Yf8A -kod5/wBc0/lXD1FP4Cp/EdJrHia2udFGk6auoJbtIHk+2Xfm9OgUAAAda9r+DH/JPbf/AK7Sf+hV -84V9H/Bj/kntv/12k/8AQq3j8Mn6Gct0jva+bvjL/wAlCuP+uMf9a+ka+bvjL/yUK4/64x/1rCXx -I0WzMHw3rWnaOl8L6ynuTdQmFfKmCBQe5yDmku9W0mbwxBpcFjcpcwzNL5zTgqS2Aflx6Ad6w6Kt -6kn0Z8Ff+Sfxf9fEn869Arz/AOCv/JP4v+viT+degVpU+IiGx84/Gn/koMv/AF7x/wBa5fw/rcek -SXMVzbfabO9i8q4jVtrFc5BU9iCBXUfGn/koMv8A17x/1rgawp/Caz3NrW9ZsryytdO0uxe1s7Yl -x5sm+R3PUkgAenavb/gj/wAiCv8A18yf0r52r6J+CP8AyIK/9fMn9K2j8MjOW6/roeh0UUVBR5T8 -e/8AkX9N/wCvr/2U16H4b/5FrTP+vSP/ANBFeefHv/kX9N/6+v8A2U16H4b/AORa0z/r0j/9BFEP -gl6/oE/ij6fqadFFFAHzx8cP+R4T/r1X+ZrkfDGq6do2rre6jp7XyIp8uNZAu1+zcg5xXXfHD/ke -E/69V/ma86qKTtH7yqiu/uN/X9Y0fU7cm0sLwXsk3mS3d3ciV2GPujAAAr2T4Gf8iTN/19t/Ja+f -K+g/gZ/yJM3/AF9t/Ja2h8MjOT1X9dz0mvnz45/8jnB/16r/ADNfQdfPnxz/AORzg/69V/mawl8U -f66Gsdn/AF1OD0m7s7O+El9Yre25Uq8ZYqcHup7GtLVdc019FXSNHsJ7e3M3nSNczCRy2MADAAA/ -CsCitCFoz374Ef8AIn3X/X2f/QVr06vMfgR/yJ91/wBfZ/8AQVr06tKnxfd+RENvvCvNPjp/yJsH -/X0v8jXpdeafHT/kTYP+vpf5GsJ7L1X5m0N/v/I63wP/AMiTo/8A16R/yrerB8D/APIk6P8A9ekf -8q3q3qfG/Uxh8KCvA/jz/wAjTZf9ev8AWvfK8D+PP/I02X/Xr/WsJbx9f0ZtHZ/11R53pV3a2d8k -t5ZJeQYIeJmK5B7gjofStPUtc0z+xzpeiafNawyyCSeS4lEjuR0AIAwOawKKsg93+Af/ACLmo/8A -X0P/AEEV6rXlXwD/AORc1H/r6H/oIr1WtKnxfJfkRDY8G+PX/Iyaf/17H+deb6Tqdzo2p2+oWjbZ -oHDL/hXpHx6/5GTT/wDr2P8AOvLKwpuyv5v8zWau7en5HSap4i0uWwu4dMsLqCW+kD3Dz3AkBxk/ -KMDue9eofAL/AJAWqf8AXyv/AKDXhde6fAL/AJAWqf8AXyv/AKDW0FZS9P1RnN3t6nrFeE/Hz/kP -6Z/17t/MV7tXhPx8/wCQ/pn/AF7t/MVjLePr+jNI7P8ArqeU1oarqv8AaMdnDHGYobSARKhbOTzl -vxrPoqyT3H4A/wDIH1X/AK7p/wCg163XknwB/wCQPqv/AF3T/wBBr1utKm/yX5ER6hXAfGj/AJJ/ -P/12j/8AQhXf1wHxo/5J/P8A9do//QhXPU+H5r8zaG/3mr8Mv+SeaR/1xP8A6Ea6quV+GX/JPNI/ -64n/ANCNdVXRV+NmMPhCvEPj9/yEdJ/65v8AzFe314h8fv8AkI6T/wBc3/mK557x9f0ZtHZnk1u0 -K3EbXCu0QYb1RsMR7HtXQ6t4mtZ9JbTtNiv1SUjzXvbszNgdFXgAD1rmqK0eqsR1ue1/s/8A/Hpr -H+/H/I17DXj37P8A/wAemsf78f8AI17DWlTdei/IiPU8U+P/APx86R/uyf0ryfTr6TTdQgvIid0T -hsA9R3H4ivWPj/8A8fOkf7sn9K8erCm7fe/zNZnRajr+l/2ddWujWFzatfOGuWnmEmQDkBcAYGa9 -F/Z/+5rP1j/9mrxivZ/2f/uaz9Y//Zq2gtJen+RnPZHstFFFQUeZfHr/AJEiz/7CSf8AouWij49f -8iRZ/wDYST/0XLRQB2fgz/kSNB/7Btv/AOi1rarF8Gf8iRoP/YNt/wD0WtbVABXzD8U/+Sh6n/vL -/wCgivp6vmH4p/8AJQ9T/wB5f/QRWb+NfP8AQtfCzkaKKK0IPpr4T/8AJOtN+j/+hGuyrjfhP/yT -rTfo/wD6Ea7KtKvxsiHwnzJ8WP8Akomo/wDAP/QRXHV2PxY/5KJqP/AP/QRXHVz0/gRtP4mFfS3w -h/5J1YfV/wD0I18019LfCH/knVh9X/8AQjXRH4H8jGW6O2ooorMs8e+Pf3dF/wCuj/0r1mw/5B9v -/wBcl/lXk3x7+7ov/XR/6V6zYf8AIPt/+uS/yoh/D+bCfxr0LFFFFAHzX8Yf+Sh3n/XNP5Vw9dx8 -Yf8Akod5/wBc0/lXD1FP4UXP4gr6P+DH/JPbf/rtJ/6FXzhX0f8ABj/kntv/ANdpP/Qq3j8D+RjL -dHe183fGX/koVx/1xj/rX0jXzd8Zf+ShXH/XGP8ArWEviRqtmcJRRRVkn0Z8Ff8Akn8X/XxJ/OvQ -K8/+Cv8AyT+L/r4k/nXoFaVPiIhsfOPxp/5KDL/17x/1rga7740/8lBl/wCveP8ArXA1hT+E1nuF -fRPwR/5EFf8Ar5k/pXztX0T8Ef8AkQV/6+ZP6VvH4ZGUt1/XQ9DooorMs8p+Pf8AyL+m/wDX1/7K -a9D8N/8AItaZ/wBekf8A6CK88+Pf/Iv6b/19f+ymvQ/Df/ItaZ/16R/+giiHwS9f0CfxR9P1NOii -igD54+OH/I8J/wBeq/zNedV6L8cP+R4T/r1X+Zrzqs6fw/f+Zc9/uCvoP4Gf8iTN/wBfbfyWvnyv -oP4Gf8iTN/19t/Ja6I/DL+upjLdHpNfPnxz/AORzg/69V/ma+g6+fPjn/wAjnB/16r/M1zy+KP8A -XQ2js/66nm1FFFaEHv3wI/5E+6/6+z/6CtenV5j8CP8AkT7r/r7P/oK16dWlT4vu/IiG33hXmnx0 -/wCRNg/6+l/ka9LrzT46f8ibB/19L/I1hPZeq/M2hv8Af+R1vgf/AJEnR/8Ar0j/AJVvVg+B/wDk -SdH/AOvSP+Vb1b1PjfqYw+FBXgfx5/5Gmy/69f6175Xgfx5/5Gmy/wCvX+tYS3j6/ozaOz/rqjy+ -iiirIPd/gH/yLmo/9fQ/9BFeq15V8A/+Rc1H/r6H/oIr1WtKnxfJfkRDY8G+PX/Iyaf/ANex/nXl -lep/Hr/kZNP/AOvY/wA68srCnt83+ZtPf7vyCvdPgF/yAtU/6+V/9BrwuvdPgF/yAtU/6+V/9Bre -G0vT9UYy6HrFeE/Hz/kP6Z/17t/MV7tXhPx8/wCQ/pn/AF7t/MVhLePr+jNY7P8ArqeU0UUVZJ7j -8Af+QPqv/XdP/Qa9bryT4A/8gfVf+u6f+g163WlTf5L8iI9QrgPjR/yT+f8A67R/+hCu/rgPjR/y -T+f/AK7R/wDoQrnqfD81+ZtDf7zV+GX/ACTzSP8Arif/AEI11Vcr8Mv+SeaR/wBcT/6Ea6quir8b -MYfCFeIfH7/kI6T/ANc3/mK9vrxD4/f8hHSf+ub/AMxXPPePr+jNo7M8iooorQg9r/Z//wCPTWP9 -+P8Aka9hrx79n/8A49NY/wB+P+Rr2GtKm69F+REep4p8f/8Aj50j/dk/pXj1ew/H/wD4+dI/3ZP6 -V49XPT2fqzae4V7P+z/9zWfrH/7NXjFez/s//c1n6x/+zV0Q2l6GMuh7LRRRWZZ5l8ev+RIs/wDs -JJ/6Lloo+PX/ACJFn/2Ek/8ARctFAHZ+DP8AkSNB/wCwbb/+i1rarF8Gf8iRoP8A2Dbf/wBFrW1Q -AV8w/FP/AJKHqf8AvL/6CK+nq+Yfin/yUPU/95f/AEEVm/jXz/QtfCzkaKKK0IPpr4T/APJOtN+j -/wDoRrsq434T/wDJOtN+j/8AoRrsq0q/GyIfCfMnxY/5KJqP/AP/AEEVx1dj8WP+Siaj/wAA/wDQ -RXHVz0/gRtP4mFfS3wh/5J1YfV//AEI18019LfCH/knVh9X/APQjXRH4H8jGW6O2ooorMs8e+Pf3 -dF/66P8A0r1mw/5B9v8A9cl/lXk3x7+7ov8A10f+les2H/IPt/8Arkv8qIfw/mwn8a9CxRRRQB81 -/GH/AJKHef8AXNP5Vw9dx8Yf+Sh3n/XNP5Vw9RT+FFz+IK+j/gx/yT23/wCu0n/oVfOFfR/wY/5J -7b/9dpP/AEKt4/A/kYy3R3tfN3xl/wCShXH/AFxj/rX0jXzd8Zf+ShXH/XGP+tYS+JGq2ZwlFFFW -SfRnwV/5J/F/18Sfzr0CvP8A4K/8k/i/6+JP516BWlT4iIbHzj8af+Sgy/8AXvH/AFrga7740/8A -JQZf+veP+tcDWFP4TWe4V9E/BH/kQV/6+ZP6V87V9E/BH/kQV/6+ZP6VvH4ZGUt1/XQ9DooorMs8 -p+Pf/Iv6b/19f+ymvQ/Df/ItaZ/16R/+givPPj3/AMi/pv8A19f+ymvQ/Df/ACLWmf8AXpH/AOgi -iHwS9f0CfxR9P1NOiiigD54+OH/I8J/16r/M151Xovxw/wCR4T/r1X+Zrzqs6fw/f+Zc9/uCvoP4 -Gf8AIkzf9fbfyWvnyvoP4Gf8iTN/19t/Ja6I/DL+upjLdHpNfPnxz/5HOD/r1X+Zr6Dr58+Of/I5 -wf8AXqv8zXPL4o/10No7P+up5tRRRWhB798CP+RPuv8Ar7P/AKCtenV5j8CP+RPuv+vs/wDoK16d -WlT4vu/IiG33hXmnx0/5E2D/AK+l/ka9LrzT46f8ibB/19L/ACNYT2XqvzNob/f+R1vgf/kSdH/6 -9I/5VvVg+B/+RJ0f/r0j/lW9W9T436mMPhQV4H8ef+Rpsv8Ar1/rXvleB/Hn/kabL/r1/rWEt4+v -6M2js/66o8voooqyD3f4B/8AIuaj/wBfQ/8AQRXqteVfAP8A5FzUf+vof+givVa0qfF8l+RENjwb -49f8jJp//Xsf515ZXqfx6/5GTT/+vY/zryysKe3zf5m09/u/IK90+AX/ACAtU/6+V/8AQa8Lr3T4 -Bf8AIC1T/r5X/wBBreG0vT9UYy6HrFeE/Hz/AJD+mf8AXu38xXu1eE/Hz/kP6Z/17t/MVhLePr+j -NY7P+up5TRRRVknuPwB/5A+q/wDXdP8A0GvW68k+AP8AyB9V/wCu6f8AoNet1pU3+S/IiPUK4D40 -f8k/n/67R/8AoQrv64D40f8AJP5/+u0f/oQrnqfD81+ZtDf7zV+GX/JPNI/64n/0I11Vcr8Mv+Se -aR/1xP8A6Ea6quir8bMYfCFeIfH7/kI6T/1zf+Yr2+vEPj9/yEdJ/wCub/zFc894+v6M2jszyKii -itCD2v8AZ/8A+PTWP9+P+Rr2GvHv2f8A/j01j/fj/ka9hrSpuvRfkRHqeKfH/wD4+dI/3ZP6V49X -sPx//wCPnSP92T+lePVz09n6s2nuFez/ALP/ANzWfrH/AOzV4xXs/wCz/wDc1n6x/wDs1dENpehj -Loey0UUVmWeZfHr/AJEiz/7CSf8AouWij49f8iRZ/wDYST/0XLRQB2fgz/kSNB/7Btv/AOi1rarF -8Gf8iRoP/YNt/wD0WtbVABXFa38J/DXiDVp9UvTefaJyC/lzAL0xwMV2tFKyvcdz54+JvgbR/Cd9 -pcOmG423RIk82QN0I6cD1r0CL4I+EHiRib/JUH/Xj/4msL45/wDIV0H/AHm/mtewQf8AHvH/ALo/ -lTjrTv5sUtJpeRS0HQ7Pw5pEOl2HmfZ4c7fMbc3Jz1/GtGiim227sErHF678KfDfiLV5tUvjefaJ -sbvLmAXgY4GK8t+J/gXRvCM2mLpn2jF0zCTzZA3THTgetfQ1eOfHf/j50P8A32/pUpWlFeZS1TbN -uD4JeEZLeN2N/llBP78en+7XbeH9BsvDWkRaXp/mfZ4iSvmNubk5PNXLT/jzh/65r/Kpqtu10Zx1 -SYUUUVJR498e/u6L/wBdH/pXrNh/yD7f/rkv8q8m+Pf3dF/66P8A0r1mw/5B9v8A9cl/lRD+H82E -/jXoWKKKKAON8QfC3w74l1aTVNQN39okADeXMFXj2xXlvxT8B6L4Pg099LNxm4dlfzpA3AHbgV9C -V5B8ff8Aj00f/rq38qSVpRXmUtb3NSy+CnhK4sYJnN/ukjVjicdSP92u28OeHbHwvpKaZp3m+QjF -h5rbjknJ5q1pf/IKtP8Arin8hVurejaM46pNhXHeIvhf4d8T6s+p6gbvz3UKfLmCrge2K7GiosVc -+ffin4B0XwfZ2Eulm53XEpV/OkDcY7cCu1074LeE7rTba4kN/vliV2xOMZIz/drP+Pv/ACDdJ/67 -t/KvUNG/5Alj/wBe6f8AoIpx1g35hL4l6Fbw34csPC2lLpuneb5CuXHmvuOT15rWooptthaxx/iP -4YeHvFGrNqeom789kCnypQowPbFeX/FPwBong/TrGfSzc755ij+dIGGMZ44FfQFeTfHz/kDaX/18 -n/0E1D0sl3KWu5a0v4L+E7zSrS5lN9vmhR2xOAMkAn+Gu48NeGtP8KaX/Zum+b5G8v8AvX3HJ98V -PoP/ACL+n/8AXtH/AOgitCtZaNpGcdYpsKKKKgo8p+Pf/Iv6b/19f+ymvQ/Df/ItaZ/16R/+givP -Pj3/AMi/pv8A19f+ymvQ/Df/ACLWmf8AXpH/AOgiiHwS9f0CfxR9P1NOiiigDkvEvw10DxXqY1HU -jd+cECfupdowPbB9a8x+KHw80Lwhotrd6WbnzZZ9jebIGGME+gr3uvLfjz/yLNj/ANfX/spqHpa3 -dfmXHV6iaH8GvCuoaFY3sxvvNnt0kfbOAMkAnHFd14Y8Lad4S01rDTPO8lpDIfNfcckAentT/Cv/ -ACKmlf8AXpH/AOgitatp6SaRjHWKbCuT8TfDfQfFmpLf6mbrzlQRjypdowPbB9a6yis7I0ueD/E/ -4c6D4R0GC90w3XmyTiM+bKGGMH2FdH4f+DnhXU/D9hfXBvvNuLdJH2zgDJGTjip/jt/yKVp/19D+ -RrtPB3/InaR/15x/+ginDWMvX9BS0cfT9Q8L+FNN8I6fJY6Z53lSSeYfOfcc4A9Patqiim22K1gr -zT46f8ibB/19L/I16XXmnx0/5E2D/r6X+RrOey9V+ZpDf7/yOt8D/wDIk6P/ANekf8q3qwfA/wDy -JOj/APXpH/Kt6t6nxv1MYfCgrlfFHw70LxdfR3mqG682NNi+VLtGPyNdVRWVjS54X8TPhtoHhPw0 -uoaabrzjMqfvZQwwfwFbXhb4Q+F9Y8MadqN0b3z7mBZH2TADJHYYrS+OX/IkR/8AXyldP4A/5ETR -v+vRP5VUNYy9V+Qpbx9P1JPC3hDS/B9nNa6X5/lzP5jec+45xj0FbtFFDbYWOW8U/DzQ/F95Fd6o -bnzIk2L5Uu0Y/I15t8Svhp4f8KeGP7R003Xn+cqfvZQwwfbFe5V518b/APkRP+3lP51nLRad1+ZU -dXr/AFoZHhL4ReGNa8LadqV2b3z7mEO+yYAZPoMV33hXwdpfg+2nt9L8/ZO4d/Ofcc4xxwKh+Hf/ -ACIOjf8AXstdJW9TSckjKOsVcK5fxT8PtE8YXcNzqhufMhQovky7Rg/ga6iisrF3PD/iR8M/D/hX -wq+paabvzxKiDzZQwwTzxitLwf8ACTwzrnhTT9TvDe+fcRb32TADPsMVufG3/kQZP+viP+dbfw3/ -AOSfaP8A9e4/macNYy9V+QS0cSx4V8G6V4Ot54NL8/ZcMGfzpN3IHbgVv0UU22wsFcB8aP8Akn8/ -/XaP/wBCFd/XAfGj/kn8/wD12j/9CFZVPh+a/MuG/wB5q/DL/knmkf8AXE/+hGuqrlfhl/yTzSP+ -uJ/9CNdVXRV+NmMPhCuZ8VeAtF8YzQTaobndbqVTyZNowfXg+ldNRWVrl3PFPiF8L/DvhnwnPqen -m7+0RuoXzJQy8nB4xVnwT8J/DXiDwjYapem8+0XCEv5cwC9SOBj2rp/jJ/yT27/66J/6EKvfC3/k -nek/9c2/9CNOGql6r8gnpylzwp4J0jwclwmlfaMXJBfzpN3TOMcD1roaKKbbYrHN+KvAmjeMZLd9 -VNxm3BCeTJt69c8H0rzrx/8AC3w54a8JXOqWBvPtERUL5kwZeTjpivaa4j4v/wDJPL7/AHk/9CFZ -T0jp/WppHV6nI+BfhT4b8ReEbLVL43n2icNv8uYKvDEcDHtXofhTwPo/g77R/ZRuP9J27/Ok3dM4 -xwPWqHwo/wCSdaZ9H/8AQjXY10T0k0jGOq1CiiisyzzL49f8iRZ/9hJP/RctFHx6/wCRIs/+wkn/ -AKLlooA7PwZ/yJGg/wDYNt//AEWtbVYvgz/kSNB/7Btv/wCi1raoAKKKKAPG/jn/AMhXQf8Aeb+a -17BB/wAe8f8Auj+VeP8Axz/5Cug/7zfzWvYIP+PeP/dH8qIfw/mwn8a9CSiiigArxz47/wDHzof+ -+39K9jrxz47/APHzof8Avt/Skvjh6lLZ+h67af8AHnD/ANc1/lU1Q2n/AB5w/wDXNf5VNVPczj8K -CiiikUePfHv7ui/9dH/pXrNh/wAg+3/65L/KvJvj393Rf+uj/wBK9ZsP+Qfb/wDXJf5UQ/h/NhP4 -16FiiiigAryD4+/8emj/APXVv5V6/XkHx9/49NH/AOurfypfaj6oqPU9U0v/AJBVp/1xT+Qq3VTS -/wDkFWn/AFxT+Qq3Vy+JmcPhQUUUVJR5F8ff+QbpP/Xdv5V6ho3/ACBLH/r3T/0EV5f8ff8AkG6T -/wBd2/lXqGjf8gSx/wCvdP8A0EU4fA/UJ/EvQu0UUUgCvJvj5/yBtL/6+T/6Ca9Zryb4+f8AIG0v -/r5P/oJqZbr1RUep6ToP/Iv6f/17R/8AoIrQrP0H/kX9P/69o/8A0EVoVpP4mZw+FBRRRUlHlPx7 -/wCRf03/AK+v/ZTXofhv/kWtM/69I/8A0EV558e/+Rf03/r6/wDZTXofhv8A5FrTP+vSP/0EUQ+C -Xr+gT+KPp+pp0UUUAFeW/Hn/AJFmx/6+v/ZTXqVeW/Hn/kWbH/r6/wDZTUS6eq/MuG/3neeFf+RU -0r/r0j/9BFa1ZPhX/kVNK/69I/8A0EVrVtU+NmMPhQUUUVBZ5j8dv+RStP8Ar6H8jXaeDv8AkTtI -/wCvOP8A9BFcX8dv+RStP+vofyNdp4O/5E7SP+vOP/0EUQ+CXqvyCfxR9H+ZtUUUUAFeafHT/kTY -P+vpf5GvS680+On/ACJsH/X0v8jUT2XqvzLhv9/5HW+B/wDkSdH/AOvSP+Vb1YPgf/kSdH/69I/5 -VvVvU+N+pjD4UFFFFZlnm/xy/wCRIj/6+Urp/AH/ACImjf8AXon8q5j45f8AIkR/9fKV0/gD/kRN -G/69E/lTh8M/VfkE94+j/M6GiiikAV518b/+RE/7eU/nXotedfG//kRP+3lP51FTb5r8yob/AH/k -dD8O/wDkQdG/69lrpK5v4d/8iDo3/XstdJW9X+I/Uyh8KCiiisyzz342/wDIgyf9fEf862/hv/yT -7R/+vcfzNYnxt/5EGT/r4j/nW38N/wDkn2j/APXuP5min8M/VfkE94+h09FFFABXAfGj/kn8/wD1 -2j/9CFd/XAfGj/kn8/8A12j/APQhWdT4fmvzLhv95q/DL/knmkf9cT/6Ea6quV+GX/JPNI/64n/0 -I11VdFX42Yw+EKKKKzLOE+Mn/JPbv/ron/oQq98Lf+Sd6T/1zb/0I1R+Mn/JPbv/AK6J/wChCr3w -t/5J3pP/AFzb/wBCNFP4Z+q/IJ7xOtooooAK4j4v/wDJPL7/AHk/9CFdvXEfF/8A5J5ff7yf+hCs -6nw/d+ZcNyb4Uf8AJOtM+j/+hGuxrjvhR/yTrTPo/wD6Ea7Guir8bMYfCFFFFZlnmXx6/wCRIs/+ -wkn/AKLloo+PX/IkWf8A2Ek/9Fy0UAdn4M/5EjQf+wbb/wDota2qxfBn/IkaD/2Dbf8A9FrXL+Lf -i3aeE9dk0qbSZ7ho1DeYsoUHPtik2k7Dsz0KivIv+GgNP/6AFz/3/X/Ctnwp8XrTxVr8GkRaRPbv -MGIkaUMBgZ6Y9qpJvYluxz/xz/5Cug/7zfzWvYIP+PeP/dH8q8f+Of8AyFdB/wB5v5rXsEH/AB7x -/wC6P5Uofw/mxz+NehJRXn/i/wCLNp4R1x9Lm0ma5ZUDeYkoUHPtisL/AIaA0/8A6AFz/wB/1/wp -JprQbTW567Xjnx3/AOPnQ/8Afb+lbvhf4w2fifX7fSItHngefOJGmBC4BPTHtWF8d/8Aj50P/fb+ -lOzU4PzHF6S9D120/wCPOH/rmv8AKpqhtP8Ajzh/65r/ACrhvGPxXtfCGtnS5tJmuWCB/MSUKOfb -FEmkyIJuJ39FeRf8NAaf/wBAC5/7/r/hWr4Z+Mdn4l1+10iLR54HuCQJGmUhcAnpj2ppNuyBuyMb -49/d0X/ro/8ASvWbD/kH2/8A1yX+VeTfHv7ui/8AXR/6V6zYf8g+3/65L/KlD+H82Ofxr0LFFcF4 -y+Klr4O1kabNpU1yxjD70lCjn2xXP/8ADQGn/wDQAuf+/wCv+FJNPYbTR67XkHx9/wCPTR/+urfy -rV8OfGaz8Ra9a6THo08D3LbRI0wIXgnpj2rK+Pv/AB6aP/11b+VOzUovzQ4vdeR6ppf/ACCrT/ri -n8hVuqml/wDIKtP+uKfyFcd40+KVr4N1ddOm0ua6Zow+9JQo57dKc2lIiCbid5RXkX/DQGn/APQA -uf8Av+v+FaXh74z2XiDXrTSY9Gnhe6fYJGmUhePTFCTbsgbsrmb8ff8AkG6T/wBd2/lXqGjf8gSx -/wCvdP8A0EV5f8ff+QbpP/Xdv5V6ho3/ACBLH/r3T/0EUQ+B+o5/EvQu0VwvjX4oWvgzVo9Pm0ua -6Z49+9JQo+nIrnP+GgNP/wCgBc/9/wBf8KlNPYbTR67Xk3x8/wCQNpf/AF8n/wBBNXdA+NNlr2uW -mlR6LPC11IEDtMpC++MVS+Pn/IG0v/r5P/oJokn7r80OL1a8j0nQf+Rf0/8A69o//QRWhWfoP/Iv -6f8A9e0f/oIrlvG3xOtfBepxWM2mTXRkj3h0lCge3IqptKXzM6abgvQ7mivIv+GgNP8A+gBc/wDf -9f8ACr+hfGuy1zW7TS49FuImupRGHMykLnvjFCTbsht2Vyv8e/8AkX9N/wCvr/2U16H4b/5FrTP+ -vSP/ANBFeefHv/kX9N/6+v8A2U16H4b/AORa0z/r0j/9BFKHwS9f0HP4o+n6mnRXEeN/iZbeCtRh -s59MmujNHvDJIFA9uRXM/wDDQGn/APQAuf8Av+v+FJNPYbTR67Xlvx5/5Fmx/wCvr/2U0/RfjbZa -zrNppqaJcRNdSrGHMykLk9cYpnx4/wCRZsf+vr/2U0pp2T81+Y4PVryO88K/8ippX/XpH/6CK1qy -fCv/ACKmlf8AXpH/AOgiuf8AHHxKtvBN9Baz6bLdGZN4ZJAoHPTkVpUaU36mdNNwXodtRXkX/DQG -n/8AQAuf+/6/4Vc0j44WOravaacmiXEbXMqxhzMpC5OM9KSTbsht2Vx/x2/5FK0/6+h/I12ng7/k -TtI/684//QRXFfHb/kUrT/r6H8jXa+Dv+RO0j/rzj/8AQRSh8EvVfkOfxR9H+ZtUVxfjj4kW/gi7 -t7efTZbszoWDJIFxz7iuW/4aA0//AKAFz/3/AF/wpJp7DaaPXa80+On/ACJsH/X0v8jUGl/HKx1P -VLWwTQ7hGuZVjDGdTtycZ6VP8dP+RNt/+vpf5GlNPlT81+aHB+9byf5HW+B/+RJ0f/r0j/lW9WD4 -H/5EnR/+vSP+VZfjn4i2/gi4toZ9Olu/tClgUkC4x9RWtVpTfqZ003FHZUV5F/w0Bp//AEALn/v+ -v+FWdO+OljqOpW1kuh3CNcSrGGM6nGTjPSpSbdkNuxc+OX/IkR/9fKV0/gD/AJETRv8Ar0T+Vcx8 -cf8AkSI/+vlK6fwB/wAiJo3/AF6J/KiHwz9V+Q57x9H+Z0NFcd45+Idv4HltUn06W7+0qSCkgXbj -6iuT/wCGgNP/AOgBc/8Af9f8KlNPYbTR67XnXxv/AORE/wC3lP51n2Px2sb6/t7RdCuEM8qxhjOp -xk4z0rQ+Nxz4Dz/08J/OlUT5U/NfmhwfvW8mdD8O/wDkQdG/69lrpK5v4d/8iDo3/XstVPHPxBt/ -A7Won0+W7+0g42SBduPqK1rNKo/UzppuJ19FeRf8NAaf/wBAC5/7/r/hU1n8eLC8vYLZdCuFM0io -CZ14ycelSk27Io1fjb/yIMn/AF8R/wA62/hv/wAk+0f/AK9x/M1h/Gw58AOfWeP+dbnw3/5J9o// -AF7j+Zoh8M/VfkEt4+h09Fcj458f2/gcWpn0+W7+05xskC7cfUVyH/DQGn/9AC5/7/r/AIVKaY2m -j12uA+NH/JP5/wDrtH/6EKxrX482FzdRW40G5UyuEB89eMnHpWz8Zzn4ezH1lj/9CFTUT5b+a/ND -g/et6mr8Mv8Aknmkf9cT/wChGuqrlfhl/wAk80j/AK4n/wBCNN8c+PYPA8dq89hJdi5JA2SBduPr -W1VpTd+5nTTcTrKK8i/4aA0//oAXP/f9f8Kkg+PdhPcRwjQbkGRgoPnrxk/SpSbdkUb3xk/5J7d/ -9dE/9CFXvhb/AMk70n/rm3/oRqh8Yju+Hd0fV4//AEIVf+Fv/JO9J/65t/6EaUNp+q/IU/snW0Vy -njnx3B4IgtpZ7CS7FwxUBHC7cfWuN/4aA0//AKAFz/3/AF/wpJplNNHrtcR8X/8Aknl9/vJ/6EK5 -yL4+WEsyRjQbkF2C/wCvXv8AhXQ/Fxt/w5vGxjcUP/jwqaifJfz/AFHB+9YsfCj/AJJ1pn0f/wBC -NdjXHfCj/knWmfR//QjVjxx45g8EWttcT2Ml2LhyoCOF24+tbVmlN3M6abR1NFeRf8NAaf8A9AC5 -/wC/6/4U6P4/WEkioNAucscf69f8KlK7sii98ev+RIs/+wkn/ouWim/HV/N8BWEmMbtRjOP+2UlF -IE7na+DP+RI0H/sG2/8A6LWvCPjH/wAlAuM9PLT+Ve7+DP8AkSNB/wCwbb/+i1rwj4xkf8LBuO/7 -tM/lWcvij/XQuPwsjtLfwncaTcXr6Hcw2kEW37VJekPLMRwqpjB55+lJ8IP+Si2H+7J/6CaD4s8M -v9hZtHvwLGLbFbi4Tyd2MFiCuSSead8JXEnxLs3A2hvMIHp8proh/E+8xl/DOw+Of/IV0H/eb+a1 -7BB/x7x/7o/lXj/xz/5Cug/7zfzWvYIP+PeP/dH8qzh/D+bLn8a9D55+MTRp8Q2aWPzIxGhZN2Nw -9M9qRfD/AIYe0PigWVx/wj6weWYPPbzftP8Adzjp+lL8YjEPiG3nBmjEabwhwSPaq6+OtJjv4o49 -LuxoqWht3043AKuf72cde+etZ0/g07v9f69S5/H936EXwraN/iXYtFH5UZaQqm7dtG08Z712nx3/ -AOPnQ/8Afb+lcb8L2t2+J1k1qjpAWk8tZGywG04BNdl8d/8Aj50P/fb+lbven6/5kR+Kfoeu2n/H -nD/1zX+VfP3xnjabx+I1+88SAV9A2n/HnD/1zX+VfPnxqcp49LKcMsKEEdqynb2kb9/0Y6d/Zu3b -/Iy7qPwnomof2RqGl3ly8IAuLxLjawYjJ2p0I57mpvhwbVvilYtZK6WxmfylkOWC7TjPvVOTXvDm -oypfatpN3Lf7R5phuFWKVh0JBGRnjODVn4ZSrL8TNOkSJIVeVyI0zhflPAzWtO/Or+ZE/gZ3Px7+ -7ov/AF0f+les2H/IPt/+uS/yryb49/d0X/ro/wDSvWbD/kH2/wD1yX+VRD+H82VP416HgnxqaNPH -sTSx+bGsKFk3bdw7jPaqSaR4fuNe07ydElGmXFqbiQ/bWJCj7xzjjBHSrXxw/wCR3X/r3WuYtPFD -WvhW40b7MGmdj5VznmNG++v0OBWdP4Pm/wBS5/EvRfoavgOSzl+KenPYWzW1sZ28uNpC5A2nvXc/ -H3/j00f/AK6t/KvPPhj/AMlE0j/rqf8A0E16H8ff+PTR/wDrq38q2asqfr+pEdZS9D1TS/8AkFWn -/XFP5CvCfjiQPGsBZdyi3XIzjNe7aX/yCrT/AK4p/IV4R8cCv/CbQbslfs65A64rOp8a9f0Y6X8N -+n+RMvhDR5xYeR4cP2e7gV5Lg6r88eepEfVsemOa5zwDCtv8TdNhXftS6KjeuDjB6iprnxL4Wuri -zun0vVFns40RCl2gB29Cflz1o8F6jJq3xWsNQmVUkuLouyr0HBrWH8XTz/4BnL+Hr2/TU7z4+/8A -IN0n/ru38q9Q0b/kCWP/AF7p/wCgivL/AI+/8g3Sf+u7fyr1DRv+QJY/9e6f+giph8D9S5/EvQ8O -+ORVfGdqXXeogBK5xkZ6Zqlc+GdCS806+ttPllspfLju7Q3JDQs+MOGxnHP51d+ORT/hM7XzASnk -LuCnnGaxh420yz1uy1Cw0268uKNYrmC4mVlmRRgYwBg981nS2+b/ADZVS9/kv0E8Lx2sPxZsYrK3 -NvBHebUjaQuRjPc13/x8/wCQNpf/AF8n/wBBNec+C7pb34n6ddKnlrLebgpOdoOeK9G+Pn/IG0v/ -AK+T/wCgmrd+SF+4lb2krdv8z0nQf+Rf0/8A69o//QRXivxy2jxfY713r5AyucZGema9q0H/AJF/ -T/8Ar2j/APQRXivxxaNfGFgZQzRiAFgpwSM9qVT+LH1/Ripfw36f5GffeBtMn8QaWdPWWHTLmNXu -g0m4wnG5l3e46VV0m0srH4wWdpp0LRW0N6qxq77jj1Jplx43sWvQtrbX8WnzeWbqBp1LMYwApVtv -y9OaND1K01f4r6bf2cEtvHNdoxSVwxB+oAq6fxx+ZMvglfseg/Hv/kX9N/6+v/ZTXofhv/kWtM/6 -9I//AEEV558e/wDkX9N/6+v/AGU16H4b/wCRa0z/AK9I/wD0EVMPgl6/oVP4o+n6njXx4BbxNYKO -pgx+tcvPB4Z0NrfT9Q064vrh41e4uUuDH5W4Zwq4w2Ae9dP8eTjxLY4PP2f+tZOm6l4I1S1s7zxN -Ndx6nbqEZbeHMcgXhS/rwBnGKilbl+b/ADZVS/N8l+RR0LTE0j4o6bZRymWNLuIo5GCVOCMj1wa9 -L+PH/Is2P/X0P/QTXm2j3seo/Feyu4phNHJfR7HEZjBHAA2npjpXpPx4/wCRZsf+vof+gmrlf2cL -9/8AIUf4j9P8zvPCv/IqaV/16R/+givIfjwVHiHTdwyvknIz15r17wr/AMippX/XpH/6CK8f+Pf/ -ACHtP/64H+dKt/EXr/mFH4Pl/kUX8IaZdyRXunaKTYwJA08b3j75/NH8HHG2sXT4bG2+Kdnb6dA8 -FtFfoio7lyMMAefrVqz+I7Wb6FtsmaLTI9k6GT/j44wO3GKyPDNz9r+IWn3WCvnagr4JzjL5rSH8 -VW2M3/Dd97Hrnx2/5FK0/wCvofyNdr4O/wCRO0j/AK84/wD0EVxXx2/5FK0/6+h/I12vg7/kTtI/ -684//QRUQ+CXqvyLlvH0f5nk/wAfP+Qvpn/XE/zrNg8OaGfDlpq//CO+ZAbfzbmRtTKlfmCnaoGT -1z6VpfHzH9saZ/1xP865STxD4XubCxtrnTdVBs4fKJiu0USAnJB+XpWdP4X6/wCZc/iXp/kU9JWx -X4hWI00k2n2+Pyskk7dw9a9d+Of/ACJlv/19L/I15DpFxZXPj/TptPtTaWrXkXlws+4qNw6mvXvj -n/yJlv8A9fS/yNaT/hR9f8iY/wAR+n+Z13gf/kSdH/69I/5V5f8AH3/kIaX/ANcm/nXqHgf/AJEn -R/8Ar0j/AJV5f8ff+Qjpf/XJv50V/wCJ8/8AMKPwfIwbfQ9Gm0zSJYvCl7dG+Q+dPHdPtiIOM9Me -/JrnNMtorLx3aWsEwmii1BESQfxAOMGtQ+N4bPTNMh0hdQtp7Dg77kGGYH7wZAOc1StLvTb3xzpt -zptm9lFJdxFoWcMFbcM7eOntWkP4qt3M38Dv2PYPjj/yI8f/AF8pXT+AP+RE0b/r0T+Vcx8cf+RH -j/6+Urp/AH/IiaN/16J/Koh8M/VfkVLePp+p5r8f/wDj50n/AHG/nXL2cHg5rCRk0mW9e1s1mml+ -2OmZM4ZcY4xXUfH/AP4+dJ/3G/nXBaHrHh7T9LuLe8sNQmnuozHK8VwqqBnsCprOn8MvVlz3XyG7 -dKXxxY/2Lu+xm5hKBmLEHcMjJ6817J8bv+RCH/XxHXhmjGE+KbEwKyxfbI9gc5IG8Yya9z+N3/Ih -D/r4jq6n8KPr/kTH+I/T/M6L4d/8iDo3/Xsted/H/wC/pP0avRPh3/yIOjf9ey1538f/AL+k/RqM -R/E+Y6Pw/I43StF0jX9OtJrCyWKW0kzqYlvCMxf3xkcDr0zWWZdLl8ZWp0e1e3s1uo1jWSUuWww5 -JPr6VJ4f1fQNMsbmO+sL6ee5iaF2hnVVCn0BU81naYYT4ktDbq6w/ak2ByCwG4YyauH8VEP4Ge8f -Gr/knzf9d4/51ufDf/kn2j/9e4/maw/jV/yT5v8ArvH/ADrc+G//ACT7R/8Ar3H8zUw+GfqvyHL7 -PocD8f8A7mk/Vq4K/j8P2ukaPcJokhkuRumJvGwwBwQOOM/pXe/H/wC5pP1evOrLXNGl0u2s9a06 -5nazY+TJbTBMqecNkHPPpWdPr6mk+noS6za6dZeNLW2020e2hSWL5WmMhJJBzk17L8Zv+SeTf9dY -/wCYrw2XVX1nxdFfvGsXm3KYReijIwK9y+M3/JPJv+usf8xVVP4K9f8AImP8T5Gt8Mv+SeaR/wBc -T/6Ea4j4/f8AHrpX++38q7f4Zf8AJPNI/wCuJ/8AQjXEfH7/AI9dK/32/lRifj+YUdvkzzzwuugX -dtcxahoklzNbW8k/mreMm7aMgbQOKxopbebXYZLW2NtC06lIjIX2jI43HrWp4e1jw/pVrN9tsL+e -4nieF2iuFRdrccAqTmsuJrRtdhaySWO389diysGYDI6kACtIfxI/L8yfss+gPjB/yTm4/wB+P/0I -VofC3/knek/9c2/9CNZ/xg/5Jzcf78f/AKEK0Phb/wAk70n/AK5t/wChGphtP1X5Ce0PQ474+/8A -IO0v/ro38q84ittA0TSrKbVdPm1K6vQZCiTmJYY84HIzljXo/wAff+Qdpf8A10b+VeaWmu6Pc6Zb -WevabPcNZkiGa2lEbFCc7WyDkZrOn9r1/Q0n09CDVtNtNO1yzawkeSzulSeDzB8yqT0PuCDXuvxZ -/wCSa3X/AGz/AJivBtR1g6zrtvOsCW0EZSKCFOkaA8Cvefiz/wAk1uv+2f8AMVVT+D8/8hR/ifIt -fCj/AJJ1pn0f/wBCNct8fP8AkD6b/wBdm/lXU/Cj/knWmfR//QjXLfHz/kD6b/12b+VPE/F81+YU -dvvPPfD2m6Xc+FJ76Tw5dapeQXIiIguHXKkZzhQcY6VneIdMtNM1q1W0jkgWdEla2lOXt2J+4SeT -0zz61b0LxNpml+HJtNli1NJ5pvNaezuxFyBgDp0rnvPkudRWaWWSVmkBLytuY89zVQ/iL1RP2T3H -44f8k803/r/i/wDRUlFHxw/5J5pv/X/F/wCipKKT3FH4Udx4M/5EjQf+wbb/APotakv/AAtoGqXJ -ur/R7O5nYYMksIZj+NR+DP8AkSNB/wCwbb/+i1rapFGB/wAIL4T/AOhd07/wHWrFj4U8P6ZdLdWO -jWdtOmdskUIVhn3rXooA8b+Of/IV0H/eb+a17BB/x7x/7o/lXj/xz/5Cug/7zfzWvYIP+PeP/dH8 -qIfw/mwn8a9DO1DwvoOq3JudQ0izupiMGSWIMcfWqv8AwgvhP/oXdO/8B1rfooAyLLwn4e026S6s -dFsredPuyRwhWH415n8d/wDj50P/AH2/pXsdeOfHf/j50P8A32/pS+3D1KWz9D120/484f8Armv8 -qoah4Y0HVrn7TqGkWl1NjHmSxBjj6mr9p/x5w/8AXNf5VNVS3M4fCjA/4QXwn/0Lunf+A61PZeEv -DunXSXVlotlbzx/ckjhAZfoa2KKRR498e/u6L/10f+les2H/ACD7f/rkv8q8m+Pf3dF/66P/AEr1 -mw/5B9v/ANcl/lRD+H82E/jXoU9R8M6Fq1x9o1HSbS6mxjfLEGOPqaqf8IL4T/6F3Tv/AAHWt+ig -DHs/CPhzT7pLqz0SygnjOUkjhAZfoa84+Pv/AB6aP/11b+Vev15B8ff+PTR/+urfypfaj6oqPU9U -0v8A5BVp/wBcU/kKraj4a0PV7gXGo6TaXcoG0PNEGOPTmrOl/wDIKtP+uKfyFW6qXxMzh8KMD/hB -fCf/AELunf8AgOtTWnhDw5YXUd1aaJZQTxnKSRwgMp9jWzRSKPIvj7/yDdJ/67t/KvUNG/5Alj/1 -7p/6CK8v+Pv/ACDdJ/67t/KvUNG/5Alj/wBe6f8AoIpw+B+oT+JehDqPhrQ9XnE+paVa3cqjaHmi -DED05qn/AMIL4T/6F3Tv/Ada36KQGNa+D/Ddjcx3VrodjDPGcpIkKhlPqDXn/wAfP+QNpf8A18n/ -ANBNes15N8fP+QNpf/Xyf/QTUy3j6oqPU9J0H/kX9P8A+vaP/wBBFM1Lw3omsTLNqWlWt3Io2h5o -gxA9OafoP/Iv6f8A9e0f/oIrQrSfxMzh8KMD/hBfCf8A0Lunf+A61LbeDvDVlcx3NrodjDNG25JE -hUFT6g1tUVJR5T8e/wDkX9N/6+v/AGU16H4b/wCRa0z/AK9I/wD0EV558e/+Rf03/r6/9lNeh+G/ -+Ra0z/r0j/8AQRRD4Jev6BP4o+n6i6l4c0XWJVm1LS7W7kQYVpogxA/GqX/CC+E/+hd07/wHWt+i -gDFtvBvhqzuI7i20KximjbcjpAoKn1Brh/jz/wAizY/9fX/spr1KvLfjz/yLNj/19f8AspqZ9PVf -mVDf7zvPCv8AyKmlf9ekf/oIqTUvDujazIsmpaXa3boMK00YYgfjUfhX/kVNK/69I/8A0EVrVrU+ -N+pnD4UYH/CC+E/+hd07/wAB1qS38GeGbW4juLfQrCKWNgyOsCgqR0IrboqCjzH47f8AIpWn/X0P -5Gu08Hf8idpH/XnH/wCgiuL+O3/IpWn/AF9D+RrtPB3/ACJ2kf8AXnH/AOgiiHwS9V+QT+KPo/zL -Op+H9H1l0fU9MtrxkGFM0YYge2ao/wDCC+E/+hd07/wHWt+igDEg8F+GLadJ4NBsI5Y2DI6wKCpH -QiuP+On/ACJsH/X0v8jXpdeafHT/AJE2D/r6X+RqJ7L1X5lw3+/8jrfA/wDyJOj/APXpH/Krup6B -o+ssjanptteNGMKZow236Zql4H/5EnR/+vSP+Vb1bVfjfqZQ+FGB/wAIL4T/AOhd07/wHWnw+CvC -9vMk0Og2EckbBkZYFBUjoRW5RUFHm/xx/wCRIj/6+Urp/AH/ACImjf8AXon8q5j45f8AIkR/9fKV -0/gD/kRNG/69E/lTh8M/VfkE94+j/M0dT0HSNZKHU9Ntrwx8IZow236Zqh/wgvhP/oXdO/8AAda3 -6KQGHF4J8LwypLFoFgkiMGVlgUEEdDXLfG//AJET/t4T+dei1518b/8AkRP+3lP51FT4fmvzRUN/ -v/I6H4d/8iDo3/Xstamp6DpOs7P7T063vPL+550Ybb9M1l/Dv/kQdG/69lrpK3q/xH6mcPhRgf8A -CC+E/wDoXdO/8B1p0fgjwtFIskfh/T0dCGVhAuQR3rdorMo88+Nn/Igv/wBd4/51ufDf/kn2j/8A -XuP5msT42/8AIgyf9fEf862/hv8A8k+0f/r3H8zRT+GfqvyCe8fQ2NT0LSdZ2f2np1veeX9zzow2 -36ZrP/4QXwn/ANC7p3/gOtb9FAGEngjwrG6yJ4f09XU5UiBcg1zvxo4+H0//AF2j/wDQhXf1wHxo -/wCSfz/9do//AEIVFT4fmvzKhv8Aeavwy/5J5pH/AFxP/oRrb1PQ9K1kIup6fb3gj+4Jow236ZrE -+GX/ACTzSP8Arif/AEI11VbVfjfqZw+EwP8AhBfCf/Qu6d/4DrTk8D+FUdXTw/p6spyCIF4NbtFQ -UcJ8Yxj4eXQH/PSP/wBCFXvhb/yTvSf+ubf+hGqPxk/5J7d/9dE/9CFXvhb/AMk70n/rm3/oRop7 -T9V+QT+yb+p6Jpesqianp9veLGcqJow236ZrO/4QXwn/ANC7p3/gOtb9FAGCvgbwqjBl8PaeCDkE -W68Vi/F4AfDu9A4AZP8A0IV3FcR8X/8Aknl9/vJ/6EKip8P3fmXD4ib4Uf8AJOtM+j/+hGuj1LRd -M1lEj1OwgvFQ5UTIGAPtmuc+FH/JOtM+j/8AoRrsa3q/GzKGxgf8IL4T/wChd07/AMB1pR4G8KKQ -R4e08EdD9nWt6isyjzH48KF8DWSqMAalGAB/1ylopfj1/wAiRZ/9hJP/AEXLRQB2fgz/AJEjQf8A -sG2//ota2qxfBn/IkaD/ANg23/8ARa1tUAFFFFAHjfxz/wCQroP+8381r2CD/j3j/wB0fyrx/wCO -f/IV0H/eb+a17BB/x7x/7o/lRD+H82E/jXoSUUUUAFeOfHf/AI+dD/32/pXsdeOfHf8A4+dD/wB9 -v6Ul8cPUpbP0PXbT/jzh/wCua/yqaobT/jzh/wCua/yqaqe5nH4UFFFFIo8e+Pf3dF/66P8A0r1m -w/5B9v8A9cl/lXk3x7+7ov8A10f+les2H/IPt/8Arkv8qIfw/mwn8a9CxRRRQAV5B8ff+PTR/wDr -q38q9fryD4+/8emj/wDXVv5UvtR9UVHqeqaX/wAgq0/64p/IVbqppf8AyCrT/rin8hVurl8TM4fC -goooqSjyL4+/8g3Sf+u7fyr1DRv+QJY/9e6f+givL/j7/wAg3Sf+u7fyr1DRv+QJY/8AXun/AKCK -cPgfqE/iXoXaKKKQBXk3x8/5A2l/9fJ/9BNes15N8fP+QNpf/Xyf/QTUy3Xqio9T0nQf+Rf0/wD6 -9o//AEEVoVn6D/yL+n/9e0f/AKCK0K0n8TM4fCgoooqSjyn49/8AIv6b/wBfX/spr0Pw3/yLWmf9 -ekf/AKCK88+Pf/Iv6b/19f8Aspr0Pw3/AMi1pn/XpH/6CKIfBL1/QJ/FH0/U06KKKACvLfjz/wAi -zY/9fX/spr1KvLfjz/yLNj/19f8AspqJdPVfmXDf7zvPCv8AyKmlf9ekf/oIrWrJ8K/8ippX/XpH -/wCgitatqnxsxh8KCiiioLPMfjt/yKVp/wBfQ/ka7Twd/wAidpH/AF5x/wDoIri/jt/yKVp/19D+ -RrtPB3/InaR/15x/+giiHwS9V+QT+KPo/wAzaooooAK80+On/Imwf9fS/wAjXpdeafHT/kTYP+vp -f5GonsvVfmXDf7/yOt8D/wDIk6P/ANekf8q3qwfA/wDyJOj/APXpH/Kt6t6nxv1MYfCgooorMs83 -+OX/ACJEf/XyldP4A/5ETRv+vRP5VzHxy/5EiP8A6+Urp/AH/IiaN/16J/KnD4Z+q/IJ7x9H+Z0N -FFFIArzr43/8iJ/28p/OvRa86+N//Iif9vKfzqKm3zX5lQ3+/wDI6H4d/wDIg6N/17LXSVzfw7/5 -EHRv+vZa6St6v8R+plD4UFFFFZlnnvxt/wCRBk/6+I/51t/Df/kn2j/9e4/maxPjb/yIMn/XxH/O -tv4b/wDJPtH/AOvcfzNFP4Z+q/IJ7x9Dp6KKKACuA+NH/JP5/wDrtH/6EK7+uA+NH/JP5/8ArtH/ -AOhCs6nw/NfmXDf7zV+GX/JPNI/64n/0I11Vcr8Mv+SeaR/1xP8A6Ea6quir8bMYfCFFFFZlnCfG -T/knt3/10T/0IVe+Fv8AyTvSf+ubf+hGqPxk/wCSe3f/AF0T/wBCFXvhb/yTvSf+ubf+hGin8M/V -fkE94nW0UUUAFcR8X/8Aknl9/vJ/6EK7euI+L/8AyTy+/wB5P/QhWdT4fu/MuG5N8KP+SdaZ9H/9 -CNdjXHfCj/knWmfR/wD0I12NdFX42Yw+EKKKKzLPMvj1/wAiRZ/9hJP/AEXLRR8ev+RIs/8AsJJ/ -6LlooA7PwZ/yJGg/9g23/wDRa1tVi+DP+RI0H/sG2/8A6LWtqgAooooA8b+Of/IV0H/eb+a17BB/ -x7x/7o/lWB4o8DaT4untZtSa4DWufL8mQKOcdeD6V0KKERVHRRgUR0hbzYS1lfyHUUUUAFeOfHf/ -AI+dD/32/pXsdc54q8D6T4ve2fU2uFNqSY/JkC9fXg+lL7UX2Y09Gbtp/wAecP8A1zX+VTU2NBFG -sa5woAGadVPcmKskgooopDPHvj393Rf+uj/0r1mw/wCQfb/9cl/lWL4r8EaT4x+zf2m1wv2Ykp5M -gXr68Gt+KNYYUiXO1FCjPtRHSFvMJayT8h9FFFABXkHx9/49NH/66t/KvX657xX4J0rxilumqNcK -LdiyeS4Xr68Gl9pPsyk7XNbS/wDkFWn/AFxT+Qq3UcEK28EcKZ2xqFGeuBUlU3dkRVkkFFFFIZ5F -8ff+QbpP/Xdv5V6ho3/IEsf+vdP/AEEVmeK/BeleMYbeLVGuAtuxZPJcLyfXg1t20CWttFbx52RI -EXJycAYojpFrzCWrT8iWiiigAryb4+f8gbS/+vk/+gmvWawPFfg3S/GNvBBqbThIH3p5LhecY54N -JrVepSdi/oP/ACL+n/8AXtH/AOgitCobS2js7SG1iz5cKBF3HJwBgVNVyd22ZxVopBRRRUlHlPx7 -/wCRf03/AK+v/ZTXofhv/kWtM/69I/8A0EVU8VeDtM8Y2sNtqbTqkD718lwpzjHPBrXsrSOxsoLS -HcY4I1jTccnAGBmiOkWvMJatPyJ6KKKACvLfjz/yLNj/ANfX/spr1KsLxV4Q03xhZRWmptOscUnm -L5L7TnGPQ1Mle3qiouzJ/Cv/ACKmlf8AXpH/AOgitaq9hZRadYW9lBuMVvGsabjk4AwM1YrSbvJs -zirRSCiiipKPMfjt/wAilaf9fQ/ka7Twd/yJ2kf9ecf/AKCKb4p8J6b4vsI7LUmnEUcnmL5L7TnH -0NaenWEOl6db2FuWMVvGI03nJwBgZNEdIyXd/oEtWvJFmiiigArzT46f8ibB/wBfS/yNel1i+KPC -uneLtOSx1JphEkgkHkvtOR+BqZJtfcVF2ZH4H/5EnR/+vSP+Vb1VNL06DSNMttPti5htoxGm85OB -6mrdaTacm0ZxVopBRRRUlHm/xy/5EiP/AK+Urp/AH/IiaN/16J/KrHifwtp/i3TF0/UmmEKuHHkv -tOR+Bq7pOmW+jaVbabalzDbRiNN5ycD1NEdIyXdr8glq15FyiiigArzr43/8iJ/28p/OvRax/E3h -iw8WaX/Z2otMId4f90205H4Gpkm1935lRdmU/h3/AMiDo3/XstdJVLR9Kt9E0m2020LmC2QIm85b -Huau1rNqUm0ZxVlYKKKKgo89+Nv/ACIMn/XxH/Otv4b/APJPtH/69x/M1oeJvDVh4r0o6bqJlEBc -P+6bacj8DVnRtJttC0m30y0LmC2TahkbLY9zRHRSXdr8glq15F6iiigArgPjR/yT+f8A67R/+hCu -/rJ8SeHLHxTpLaZqBlEDMGPlNtbIORzipmm0VF2Zl/DL/knmkf8AXE/+hGuqqhomj2ugaRb6XZmQ -wW67UMjZbGc8mr9azacm0ZxVlYKKKKgo4T4yf8k9u/8Aron/AKEKvfC3/knek/8AXNv/AEI1seI/ -D1l4o0iTS9QMogkYMTE21uDnrUuhaLa+HtHg0uyMhgtwQhkbLcnPJ/GiOil5tfkEteXyNCiiigAr -iPi//wAk8vv95P8A0IV29ZfiHQLPxNpEul35lEEpBYxNtbg561M02rFRdmYXwo/5J1pn0f8A9CNd -jWdoGh2nhzR4dLsTIYIM7TI25uTnr+NaNazacm0ZxVkFFFFQUeZfHr/kSLP/ALCSf+i5aKPj1/yJ -Fn/2Ek/9Fy0UAdn4M/5EjQf+wbb/APota2qxfBn/ACJGg/8AYNt//Ra1tUAFFFFABRRRQAUUUUAF -FFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQAUU -UUAFFFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRR -QAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQAUUUUAFFFFABRRRQB5l8ev+RIs/+wkn/ouWij49f8iR -Z/8AYST/ANFy0UAdn4M/5EjQf+wbb/8Aota2axvBn/IkaD/2Dbf/ANFrWrcxtLbSxo21mQgH0NJu -yGtzDuPG+jW00iyNcmGJir3S27GFSOvzgYrdt547m3juIjmOVQ6kjGQRkVyWmeJNL0jSotH1FJlv -rceW9uLdm8xs9QQNpB+tddC2+FG8sx5UHYw5X2NVb5k6j6KKKQxrNsUsQSAM8DJrnI/HmkSOQ0Oo -RRo/lvNJZuscZ/2mIwK6NmCKWY4AGTXC2firR/7O1SzMsss9zcy+VF9mk+fdgDquKQzu1ZXUMpDK -RkEd6WqGh2k1jodla3DbpYYFRz7gVfqmrOxKCmu2xGYgkKM4AyadTXdY0Z2OFUZJpMZzQ8f6Qyu4 -tdTMcbFXk+wybVI65OK6WN1ljWReVYZFeY6XqmgwXlxfXurai/72YGwaCVo3DYwVXbx0r0q0lSe0 -hljRkR0BVWGCBjoRQvhT9Ae9vUmooooAK5uTxzpiXM9utpqkj277JPLsZGCn6gV0lefWD6fqPja8 -/wCJtfQyreho7YI4hmCqAcjGPxzQtXYHpG52+m6jb6rp8N9aljDMu5dylT+IPSrVRW1tDaQLBbxr -FEv3VUcCpaACiimuCyMoOCQQD6UnsBhXnjTSLK4lif7TIkJxLPFbs8UZ77nAwMVs2l1Fe2sd1A26 -KVQyHGMiuFOtRaPon9jjUhp2owSP5iy2DziTLEjoMcgjnNdlopvDo9q1+VNyYwZNqbBn6dqpbMT3 -ReooopDCsOHxfpkutDSGS7huSTt862ZFbHHDEY57etbE80dvA80rbY41LMcE4H4V5nPq+p6lbatr -Npb2clus6mOWd5UkiCH5Rs2c5PPHrSvqO2h6hRWfomqw61pUN7Bvw4wwdCpDdxg1oVTVnYlO6uFI -TgE+lLSE4BJ6CkM5v/hO9KMssYttSIhcpK4sZNqEdcnHAroopUmiSWNgyOAykdxXmEl5o1zdax9o -1/VbR5ZmCQRJJ5T8Y5XZyD0PPNeg6A1y2hWZvIVhn8oBo1XAGOnHbjFEdY39PxB/Fb1NGiiigArC -vvF+lWF3JbuLmUxHEskFu0kcX+8wGBW4RkEdMiuQsNd0/wANR3Om6y0kVx5zyD9w7iZWJIIKg59O -aQHT6ff22qWMV7aSeZBMMo+MZGcVZqvYzLcWUUyQPbq65EbqFKj6dqsVT3EtgooopDOcl8caXDdz -2zW2pFrdtsrLZSFU9ycYx3zW/b3EN1bpcW8iyRSKGR1OQRXHweLdFsdc1kXNxL87oqr9mkO8hSCB -8uOvFbfhS0msvD8Ec4KszPIFP8KsxYD8iKFtf0B7mzRRRQAVzcvjnS4ri4g+yanI1sxWUx2MjBT9 -cV0leavqOijxVfXl5rWoW4hugwtlilMMwCkEEBeevXNLrYfQ9Ds7uK/s4ruAkxSqGXcMHB9qnqjo -91bXmlwT2cLw27r+7R02kD6dqvVT3JWwUUUUhmBeeMtOstSm09rXUZZ4cFxDZu4APQ5A6VoaRrFr -rdn9qtPNCByhWWMoykHBBB5rjdXksL7xzcW0ur39i4SJEECP5cjA5KtgYP513dvawWocQRLGHcu2 -0dWPU018Nwl8Vl5fkTUUUUgCsXUfFem6bdtaut1PJGMy/ZrdpRF/vEDitquIl1WHQBqVhcagdPvZ -7pp453s3nV0OMcAc9D3pNjR1um6la6tZJeWUnmQSZ2tjGatVj+Fpb6fQ4pb+WOWR2JRo4PKBTPyn -b24rYqmrMlO6CiikJCgk9AMmkMxLrxdpljq6aZcpdxTSPtV2tm8s++/GMc9a2685vdWvNd1TVptP -gtbm0t4PJzdPLEUHVmUbDnJ9PSur8Ja4uu6HFMxJuIgEnzGyjd6jIGRQtUD0ZuUUUUAFc9ceNdNt -7+ex+yalLNAcSeVZSOB75A6V0Nec6lf6Q/izUjea7qunAKiD7IJFViOucIc0r62H0O/s7yC/tI7q -2ffFIMqanrC8G+cPDsKSxhURmWFhHs8yP+FivYmt2qasyUFFFFIZj6n4o0/S7r7LItzcTBdzpawN -KUHq23pVvStXstatDd2Evmwhym7BHI61gR6taeGNW1FNYeSIXcvnQTCJnV1wBjKg8jHSt/Sb2HUL -Fbm2t5IIXJ2B02Fh/ex6GhbA9y7RRRQAVgX3jHT7DUZNPe11GWeMbmENk7jHqCB0rfrkbrxPpOme -Mrj7ZcyRhbVYyfIkYbt2cZCntS62DodLYX9rqdml3ZyiWGQcEfyPoas1g+E4m+zXt5tZIL27aeBG -XaQhAA47ZwTW9VMAooopAYF54y06y1GXT2tdRlnhALCGzdxj1yBWnpep2+r2KXlr5gjckASIUYEH -ByDyK4bX7zS5PGM/2vWb/TxCkX+ojk2SMrA7WwvP512WgX9hqOnmfTYZI7fzGA3xlNx7kA80R1jf -+twlo7f1scL8ev8AkSLP/sJJ/wCi5aKPj1/yJFn/ANhJP/RctFAHZ+DP+RI0H/sG2/8A6LWtqvIv -D/xr8N6V4c0zTp7HVGltLSKCRkijKllQKSMuOMitD/hfXhX/AKB+r/8AfmL/AOOUAem0V5l/wvrw -r/0D9X/78xf/AByj/hfXhX/oH6v/AN+Yv/jlAHptFeZf8L68K/8AQP1f/vzF/wDHKP8AhfXhX/oH -6v8A9+Yv/jlAHptFeZf8L68K/wDQP1f/AL8xf/HKP+F9eFf+gfq//fmL/wCOUAem0V5l/wAL68K/ -9A/V/wDvzF/8co/4X14V/wCgfq//AH5i/wDjlAHptFeZf8L68K/9A/V/+/MX/wAco/4X14V/6B+r -/wDfmL/45QB6bRXmX/C+vCv/AED9X/78xf8Axyj/AIX14V/6B+r/APfmL/45QB6bRXmX/C+vCv8A -0D9X/wC/MX/xyj/hfXhX/oH6v/35i/8AjlAHptFeZf8AC+vCv/QP1f8A78xf/HKP+F9eFf8AoH6v -/wB+Yv8A45QB6bRXmX/C+vCv/QP1f/vzF/8AHKP+F9eFf+gfq/8A35i/+OUAem0V5l/wvrwr/wBA -/V/+/MX/AMco/wCF9eFf+gfq/wD35i/+OUAem0V5l/wvrwr/ANA/V/8AvzF/8co/4X14V/6B+r/9 -+Yv/AI5QB6bRXmX/AAvrwr/0D9X/AO/MX/xyj/hfXhX/AKB+r/8AfmL/AOOUAem0V5l/wvrwr/0D -9X/78xf/AByj/hfXhX/oH6v/AN+Yv/jlAHptFeZf8L68K/8AQP1f/vzF/wDHKP8AhfXhX/oH6v8A -9+Yv/jlAHptFeZf8L68K/wDQP1f/AL8xf/HKP+F9eFf+gfq//fmL/wCOUAem0V5l/wAL68K/9A/V -/wDvzF/8co/4X14V/wCgfq//AH5i/wDjlAHptFeZf8L68K/9A/V/+/MX/wAco/4X14V/6B+r/wDf -mL/45QB6bRXmX/C+vCv/AED9X/78xf8Axyj/AIX14V/6B+r/APfmL/45QB6bRXmX/C+vCv8A0D9X -/wC/MX/xyj/hfXhX/oH6v/35i/8AjlAHptFeZf8AC+vCv/QP1f8A78xf/HKP+F9eFf8AoH6v/wB+ -Yv8A45QB6bRXmX/C+vCv/QP1f/vzF/8AHKP+F9eFf+gfq/8A35i/+OUAem0V5l/wvrwr/wBA/V/+ -/MX/AMco/wCF9eFf+gfq/wD35i/+OUAem0V5l/wvrwr/ANA/V/8AvzF/8co/4X14V/6B+r/9+Yv/ -AI5QB6bRXmX/AAvrwr/0D9X/AO/MX/xyj/hfXhX/AKB+r/8AfmL/AOOUAem0V5l/wvrwr/0D9X/7 -8xf/AByj/hfXhX/oH6v/AN+Yv/jlAHptFeZf8L68K/8AQP1f/vzF/wDHKP8AhfXhX/oH6v8A9+Yv -/jlAHptFeZf8L68K/wDQP1f/AL8xf/HKP+F9eFf+gfq//fmL/wCOUAem0V5l/wAL68K/9A/V/wDv -zF/8co/4X14V/wCgfq//AH5i/wDjlAHptFeZf8L68K/9A/V/+/MX/wAco/4X14V/6B+r/wDfmL/4 -5QB6bRXmX/C+vCv/AED9X/78xf8Axyj/AIX14V/6B+r/APfmL/45QB6bRXmX/C+vCv8A0D9X/wC/ -MX/xyj/hfXhX/oH6v/35i/8AjlAHptFeZf8AC+vCv/QP1f8A78xf/HKP+F9eFf8AoH6v/wB+Yv8A -45QB6bRXmX/C+vCv/QP1f/vzF/8AHKP+F9eFf+gfq/8A35i/+OUAem0V5l/wvrwr/wBA/V/+/MX/ -AMco/wCF9eFf+gfq/wD35i/+OUAem0V5l/wvrwr/ANA/V/8AvzF/8co/4X14V/6B+r/9+Yv/AI5Q -B6bRXmX/AAvrwr/0D9X/AO/MX/xyj/hfXhX/AKB+r/8AfmL/AOOUAem0V5l/wvrwr/0D9X/78xf/ -AByj/hfXhX/oH6v/AN+Yv/jlAHptFeZf8L68K/8AQP1f/vzF/wDHKP8AhfXhX/oH6v8A9+Yv/jlA -HptFeZf8L68K/wDQP1f/AL8xf/HKP+F9eFf+gfq//fmL/wCOUAem0V5l/wAL68K/9A/V/wDvzF/8 -co/4X14V/wCgfq//AH5i/wDjlAHptFeZf8L68K/9A/V/+/MX/wAco/4X14V/6B+r/wDfmL/45QAf -Hr/kSLP/ALCSf+i5aK4/4mfEzRfGfhy307TrW+iliu1nLXEaKu0I64+VjzlhRQB//9l= +Pw/c+DtFmn0LS5ZZLCB3kezjZmYxqSSSOSTWt/whnhr/AKF7SP8AwCi/+Jo8Gf8AIkaD/wBg63/9 +FrW1QBi/8IZ4a/6F7SP/AACi/wDiap32h+CtL2f2hpfh+23/AHfOtoUz9MiumrlbRbA+I9V/tlbb +7QWXyftGOYsD7ueMZo6gTv4e8HpZyXX9i6I0Ef3nSziYD8h70mn+HvCOpwvLaaDpLojtGSbCMfMO +vVaw/FUemWvg2dNCF6lt5rbv7NwVLHGd2e30rQ+HIl/seYzDVMtISPt23B91x+tNWd/67f5g3axr +f8IZ4a/6F7SP/AKL/wCJo/4Qzw1/0L2kf+AUX/xNbVFIDlLrT/AVjO0F3ZeG4Jl+8kkECsPwIq1J +4a8JRWTXjaHoxtwu/wAxbKIjHrwtQ3A0o+NZPtYsd32Pnzdmc7h1zXNat+58J6stqdQWw+1sLb7A +QRswM5z/AA5z0pdP672GtzqNP8P+EdUikktNB0l0jkMbE2EY+Ydeq1a/4Qzw1/0L2kf+AUX/AMTW +P8NxL/ZU5m/tXLSZBvtuD7rj9a7KqaJTMX/hDPDX/QvaR/4BRf8AxNH/AAhnhr/oXtI/8Aov/ia2 +qKQzF/4Qzw1/0L2kf+AUX/xNH/CGeGv+he0j/wAAov8A4mtqigDF/wCEM8Nf9C9pH/gFF/8AE0f8 +IZ4a/wChe0j/AMAov/ia2qKAOWvdJ8DabN5V9p/h22kxnZLbwqcfQilg0fwTdWk1za6XoE8MIJke +G1hcLxnnAqh4vgNx4msEtLnTra6NtJ891Er55GBg9D703xNpMeleFbueIX6XFzGvnnTgoBYLgkjH +3TR0uPrY1NP0DwhqiSvaaDpLrE5jYmwjHzYB7r7irf8Awhnhr/oXtI/8Aov/AImsL4aeb/Z9y039 +rfM4IN7t2Hj+HHf1/Cu3ptEpmL/whnhr/oXtI/8AAKL/AOJqrfaB4M0xFe/0nQLZWOFM1tCgP0yK +6SuTnFuviTVJb+O1lnWGMWiXThVI5zgngc4zUjLK+HvB8lo91Fo2hyQRrvZ47SJgBjPYelM0/QfC +GqLK1poOkuIn2MTYRjnAPdfQiuduZseEdWWLTZtPVZDu/sicSiQkckkgDb2OKvfDLzvsVy0v9r4Y +gg3m3YeP4cd/X8Kpat+n+X+Ym7L5nQf8IZ4a/wChe0j/AMAov/iaP+EM8Nf9C9pH/gFF/wDE1tUU +hnL3ekeB7C4Fvead4egmYAiOW3hVjn2Iq3L4S8LwwvLJ4f0gRopZj9ij4A5/u1ieKby0vfENvZf2 +a+orboZJhbRpI6t0TOSCMcmq8+sjUPAFwhOox3NqGhkjtWUzADgFxk8EdaV9LjtZm1p2heD9UEps +9B0lxE2xybCMc4B7r6EVc/4Qzw1/0L2kf+AUX/xNc78MfO+y3LS/2vhtpBvNvlngfdx3/piu8qmr +WJTuYv8Awhnhr/oXtI/8Aov/AImj/hDPDX/QvaR/4BRf/E1tUUhmL/whnhr/AKF7SP8AwCi/+Jo/ +4Qzw1/0L2kf+AUX/AMTW1RQBi/8ACGeGv+he0j/wCi/+Jo/4Qzw1/wBC9pH/AIBRf/E1tUUAc5fe +HvB2mRCS/wBI0G2jY4DTWsKgn8RRD4e8H3Nu09vo+hTRKu8tHaRMMdc8Co7kWn/CZSHWBB5f2YfZ +TPjbnJ3YzxnpVXVotHttB1ZtEE6Ox3XB0vG7dg4znjH0pXsrh1sWtO0TwdqplFnoWkv5RAf/AECM +YyMjqvoau/8ACGeGv+he0j/wCi/+JrmPheJjHcNJ/bG1lXabsL5R4HTHOf6V6DVNWsJO5i/8IZ4a +/wChe0j/AMAov/iaoXuk+BtNmEV9p/h22kIyElt4VOPoRXU1zWtjTv8AhKdK+2/Y87Jc+dtzjbx1 +pdbDJIfDPhG5tBdW+iaJLAQWEkdpEykfUCodO0XwdqrSiz0LSZPK27/9AjGMjI/h9KybsRwp4hOm +m6Ww2oVOnEZ8zB347Y6ZxUPwuExFw0n9sbSi7TdBfKOAOmOc/wBKI6t+gS0R1P8Awhnhr/oXtI/8 +Aov/AImj/hDPDX/QvaR/4BRf/E1tUUAYv/CGeGv+he0j/wAAov8A4mj/AIQzw1/0L2kf+AUX/wAT +W1RQBi/8IZ4a/wChe0j/AMAov/iaP+EM8Nf9C9pH/gFF/wDE1tUUAYv/AAhnhr/oXtI/8Aov/iap +32ieCdLKjUNM8P2xflRNbQpn6ZFdNXI+OY1luNHRJrKC4Nw2yS6jV1A2nsSKBpE9lo/gjUi4sdN8 +PXJjGWENvC5Ue+BSado3g3VZJUs9C0mQxBS/+gRgAN0/h9qrS6MLDw9JfyNcHUGt/Lll0tUUuASQ +VGMd6yPhd5xmnZ/7Z2GMBTchfK4+nOfT8aatdrsS3on3Ou/4Qzw1/wBC9pH/AIBRf/E0f8IZ4a/6 +F7SP/AKL/wCJraopDOevPDfhDToPOvdG0K3izjfLawqM/Uim2nh7wdfx+ZZ6RoNwmM7orWFhj8BT +NUS3Pi2JtSEDQLaMbdZyAhkzz14zisi3nxHrPlaULJvLDtPpFyssjntgYAFK9lcfU1dP0bwbqkss +dnoWkyNEqs3+gRgANnH8Psavf8IZ4a/6F7SP/AKL/wCJrj/hf5xup2f+2thjAU3IXyePpzn0/GvS +qpqxKd9jF/4Qzw1/0L2kf+AUX/xNU77RPBOmMi3+meH7YvyomtoU3fTIrpq4/wAaXttLd2OmtZG/ +Z33zQwojyCMegJHU4qSkakfhHwvLGskegaM6MNystlEQR6jiqVho/g3U55IbTQ9Jd41DN/oEYABz +jnb7GqGl6ws2halp/l6hazWjMY4Yigudh5BC5IGM4/Csv4Y+c19Mz/22YzHgG4C+T1PXHOfT8apa +tryE9Edl/wAIZ4a/6F7SP/AKL/4mj/hDPDX/AEL2kf8AgFF/8TW1RSAxf+EM8Nf9C9pH/gFF/wDE +0f8ACGeGv+he0j/wCi/+JraooAxf+EM8Nf8AQvaR/wCAUX/xNH/CGeGv+he0j/wCi/8Aia2qKAMX +/hDPDX/QvaR/4BRf/E1Dd+GfCNhAZ7zRdDghXq8lpEqj8SK6Cua1sW58T6cdUEf2Hy32GX7nm8Yz +njOM9aOtgHWfh/wbqChrLSdBuFIyDFbQtx07CobLR/Buo3Ulva6HpLyRrvYfYIwAMkddvqDT5odD +h/tGXSlxfNADK2nY83aOmP4c1y3w1859Xldv7cMe0gG4C+V1P3u+f/r01q7f1/WgPRHa/wDCGeGv ++he0j/wCi/8AiaP+EM8Nf9C9pH/gFF/8TW1RSA5m+0TwTpZQahpnh+2L/dE1tCmfpkVJZeHPB+ow ++dY6PoVxFnG+K1hYZ+oFHir7F9o0n7Z9m/4/F/123pznrWfMtvH4gvW0jeLc2JNwdPI3eZuG3b23 +YzSvZXfn+CuO12WbPR/Bt/ePa22h6S8qLvYfYI8AZI67fUGr/wDwhnhr/oXtI/8AAKL/AOJriPhw +Zn1yV2/t0x4YA3AXyvvE/N3z/WvUapq1ib3bsYv/AAhnhr/oXtI/8Aov/iaP+EM8Nf8AQvaR/wCA +UX/xNbVFIZ5F8a/D+kaV4OtJtO0qxtJWv0QyW9ukbFfLkOMgDjIH5UVofHr/AJEiz/7CKf8AouSi +gDs/Bn/IkaD/ANg63/8ARa1tVi+DP+RI0H/sHW//AKLWtqgAqnf6Pp+qbP7Qsba62fd86MNj6Zq5 +RQBSn0iyuLBLJoFW1TG2JPlUY9hU9paRWNrHb267YoxhRnPFTUUAFFFFAGbdeHNHvrhp7vS7KeZv +vPJCrMfxIqWfSbOewWyaBVtkxtjT5QMfSrtFAEFnZw2FrHb267YoxhRnNT0UUAFFFFABRRRQAUUU +UAULzQtL1GcT3unWtxKAAHliVmH4kVNd2Fve2n2adMw8fKDjp06VZooAr2VlBp1oltbJsiToM5qx +RRQAVTv9I0/VNn9oWVvdbPu+dGH2/TNXKKAKJ0aw/s77BHbRxWuc+VENi9c9BU1jYwadapbWqbIk +6DOasUUAFFFFAFKLRtNhvXvIrC2S5fO6ZYgHbPXJ61CPDukpFNHDYW8Im/1hhQIX5zyRWnRQBWsb +CDTbVbe1TZEucDOas0UUAFFFFABRRRQAUUUUAVb7TbLU4hFf2kFzGpyFlQMAfxpg0ixTTmsIbaOG +1brHEuwfpV2iiwFaw0+30y2EFomyMEnGc8mrNFFG4BWfe6DpWpTCW+060uZAMB5YlY4+pFaFFAFN +dJso9Oaxhto4bVhgxxLsH6U6w0620y38i0TZHuLYyTyatUUAFFFFABRRRQAUUUUAFUr/AEfTtUKn +ULG2uSgwpmiD4+mau0UAV2sbdrE2YiVLcrs2J8oA9BjpTNP0220uBobOPYjMWIyTyat0UAFFFFAF +a+02z1OIRX9rDcxqdwWVAwB9eaih0bT7WyltLW0ht4Jc70hQIDn6Veoo8guVNP0y10uForOPYjMW +IyTzVuiihu4BVJtH0578XzWNs12Ok5iG8f8AAutXaKAM/wDsHTBNNMlhbxzTArJKkYV2B6/MOak0 +7S7XSonjs49iO24jJPNXKKACiiigAooooAKKKKACoLuytr+3MF5BFPC3VJFDKfwNT0UAUrbSLCxt +ZbaztIbaGUHesKBAcjHajTtJtNKR0s49iu25uSefxq7RQAUUUUAUr/R9O1QodQsba6Kfd86IPj6Z +pbXSbCxtpLeztIbaKTO5YUCA/lVyigClp2k2mlLItnHsEhy3zE5P41doooAKKKKAPMvj1/yJFn/2 +EU/9FyUUfHr/AJEiz/7CKf8AouSigDs/Bn/IkaD/ANg63/8ARa1tVi+DP+RI0H/sHW//AKLWtqgA +oorxTxx8VfEWgeLb3TrFrT7PCwCb4cnoD1zSvrYdtLntdFfOv/C7vFf96x/78f8A16P+F3eK/wC9 +Y/8Afj/69MR9FUVzvgPW7vxD4Qs9RvzGbibdu8tdo4Yjp+FdFTkuV2Yk7hRXi3jv4p+IfD3i6806 +xa0+zxbdu+HJ5Geua57/AIXd4r/vWP8A34/+vUp3V0U1Z2PoqivnX/hd3iv+9Y/9+P8A69ey/D/X +bzxH4QtdR1Axm4lLbvLXaOGIHFUldXJbOlooopDCivPfin401XwiNOOlGAfaGYP5se7p6c13lpI0 +1pDI+NzoGOPUihaq4PR2JqKKKACivG/iF8UNf8NeLbjTtPa1FvGqlfMi3Hkeua5n/hd3iv8AvWP/ +AH4/+vSTurjas7H0VRXzr/wu7xX/AHrH/vx/9evYPhz4hvfE/hKHUdRMZuHkdT5a7RgHA4qkrq5L +djqqKK8d+InxO1/wz4tm07Tjai3SNWHmRbjk++aluzsVY9ior51/4Xd4r/vWP/fj/wCvR/wu7xX/ +AHrH/vx/9emI+iqK5T4b+Ir7xR4UTUNSMZnaV1PlrtGAeOK6um1Z2Yk7hRXj/wAR/ibr3hfxZJp+ +nG1FusSuPMi3HJz3zXK/8Lu8V/3rH/vx/wDXqU7q5TVj6Kor51/4Xd4r/vWP/fj/AOvXrvw18SX3 +inwsNQ1IxGczMn7tdowMdqpK6bJbsdbRRRSGFFcL8U/F2p+EdKs7jSjCHmm2N5qbhjBNdbo91Je6 +LZXM2PNmgSR8DAyQCaFqmwejSLtFFFABRXknxM+JOu+FfEy2Omm2EBhV/wB5FuOST3zXIf8AC7vF +f96x/wC/H/16Sd1cbVj6Kor51/4Xd4r/AL1j/wB+P/r16x8MfFGoeLPDcl9qhiMyztGPLTaMADt+ +NUldNkt2Oyooryb4nfEfXPCfiOOy0w2whaEOfMi3HOT3zUt2aRSVz1mivnX/AIXd4r/vWP8A34/+ +vR/wu7xX/esf+/H/ANemI+iqK4r4X+KtR8W+H57zVDEZUnMa+Um0YwD/AFrtabVnZiTuFFFcZ8T/ +ABRqHhPw7Fe6WYhM0wQ+Ym4YwaluxSVzs6Ky/DOoTar4a06+utvn3ECyPtGBkjnArUqmrOzJTurh +RRXlfxR+IeteEdctrXSzbCKSHe3mRbjnP1qW7WKSueqUV86/8Lu8V/3rH/vx/wDXo/4Xd4r/AL1j +/wB+P/r0xH0VRXC/CvxdqXi/R7y51UwmSKfYvlJtGNoNd1Taa3EncKK8t+KfxB1rwjrNpbaWbcRy +wl282Pcc5+tcP/wu7xX/AHrH/vx/9epTuU1Y+iqK+df+F3eK/wC9Y/8Afj/69enfCrxhqfi/S72f +VTCXhmCJ5SbRjGapK9yW7HeUUV5f8VPiBrPhDVbK30o24jmiLt5se45B+tS3axSVz1CivnX/AIXd +4r/vWP8A34/+vR/wu7xX/esf+/H/ANemI+iqK4H4VeMdT8YaffTaqYS8EiqnlJt4IzXfU2rCTuFF +Fcp8R/EV94Y8Jy6hppjE6yIo8xdwwTg8VLdikrnV0VheC9Xudd8JWGo3uz7ROm59i4GcntW7VSVn +Zkp3VwoorzT4q+PNY8H3lhHpRtws6MX82PdyCPepbtYpK56XRXzr/wALu8V/3rH/AL8f/Xo/4Xd4 +r/vWP/fj/wCvTEfRVFee/CnxpqvjCDUH1UwE27IE8qPb1znPPtXoVNqwk7hRXm3xW8dav4Pn09dK +MAE6sX82Pd0xjHNeff8AC7vFf96x/wC/H/16lO5TVj6Kor51/wCF3eK/71j/AN+P/r16L8KfG2re +MBqJ1UwH7Ps2eVHt65znn2qkrkt2PRaKKKQzzL49f8iRZ/8AYRT/ANFyUUfHr/kSLP8A7CKf+i5K +KAOz8Gf8iRoP/YOt/wD0WtbVYvgz/kSNB/7B1v8A+i1raoAK+Yfin/yUPU/95f8A0EV9PV8w/FP/ +AJKHqf8AvL/6CKh/Gvn+hS+FnI0UUVZJ9NfCf/knWm/R/wD0I12Vcb8J/wDknWm/R/8A0I12VXV+ +Nkw2PmT4sf8AJRNR/wCAf+giuOrsfix/yUTUf+Af+giuOrGn8CNZ/Ewr6W+EP/JOrD6v/wChGvmm +vpb4Q/8AJOrD6v8A+hGt4/A/kZS3R21FFFZlHj3x7+7ov/XRv6V6zp//ACD7b/rkv8q8m+Pf3dF/ +66N/SvWdP/5B9t/1yX+VEP4b9WE/iXoWKKKKAPmv4w/8lDvP+uafyrh67j4w/wDJQ7z/AK5p/KuH +qKfwoqfxBX0f8GP+Se2//XaT/wBCr5wr6P8Agx/yT23/AOu0n/oVbx+B/Izlujva+bvjL/yUK4/6 +4p/WvpGvm74y/wDJQrj/AK4p/WsJfEjRbM4SiiirJPoz4K/8k/i/67yfzr0CvP8A4K/8k/i/67yf +zr0CrqfETDY+cfjT/wAlBl/64J/WuBrvvjT/AMlBl/64J/WuBrGn8JpPcK+ifgj/AMiCv/XzJ/Sv +navon4I/8iCv/XzJ/Sto/DIzluj0OiiioKPKfj3/AMi/pv8A18/+ymvQ/Df/ACLWmf8AXrH/AOgi +vPPj3/yL+m/9fP8A7Ka9D8N/8i1pn/XrH/6CKIfBL1/QJ/FH0/U06KKKAPnj44f8jwn/AF7L/M15 +1Xovxw/5HhP+vZf5mvOqin8P3/mVPcK+g/gZ/wAiTN/19N/IV8+V9B/Az/kSZv8Ar6b+QraPwy/r +qZy3R6TXz58c/wDkc4P+vZf5mvoOvnz45/8AI5wf9ey/zNYy+KP9dDSOz/rqebUUUVZJ798CP+RP +uv8Ar6P/AKCK9OrzH4Ef8ifdf9fR/wDQRXp1aVPi+78iYbBXmnx0/wCRNg/6+V/ka9LrzT46f8ib +B/18r/I1hPZeq/M0hv8Af+R1vgf/AJEnR/8Ar1j/AJVvVg+B/wDkSdH/AOvWP+Vb1bVPjfqZw+FB +Xgfx5/5Gmy/69v6175Xgfx5/5Gmy/wCvb+tYy3j6/ozSOz/rqjy+iiirJPd/gH/yLmo/9fQ/9BFe +q15V8A/+Rc1H/r6H/oIr1WtKm/yX5Ew2PBvj1/yMmn/9e5/nXllep/Hr/kZNP/69z/OvLKwp7fN/ +maT3+78gr3T4Bf8AIC1T/r4X/wBBrwuvdPgF/wAgLVP+vhf/AEGtobS9P1RnLoesV4T8fP8AkP6Z +/wBcG/mK92rwn4+f8h/TP+uDfzFYy3j6/ozSOz/rqeU0UUVZJ7j8Af8AkD6r/wBd1/8AQa9bryT4 +A/8AIH1X/ruv/oNet1dTf5L8iY9QrgPjR/yT+f8A66x/+hV39cB8aP8Akn8//XWP/wBCrGpt935m +kNzV+GX/ACTzSP8Arkf5muqrlfhl/wAk80j/AK5H+Zrqq2q/GzOHwhXiHx+/5COk/wDXN/5ivb68 +Q+P3/IR0n/rm/wDMVjPePr+jNI7M8ioooqyT2v8AZ/8A+PTWP9+P+Rr2GvHv2f8A/j01j/fj/ka9 +hq6m69F+REep4p8f/wDj50j/AHX/AKV49XsPx/8A+PnSP91/6V49WNPZ+rNZ7hXs/wCz/wDc1n6x +/wDs1eMV7P8As/8A3NZ+sf8A7NW0Nn6Gcuh7LRRRUFHmXx6/5Eiz/wCwin/ouSij49f8iRZ/9hFP +/RclFAHZ+DP+RI0H/sHW/wD6LWtqsXwZ/wAiRoP/AGDrf/0WtbVABXzD8U/+Sh6n/vL/AOgivp6v +mH4p/wDJQ9T/AN5f/QRUP418/wBCl8LORoooqyT6a+E//JOtN+j/APoRrsq434T/APJOtN+j/wDo +Rrsqur8bJhsfMnxY/wCSiaj/AMA/9BFcdXY/Fj/komo/8A/9BFcdWNP4Eaz+JhX0t8If+SdWH1f/ +ANCNfNNfS3wh/wCSdWH1f/0I1vH4H8jKW6O2ooorMo8e+Pf3dF/66N/SvWdP/wCQfbf9cl/lXk3x +7+7ov/XRv6V6zp//ACD7b/rkv8qIfw36sJ/EvQsUUUUAfNfxh/5KHef9c0/lXD13Hxh/5KHef9c0 +/lXD1FP4UVP4gr6P+DH/ACT23/67Sf8AoVfOFfR/wY/5J7b/APXaT/0Kt4/A/kZy3R3tfN3xl/5K +Fcf9cU/rX0jXzd8Zf+ShXH/XFP61hL4kaLZnCUUUVZJ9GfBX/kn8X/XeT+degV5/8Ff+Sfxf9d5P +516BV1PiJhsfOPxp/wCSgy/9cE/rXA133xp/5KDL/wBcE/rXA1jT+E0nuFfRPwR/5EFf+vmT+lfO +1fRPwR/5EFf+vmT+lbR+GRnLdHodFFFQUeU/Hv8A5F/Tf+vn/wBlNeh+G/8AkWtM/wCvWP8A9BFe +efHv/kX9N/6+f/ZTXofhv/kWtM/69Y//AEEUQ+CXr+gT+KPp+pp0UUUAfPHxw/5HhP8Ar2X+Zrzq +vRfjh/yPCf8AXsv8zXnVRT+H7/zKnuFfQfwM/wCRJm/6+m/kK+fK+g/gZ/yJM3/X038hW0fhl/XU +zluj0mvnz45/8jnB/wBey/zNfQdfPnxz/wCRzg/69l/maxl8Uf66Gkdn/XU82oooqyT374Ef8ifd +f9fR/wDQRXp1eY/Aj/kT7r/r6P8A6CK9OrSp8X3fkTDYK80+On/Imwf9fK/yNel15p8dP+RNg/6+ +V/kawnsvVfmaQ3+/8jrfA/8AyJOj/wDXrH/Kt6sHwP8A8iTo/wD16x/yrerap8b9TOHwoK8D+PP/ +ACNNl/17f1r3yvA/jz/yNNl/17f1rGW8fX9GaR2f9dUeX0UUVZJ7v8A/+Rc1H/r6H/oIr1WvKvgH +/wAi5qP/AF9D/wBBFeq1pU3+S/ImGx4N8ev+Rk0//r3P868sr1P49f8AIyaf/wBe5/nXllYU9vm/ +zNJ7/d+QV7p8Av8AkBap/wBfC/8AoNeF17p8Av8AkBap/wBfC/8AoNbQ2l6fqjOXQ9Yrwn4+f8h/ +TP8Arg38xXu1eE/Hz/kP6Z/1wb+YrGW8fX9GaR2f9dTymiiirJPcfgD/AMgfVf8Aruv/AKDXrdeS +fAH/AJA+q/8AXdf/AEGvW6upv8l+RMeoVwHxo/5J/P8A9dY//Qq7+uA+NH/JP5/+usf/AKFWNTb7 +vzNIbmr8Mv8Aknmkf9cj/M11Vcr8Mv8Aknmkf9cj/M11VbVfjZnD4QrxD4/f8hHSf+ub/wAxXt9e +IfH7/kI6T/1zf+YrGe8fX9GaR2Z5FRRRVkntf7P/APx6ax/vx/yNew149+z/AP8AHprH+/H/ACNe +w1dTdei/IiPU8U+P/wDx86R/uv8A0rx6vYfj/wD8fOkf7r/0rx6saez9Waz3CvZ/2f8A7ms/WP8A +9mrxivZ/2f8A7ms/WP8A9mraGz9DOXQ9loooqCjzL49f8iRZ/wDYRT/0XJRR8ev+RIs/+win/ouS +igDs/Bn/ACJGg/8AYOt//Ra1tVi+DP8AkSNB/wCwdb/+i1raoAK+Yfin/wAlD1P/AHl/9BFfT1fM +PxT/AOSh6n/vL/6CKh/Gvn+hS+FnI0Vv+EdNsNRvLhb5VmkSItDbNMIVmb0LnpVnxdp9jp9taLBo +0+n3L5Lt9pE8LjtsYd/WrehK1PcvhP8A8k6036P/AOhGuyrjfhP/AMk6036P/wChGuyq6vxsmGx8 +yfFj/komo/8AAP8A0EVx1dj8WP8Akomo/wDAP/QRVDwpDpc8eonU9Oa8NvbtOmLho+V7cetY0vgX +oaVPiOdr6W+EP/JOrD6v/wChGvC9SstNv/Dx1fSbWSyMMwhnt2lMi8glWDHnscivdPhD/wAk6sPq +/wD6Ea2j8Ml6Gct0dtRRRUFHj3x7+7ov/XRv6V6zp/8AyD7b/rkv8q8m+Pf3dF/66N/SvWdP/wCQ +fbf9cl/lRD+G/VhP4l6FiiiigD5r+MP/ACUO8/65p/KuHruPjD/yUO8/65p/KsTw/p1k9jfarqkc +k1rZBQII22mV2OAC3YVFP4Sp/EYVfR/wY/5J7b/9dpP/AEKvFtbtbA6Ilza+HNT06cuMySF3g2+z +MM5Ne0/Bj/kntv8A9dpP/Qq2j8MvkZy3R3tfN3xl/wCShXH/AFxT+tfSNfN3xl/5KFcf9cU/rWMv +iRotmcJRXT+B9Is9Zur6C7s/tLpbtJCDceSAw9T0/OpfEeh22j+GbJ5NOjhv5pnVpY7zzlKrg9Bk +A8/pVvQlO57B8Ff+Sfxf9d5P516BXn/wV/5J/F/13k/nXoFXU+ImGx84/Gn/AJKDL/1wT+tcDXff +Gn/koMv/AFwT+tcNbRsz+YYHmiiIaRVzjbnuR09M1jT+E0nuRV9E/BH/AJEFf+vmT+leQ6mdCPhW +G9tNDNvcXErxBvtjuEwAc4I561698Ef+RBX/AK+ZP6VtHaSM5bo9DoooqCjyn49/8i/pv/Xz/wCy +mvQ/Df8AyLWmf9esf/oIrzz49/8AIv6b/wBfP/spr0Pw3/yLWmf9esf/AKCKIfBL1/QJ/FH0/U06 +KKKAPnj44f8AI8J/17L/ADNedV6L8cP+R4T/AK9l/ma4fSb6LTr5biWyivNoOyOX7m7sSO/0qKfw +/eVPf7ilX0H8DP8AkSZv+vpv5CvJfFO1tI0+W90+1sdUkJYpbx+WDF2LIOhz+letfAz/AJEmb/r6 +b+QraO0v66mUt0ek18+fHP8A5HOD/r2X+Zr6Dr58+Of/ACOcH/Xsv8zWMvij/XQ1js/66nm1FW9K +uYLS/jlubNLxF6Qu5VSe2SK6bxbasvh+xur2xsLW+kmIU2KqEaLH8W35d2ffOKt7XJ62PTfgR/yJ +91/19H/0EV6dXmPwI/5E+6/6+j/6CK9OrSp8X3fkTDYK80+On/Imwf8AXyv8jXpdeafHT/kTYP8A +r5X+RrCey9V+ZpDf7/yOt8D/APIk6P8A9esf8q3qwfA//Ik6P/16x/yrerap8b9TOHwoK8D+PP8A +yNNl/wBe39a98rwP48/8jTZf9e39axlvH1/Rmkdn/XVHl9Fa3heaxg8R2cmqiM2av+881N649x3F +bHimFrrS1u7WXRbi1il2O+n2vkshI4DAgEireiuStXY9J+Af/Iuaj/19D/0EV6rXlXwD/wCRc1H/ +AK+h/wCgivVa0qb/ACX5Ew2PBvj1/wAjJp//AF7n+deWV6n8ev8AkZNP/wCvc/zrz7w7pJ1vXbSw +G7EzgNtHOO+KxpK6t5v8zSbt+H5GbXunwC/5AWqf9fC/+g15/wCLbPUNOsXgOhafZWMbiMNHslmU +j++4JYE+9egfAL/kBap/18L/AOg1rTd1L0/VGc1sesV4T8fP+Q/pn/XBv5ivdq8J+Pn/ACH9M/64 +N/MVjLePr+jNI7P+up5TRV7R9Rj0rUFupLK3vAqkCK4XKZI4JHfFdBrV6l34MguLqw0+3ubq4Pkm +2tliIRRzkjqCSPyq3tcnrY9D+AP/ACB9V/67r/6DXrdeSfAH/kD6r/13X/0GvW6upv8AJfkTHqFc +B8aP+Sfz/wDXWP8A9Crv64D40f8AJP5/+usf/oVY1Nvu/M0huavwy/5J5pH/AFyP8zXVVyvwy/5J +5pH/AFyP8zXVVtV+NmcPhCvEPj9/yEdJ/wCub/zFe314h8fv+QjpP/XN/wCYrGe8fX9GaR2Z5FRV +/Qre2utdsoLxttvJMquSccZ6Zrsta0W5vdF1e4vNCg02PTpP9Gmih8rzFzjaf7/ABzVvRXJWrsdV ++z//AMemsf78f8jXsNePfs//APHprH+/H/I17DV1N16L8iI9TxT4/wD/AB86R/uv/SvHq9h+P/8A +x86R/uv/AErzDw7p6aprtray58t2ywHcAZx+OKxpK/3v8zWbtr5GbXs/7P8A9zWfrH/7NXD3Utvr ++kasRp1nZtppVoWt49rFS20qx/i6dTXcfs//AHNZ+sf/ALNWtN3UvQzmrfeey0UUVJR5l8ev+RIs +/wDsIp/6Lkoo+PX/ACJFn/2EU/8ARclFAHZ+DP8AkSNB/wCwdb/+i1rarF8Gf8iRoP8A2Drf/wBF +rW1QAV8w/FP/AJKHqf8AvL/6CK+nq+Yfin/yUPU/95f/AEEVD+NfP9Cl8LMjRb3Rra3uYtY02a7M +u3y5IZvLaLGc9QQc1JrWtWl3p9rp+mW08Fnbsz4nlEjlj7gAAfhWJRVvUlaH018J/wDknWm/R/8A +0I12Vcb8J/8AknWm/R//AEI12VXV+Nkw2PmT4sf8lE1H/gH/AKCKyvDer6bpSXi6jZ3Nx9piMP7m +YJtU9eoPNavxY/5KJqP/AAD/ANBFcdWNL4EaVPiZuarrVjJpaabo1pNbWpk82Xz5Q7yN0HIA4AJr +3r4Q/wDJOrD6v/6Ea+aa+lvhD/yTqw+r/wDoRraPwS+RnLdHbUUUVBR498e/u6L/ANdG/pXrOn/8 +g+2/65L/ACryb49/d0X/AK6N/SvWdP8A+Qfbf9cl/lRD+G/VhP4l6FiiiigD5r+MP/JQ7z/rmn8q +53RNXgsEubXULd7mwulAljR9jZHKkHnkGui+MP8AyUO8/wCuafyrh6in8JU/iOk1jxNb3OijTNNX +UEt2cO/2u683p0CgAACva/gx/wAk9t/+u0n/AKFXzhX0f8GP+Se2/wD12k/9CraPwyfoZy3SO9r5 +u+Mv/JQrj/rin9a+ka+bvjL/AMlCuP8Arin9axl8SNFszB8Na1YaOl8L6znuDcwmEeXKECg/gc0l +3q2lzeGINNgsrlLmKVpfOaYFSWwD8uPQDvWHRVvUk+jPgr/yT+L/AK7yfzr0CvP/AIK/8k/i/wCu +8n869Aq6nxEw2PnH40/8lBl/64J/WuX8P62mkSXMVzb/AGizvIvKnjDbWK5yCp7EECuo+NP/ACUG +X/rgn9a4Gsafwmk9za1vWbS8s7Ww0uze1srclwJZN8juepJwB6dq9v8Agj/yIK/9fMn9K+dq+ifg +j/yIK/8AXzJ/Sto/DIzluj0OiiioKPKfj3/yL+m/9fP/ALKa9D8N/wDItaZ/16x/+givPPj3/wAi +/pv/AF8/+ymvQ/Df/ItaZ/16x/8AoIoh8EvX9An8UfT9TTooooA+ePjh/wAjwn/Xsv8AM1yXhjVb +DRtXW81Gxa9RFOxFfbtfs3Q9K6344f8AI8J/17L/ADNedVFJ2X3lVFd/cb+v6xpOpW5NnZXgvZJv +Mlurq4EjMMfdGAABXsnwM/5Emb/r6b+Qr58r6D+Bn/Ikzf8AX038hW0F7sjOT1R6TXz58c/+Rzg/ +69l/ma+g6+fPjn/yOcH/AF7L/M1jL4o/10NI7P8ArqcHpN3a2d8JL6zW8tyCrxlipwe6nsa0tV1z +T5NFXS9Hsp7e3M3nSNcTCRi2MDGAAB+FYFFWStD374Ef8ifdf9fR/wDQRXp1eY/Aj/kT7r/r6P8A +6CK9OrSp8X3fkTDYK80+On/Imwf9fK/yNel15p8dP+RNg/6+V/kawnsvVfmaQ3+/8jrfA/8AyJOj +/wDXrH/Kt6sHwP8A8iTo/wD16x/yrerap8b9TOHwoK8D+PP/ACNNl/17f1r3yvA/jz/yNNl/17f1 +rGW8fX9GaR2f9dUed6Vd21nfJLeWaXcGCHiYkZB7gjoa09S1zTv7HOm6JYzW0MsgkneeUSO5HQAg +DAGawKKsk93+Af8AyLmo/wDX0P8A0EV6rXlXwD/5FzUf+vof+givVa0qb/JfkTDY8G+PX/Iyaf8A +9e5/nXm+k6ncaNqdvf2jbZoHDLXpHx6/5GTT/wDr3P8AOvLKxpuyv5v8zSavp6fkdJqniLTZbC7h +0uxuoJb1w9w884kHGTwMDue9eofAL/kBap/18L/6DXhde6fAL/kBap/18L/6DWsFZS9P1RnN3t6n +rFeE/Hz/AJD+mf8AXBv5ivdq8J+Pn/If0z/rg38xWMt4+v6M0js/66nlNaGq6r/aMdnFHGY4bWAR +KpbOTzlvxrPoqyT3H4A/8gfVf+u6/wDoNet15J8Af+QPqv8A13X/ANBr1urqb/JfkTHqFcB8aP8A +kn8//XWP/wBCrv64D40f8k/n/wCusf8A6FWNTb7vzNIbmr8Mv+SeaR/1yP8AM11Vcr8Mv+SeaR/1 +yP8AM11VbVfjZnD4QrxD4/f8hHSf+ub/AMxXt9eIfH7/AJCOk/8AXN/5isZ7x9f0ZpHZnk1u0S3E +bXCu0QYb1RsMR7Guh1bxNaz6S1hpsV8qSkeY95dGZsDoq8AAetc1RVvVWJ63Pa/2f/8Aj01j/fj/ +AJGvYa8e/Z//AOPTWP8Afj/ka9hq6m69F+REep4p8f8A/j50j/df+leT6dfSabqEF1ETuiYNgdx3 +H4ivWPj/AP8AHzpH+6/9K8erGm7fezWZ0Wo6/p39nXVto1lcWzXrhrlppg+QDkBcAYGa9F/Z/wDu +az9Y/wD2avGK9n/Z/wDuaz9Y/wD2atoLSXp/kZz2R7LRRRUFHmXx6/5Eiz/7CKf+i5KKPj1/yJFn +/wBhFP8A0XJRQB2fgz/kSNB/7B1v/wCi1rarF8Gf8iRoP/YOt/8A0WtbVABXzD8U/wDkoep/7y/+ +givp6vmH4p/8lD1P/eX/ANBFQ/jXz/QpfCzkaKKKsk+mvhP/AMk6036P/wChGuyrjfhP/wAk6036 +P/6Ea7Krq/GyYbHzJ8WP+Siaj/wD/wBBFcdXY/Fj/komo/8AAP8A0EVx1Y0/gRrP4mFfS3wh/wCS +dWH1f/0I18019LfCH/knVh9X/wDQjW8fgfyMpbo7aiiisyjx749/d0X/AK6N/SvWdP8A+Qfbf9cl +/lXk3x7+7ov/AF0b+les6f8A8g+2/wCuS/yoh/Dfqwn8S9CxRRRQB81/GH/kod5/1zT+VcPXcfGH +/kod5/1zT+VcPUU/hRU/iCvo/wCDH/JPbf8A67Sf+hV84V9H/Bj/AJJ7b/8AXaT/ANCrePwP5Gct +0d7Xzd8Zf+ShXH/XFP619I183fGX/koVx/1xT+tYS+JGi2ZwlFFFWSfRnwV/5J/F/wBd5P516BXn +/wAFf+Sfxf8AXeT+degVdT4iYbHzj8af+Sgy/wDXBP61wNd98af+Sgy/9cE/rXA1jT+E0nuFfRPw +R/5EFf8Ar5k/pXztX0T8Ef8AkQV/6+ZP6VtH4ZGct0eh0UUVBR5T8e/+Rf03/r5/9lNeh+G/+Ra0 +z/r1j/8AQRXnnx7/AORf03/r5/8AZTXofhv/AJFrTP8Ar1j/APQRRD4Jev6BP4o+n6mnRRRQB88f +HD/keE/69l/ma86r0X44f8jwn/Xsv8zXnVRT+H7/AMyp7hX0H8DP+RJm/wCvpv5CvnyvoP4Gf8iT +N/19N/IVtH4Zf11M5bo9Jr58+Of/ACOcH/Xsv8zX0HXz58c/+Rzg/wCvZf5msZfFH+uhpHZ/11PN +qKKKsk9++BH/ACJ91/19H/0EV6dXmPwI/wCRPuv+vo/+givTq0qfF935Ew2CvNPjp/yJsH/Xyv8A +I16XXmnx0/5E2D/r5X+RrCey9V+ZpDf7/wAjrfA//Ik6P/16x/yrerB8D/8AIk6P/wBesf8AKt6t +qnxv1M4fCgrwP48/8jTZf9e39a98rwP48/8AI02X/Xt/WsZbx9f0ZpHZ/wBdUeX0UUVZJ7v8A/8A +kXNR/wCvof8AoIr1WvKvgH/yLmo/9fQ/9BFeq1pU3+S/ImGx4N8ev+Rk0/8A69z/ADryyvU/j1/y +Mmn/APXuf515ZWFPb5v8zSe/3fkFe6fAL/kBap/18L/6DXhde6fAL/kBap/18L/6DW0Npen6ozl0 +PWK8J+Pn/If0z/rg38xXu1eE/Hz/AJD+mf8AXBv5isZbx9f0ZpHZ/wBdTymiiirJPcfgD/yB9V/6 +7r/6DXrdeSfAH/kD6r/13X/0GvW6upv8l+RMeoVwHxo/5J/P/wBdY/8A0Ku/rgPjR/yT+f8A66x/ ++hVjU2+78zSG5q/DL/knmkf9cj/M11Vcr8Mv+SeaR/1yP8zXVVtV+NmcPhCvEPj9/wAhHSf+ub/z +Fe314h8fv+QjpP8A1zf+YrGe8fX9GaR2Z5FRRRVkntf7P/8Ax6ax/vx/yNew149+z/8A8emsf78f +8jXsNXU3XovyIj1PFPj/AP8AHzpH+6/9K8er2H4//wDHzpH+6/8ASvHqxp7P1ZrPcK9n/Z/+5rP1 +j/8AZq8Yr2f9n/7ms/WP/wBmraGz9DOXQ9loooqCjzL49f8AIkWf/YRT/wBFyUUfHr/kSLP/ALCK +f+i5KKAOz8Gf8iRoP/YOt/8A0WtbVYvgz/kSNB/7B1v/AOi1raoAK+Yfin/yUPU/95f/AEEV9PV8 +w/FP/koep/7y/wDoIqH8a+f6FL4WcjRRRVkn018J/wDknWm/R/8A0I12Vcb8J/8AknWm/R//AEI1 +2VXV+Nkw2PmT4sf8lE1H/gH/AKCK46ux+LH/ACUTUf8AgH/oIrjqxp/AjWfxMK+lvhD/AMk6sPq/ +/oRr5pr6W+EP/JOrD6v/AOhGt4/A/kZS3R21FFFZlHj3x7+7ov8A10b+les6f/yD7b/rkv8AKvJv +j393Rf8Aro39K9Z0/wD5B9t/1yX+VEP4b9WE/iXoWKKKKAPmv4w/8lDvP+uafyrh67j4w/8AJQ7z +/rmn8q4eop/Cip/EFfR/wY/5J7b/APXaT/0KvnCvo/4Mf8k9t/8ArtJ/6FW8fgfyM5bo72vm74y/ +8lCuP+uKf1r6Rr5u+Mv/ACUK4/64p/WsJfEjRbM4SiiirJPoz4K/8k/i/wCu8n869Arz/wCCv/JP +4v8ArvJ/OvQKup8RMNj5x+NP/JQZf+uCf1rga7740/8AJQZf+uCf1rgaxp/CaT3Cvon4I/8AIgr/ +ANfMn9K+dq+ifgj/AMiCv/XzJ/Sto/DIzluj0OiiioKPKfj3/wAi/pv/AF8/+ymvQ/Df/ItaZ/16 +x/8AoIrzz49/8i/pv/Xz/wCymvQ/Df8AyLWmf9esf/oIoh8EvX9An8UfT9TTooooA+ePjh/yPCf9 +ey/zNedV6L8cP+R4T/r2X+Zrzqop/D9/5lT3CvoP4Gf8iTN/19N/IV8+V9B/Az/kSZv+vpv5Cto/ +DL+upnLdHpNfPnxz/wCRzg/69l/ma+g6+fPjn/yOcH/Xsv8AM1jL4o/10NI7P+up5tRRRVknv3wI +/wCRPuv+vo/+givTq8x+BH/In3X/AF9H/wBBFenVpU+L7vyJhsFeafHT/kTYP+vlf5GvS680+On/ +ACJsH/Xyv8jWE9l6r8zSG/3/AJHW+B/+RJ0f/r1j/lW9WD4H/wCRJ0f/AK9Y/wCVb1bVPjfqZw+F +BXgfx5/5Gmy/69v6175Xgfx5/wCRpsv+vb+tYy3j6/ozSOz/AK6o8voooqyT3f4B/wDIuaj/ANfQ +/wDQRXqteVfAP/kXNR/6+h/6CK9VrSpv8l+RMNjwb49f8jJp/wD17n+deWV6n8ev+Rk0/wD69z/O +vLKwp7fN/maT3+78gr3T4Bf8gLVP+vhf/Qa8Lr3T4Bf8gLVP+vhf/Qa2htL0/VGcuh6xXhPx8/5D ++mf9cG/mK92rwn4+f8h/TP8Arg38xWMt4+v6M0js/wCup5TRRRVknuPwB/5A+q/9d1/9Br1uvJPg +D/yB9V/67r/6DXrdXU3+S/ImPUK4D40f8k/n/wCusf8A6FXf1wHxo/5J/P8A9dY//Qqxqbfd+ZpD +c1fhl/yTzSP+uR/ma6quV+GX/JPNI/65H+Zrqq2q/GzOHwhXiHx+/wCQjpP/AFzf+Yr2+vEPj9/y +EdJ/65v/ADFYz3j6/ozSOzPIqKKKsk9r/Z//AOPTWP8Afj/ka9hrx79n/wD49NY/34/5GvYaupuv +RfkRHqeKfH//AI+dI/3X/pXj1ew/H/8A4+dI/wB1/wClePVjT2fqzWe4V7P+z/8Ac1n6x/8As1eM +V7P+z/8Ac1n6x/8As1bQ2foZy6HstFFFQUeZfHr/AJEiz/7CKf8AouSij49f8iRZ/wDYRT/0XJRQ +B2fgz/kSNB/7B1v/AOi1rarF8Gf8iRoP/YOt/wD0WtbVABXFa38J/D3iDVp9RvTefaJyC+yYAdMc +DFdrRSt1C588fE3wNpPhO+0uLTPtG26JEnmybu46ce9egRfBHwq8SMTf5IB/14/+JrC+Of8AyFdB +/wB5v5ivYIP+PeP/AHR/KnHWF/NhLSSXkUtB0O18OaRDpth5n2eHO3zG3Nyc9fxrRoopt31YJWOL +134VeH/EWrzajfG8+0TY3eXMAvAx0xXlvxP8C6T4Rm0xdM+0YuWYSebJu6Y6cD1r6Grxz47/APHz +of8Avt/SpStKK8ylqm2bcHwS8LSW8bsb/LKCf34/wrtvD+g2nhrSItN0/wAz7PESV8xtzcnPX8au +Wn/HnD/uD+VTVTdrozjqkwooopFHj3x7+7ov/XRv6V6zp/8AyD7b/rkv8q8m+Pf3dF/66N/SvWdP +/wCQfbf9cl/lRD+G/VhP4l6FiiiigDjfEHwt0DxLq0mo6gbv7RIAG8uUAce2K8t+KfgPSPB8Gnvp +f2jdcOyv5sm7gDtwK+hK8g+Pv/Hpo/8A11b+VJKzivMpa3uall8FPC9xYwSub/dJGrHE46kfSu28 +OeHbLwvpKadp3m+QjFh5jbjknJ5q1pf/ACCrT/rin8hVurejaM46pNhXHeIvhfoPifVn1HUDd+e6 +hT5coUYHtiuxoqLFXPn34p+AdI8H2dhLpf2ndPIVfzZN3GO3ArtdO+C3he6022nkN/vkiV2xOMZI +z6Vn/H3/AJBuk/8AXdv5V6ho3/IEsf8Argn/AKCKcdYN+YS+JehW8N+HLLwtpS6fp3m+QrFx5rbj +k9ea1qKKbdwtY4/xH8MdC8Uas2o6ibvz2UKfLlCjA9sV5f8AFPwBo/g/TrGbS/tO+eYo/myBhjGf +QV9AV5N8fP8AkDaX/wBfB/8AQTUPSyXcpa3uWtL+C/hi80q0uJTfb5YVdsTgDJGfSu48NeGrHwpp +f9n6b5vkby/71txyfep9B/5F/T/+vaP/ANBFaFaS0bSM46xTYUUUVJR5T8e/+Rf03/r5/wDZTXof +hv8A5FrTP+vWP/0EV558e/8AkX9N/wCvn/2U16H4b/5FrTP+vWP/ANBFEPgl6/oE/ij6fqadFFFA +HJeJfhrofivUxf6kbrzggT91LtGB7Y968x+KHw80XwhotrdaX9p82WbY3myBhjBPoK97ry348/8A +Is2P/Xz/AOymoelrd1+ZUdXqJofwb8M6hoVjdzG+82eBJH2zADJAJxxXdeGPC1h4S01rHTPO8lpD +IfNfccnA9Pan+Ff+RU0r/r0j/wDQRWtW09JNIzjrFNhXJ+JvhvonizUlvtTN15yoIx5Uu0YHtg+t +dZRWdirng/xP+HWi+EdBgvNM+1ea84jPmyBhjB9hXR+H/g54a1Pw/YXtwb7zZ4EkfbMAMkZOOKn+ +O3/IpWn/AF9D+RrtPB3/ACJ2kf8AXpH/AOginDWMvX9Alo4+n6h4X8Kaf4R0+Sz0vzvKkk8w+a+4 +5wB6e1bVFFNu4WsFeafHT/kTYP8Ar5X+Rr0uvNPjp/yJsH/Xyv8AI1nPZeq/MqG/3/kdb4H/AORJ +0f8A69Y/5VvVg+B/+RJ0f/r1j/lW9W1T436mcPhQVyvij4d6L4uvo7vVPtXmxpsXypdox9MGuqor +OxVzwv4mfDbQ/Cfhpb7TftXnGZU/eyhhg+2K2vC3wh8Oax4Y06/uje+fcQLI+yYAZI7DFaXxy/5E +iP8A6+Urp/AH/IiaN/16p/KnDWMvVfkEtHH0/Uk8LeENN8H2c1tpfn+XM/mN5r7jnGPQVu0UU27g +ct4p+HmjeL7yK61T7T5kSbF8qTaMfka82+JXw00Pwp4Y+36abrz/ADVT97KGGD7Yr3KvOvjf/wAi +J/28J/Os5aLTuvzKjq9f60Mjwl8IvDmteFdO1C7N759xCHfZMAM+wxXfeFfB2m+D7aeDS/P2TOHb +zX3HOMegqH4d/wDIg6N/17LXSVvU0m0jOOqVwrl/FPw+0fxhdw3GqfafMhQovlSbRg/ga6iisrFX +PD/iR8M9C8K+FX1DTTd+eJUQebKGGCeeMVpeD/hJ4d1zwpp+o3hvfPuItz7JgBn2GK3Pjb/yIMn/ +AF3j/nW38N/+SfaP/wBcB/M04axl6r8glo4ljwr4N0zwdbzw6X5+ydgz+a+7kenArfoopt3CwVwH +xo/5J/P/ANdY/wD0Ku/rgPjR/wAk/n/66x/+hVnU2+78yobmr8Mv+SeaR/1yP8zXVVyvwy/5J5pH +/XI/zNdVW1X42Zw+EK5rxV4C0jxjNBLqn2ndApVPKk2jB9eD6V0tFZ2uVc8U+IXwv0Hwz4Tn1HTz +d/aEZQvmShl5OOmKs+CfhP4e8QeEbDUr03n2idSX2TADqRwMe1dP8ZP+Se3f/XRP/QhV74W/8k70 +n/rm3/oRpw1UvVfkE9OUueFPBOl+DkuF0r7Ri4IL+bJu6ZxjgetdDRRTbuFjm/FXgTSfGMlu+q/a +MwAhPKk29fXg+ledeP8A4W6B4a8JXOo2Bu/tEZUL5koZeTjpivaa4j4v/wDJPL7/AHk/9CFZz0jp +/WpUdXqcj4F+FPh/xF4RstSvjefaJg2/y5QF4YjgY9q9D8KeB9K8HfaP7K+0f6Rt3+bJu6Zxjget +UPhR/wAk60z6P/6Ea7Gtp6SaRnHVahRRRUFHmXx6/wCRIs/+win/AKLkoo+PX/IkWf8A2EU/9FyU +UAdn4M/5EjQf+wdb/wDota2qxfBn/IkaD/2Drf8A9FrW1QAUUUUAeN/HP/kK6D/vN/MV7BB/x7x/ +7o/lXj/xz/5Cug/7zfzFewQf8e8f+6P5UQ/h/NhP416ElFFFABXjnx3/AOPnQ/8Afb+lex1458d/ ++PnQ/wDfb+lJfHH1KWz9D120/wCPOH/cH8qmqG0/484f9wfyqaqe5nH4UFFFFIo8e+Pf3dF/66N/ +SvWdP/5B9t/1yX+VeTfHv7ui/wDXRv6V6zp//IPtv+uS/wAqIfw36sJ/EvQsUUUUAFeQfH3/AI9N +H/66t/KvX68g+Pv/AB6aP/11b+VL7UfVFR6nqml/8gq0/wCuKfyFW6qaX/yCrT/rin8hVuqluzOH +woKKKKRR5F8ff+QbpP8A13b+VeoaN/yBLH/rgn/oIry/4+/8g3Sf+u7fyr1DRv8AkCWP/XBP/QRR +D4H6hP4l6F2iiigAryb4+f8AIG0v/r4P/oJr1mvJvj5/yBtL/wCvg/8AoJqZbr1RUep6ToP/ACL+ +n/8AXtH/AOgitCs/Qf8AkX9P/wCvaP8A9BFaFaT+JmcPhQUUUVJR5T8e/wDkX9N/6+f/AGU16H4b +/wCRa0z/AK9Y/wD0EV558e/+Rf03/r5/9lNeh+G/+Ra0z/r1j/8AQRRD4Jev6BP4o+n6mnRRRQAV +5b8ef+RZsf8Ar5/9lNepV5b8ef8AkWbH/r5/9lNTLp6r8yob/ed54V/5FTSv+vSP/wBBFa1ZPhX/ +AJFTSv8Ar0j/APQRWtWtT42Zw+FBRRRUFHmPx2/5FK0/6+h/I12ng7/kTtI/69I//QRXF/Hb/kUr +T/r6H8jXaeDv+RO0j/r0j/8AQRRD4Zeq/IJ7x9H+ZtUUUUAFeafHT/kTYP8Ar5X+Rr0uvNPjp/yJ +sH/Xyv8AI1E9l6r8yob/AH/kdb4H/wCRJ0f/AK9Y/wCVb1YPgf8A5EnR/wDr1j/lW9W1T436mcPh +QUUUVBR5v8cv+RIj/wCvlK6fwB/yImjf9eqfyrmPjl/yJEf/AF8pXT+AP+RE0b/r1T+VOHwz9V+Q +T3j6P8zoaKKKQBXnXxv/AORE/wC3hP516LXnXxv/AORE/wC3hP51FTb5r8yob/f+R0Pw7/5EHRv+ +vZa6Sub+Hf8AyIOjf9ey10lb1fjfqZw+FBRRRWZR578bf+RBk/67x/zrb+G//JPtH/64D+ZrE+Nv +/Igyf9d4/wCdbfw3/wCSfaP/ANcB/M0U/hn6r8gnvE6eiiigArgPjR/yT+f/AK6x/wDoVd/XAfGj +/kn8/wD11j/9CqKm33fmVDc1fhl/yTzSP+uR/ma6quV+GX/JPNI/65H+Zrqq2q/GzOHwhRRRUFHC +fGT/AJJ7d/8AXRP/AEIVe+Fv/JO9J/65t/6Eao/GT/knt3/10T/0IVe+Fv8AyTvSf+ubf+hGintP +1X5BP7J1tFFFABXEfF//AJJ5ff7yf+hCu3riPi//AMk8vv8AeT/0IVFT4fu/MqG5N8KP+SdaZ9H/ +APQjXY1x3wo/5J1pn0f/ANCNdjW1X42Zw2CiiioKPMvj1/yJFn/2EU/9FyUUfHr/AJEiz/7CKf8A +ouSigDs/Bn/IkaD/ANg63/8ARa1tVi+DP+RI0H/sHW//AKLWuX8W/Fu18J67JpkulzXDIobzFlCg +59sUm0tB2PQqK8i/4aAsf+gHc/8Af9f8K2fCnxetfFWvwaXFpU8DzBiJGlDAYGemPaqSb2Jbsc/8 +c/8AkK6D/vN/MV7BB/x7x/7o/lXj/wAc/wDkK6D/ALzfzFewQf8AHvH/ALo/lSh/D+bHP416ElFe +feL/AIs23hHXH02bS5rhlUN5iyhQc+2Kw/8AhoCx/wCgHc/9/wBf8KSaew2rbnrteOfHf/j50P8A +32/pW74X+MNr4n1+30uLSp4HnziRpQQMAnpj2rC+O/8Ax86H/vt/SnZqcH5hF6S9D120/wCPOH/c +H8qmqG0/484f9wfyrhvGPxXtvCGtnTptMmuGCB96yhRz7Yok0mTBXid/RXkX/DQFj/0A7n/v+v8A +hWr4Z+Mdr4l1+10uLSZ4XuCQJGlBC4BPTHtTSb0QN2Mb49/d0X/ro39K9Z0//kH23/XJf5V5N8e/ +u6L/ANdG/pXrOn/8g+2/65L/ACpQ/hv1Y5/EvQsUVwXjL4qW3g7WRp82mTXLFA+9JQo59sVz/wDw +0BY/9AO5/wC/6/4Uk09htWPXa8g+Pv8Ax6aP/wBdW/lWr4c+M1p4i1+00uPSZ4XuW2iRpgQvBPTH +tWV8ff8Aj00f/rq38qdmpRfmEXuvI9U0v/kFWn/XFP5CrdVNL/5BVp/1xT+QrjvGnxStvBusLYTa +bNcs0YfekoUc/hTm0pEwV4neUV5F/wANAWP/AEA7n/v+v+FaXh74z2niDXrTTI9Inha5fYHaYELx +6YoSbdkDdtTN+Pv/ACDdJ/67t/KvUNG/5Alj/wBcE/8AQRXl/wAff+QbpP8A13b+VeoaN/yBLH/r +gn/oIpQ+B+o5/EvQu0VwvjX4oW/gzVo7GbTZrlnj370kCj+Vc5/w0BY/9AO5/wC/6/4Uk09htWPX +a8m+Pn/IG0v/AK+D/wCgmrugfGm017XLTTY9Inia5kCB2mBC/hiqXx8/5A2l/wDXwf8A0E0ST91+ +aCL1a8j0nQf+Rf0//r2j/wDQRWhWfoP/ACL+n/8AXtH/AOgiuW8bfE638F6nFZzadNcmSPfuSQKB +7dKqbSl8yKavFeh3NFeRf8NAWP8A0A7n/v8Ar/hV/QvjXaa5rdppsekTxNcyCMOZlIXPfGKEm3ZD +bsrlf49/8i/pv/Xz/wCymvQ/Df8AyLWmf9esf/oIrzz49/8AIv6b/wBfP/spr0Pw3/yLWmf9esf/ +AKCKUPgl6/oOfxR9P1NOiuI8b/Ey38FajDaTadLcmWPeGSQKB+Yrmf8AhoCx/wCgHc/9/wBf8KSa +ew2rHrteW/Hn/kWbH/r5/wDZTT9F+NtnrOs2mnpo9xG1zKsYczKQuT1ximfHj/kWbH/r5/8AZTSm +nZPzX5jg9WvI7zwr/wAippX/AF6R/wDoIrWrJ8K/8ippX/XpH/6CK5/xx8SrfwTfQW02ny3RmTeG +SQKB+YrSo0pv1M6avFeh21FeRf8ADQFj/wBAO5/7/r/hVzSPjhZ6tq9pYJo1xG1zKsYczKQuTjPS +kk27IbdtR/x2/wCRStP+vofyNdp4O/5E7SP+vSP/ANBFcV8dv+RStP8Ar6H8jXa+Dv8AkTtI/wCv +SP8A9BFKHwy9V+Q57x9H+ZtUVxfjj4kQeCLu3gn0+W6M6FgySBcfmK5b/hoCx/6Adz/3/X/Ckmns +Nqx67Xmnx0/5E2D/AK+V/kar6X8crPU9UtbJNGuEa4lWMMZlOMnGelWPjp/yJtv/ANfK/wAjSmnZ +PzX5ocHrbyZ1vgf/AJEnR/8Ar1j/AJVvVg+B/wDkSdH/AOvWP+VZfjn4iweCLi2insJbrz1LApIF +xj6itKrSm/Uzpq8UdlRXkX/DQFj/ANAO5/7/AK/4VZ0746Weo6lbWa6NcI08qxhjMpxk4z0pJNuy +KbsXPjl/yJEf/XyldP4A/wCRE0b/AK9U/lXMfHH/AJEiP/r5Sun8Af8AIiaN/wBeqfyoh8M/VfkE +94+j/M6GiuO8c/EODwPLapPYS3X2gEgpIFxj6iuT/wCGgLH/AKAdz/3/AF/wqU09htWPXa86+N// +ACIn/bwn86zrH47WV9f29qui3CmaRYwxmXjJxnpWj8bjnwHn/p4SlUT5U/Nfmhwetjofh3/yIOjf +9ey10lc38O/+RB0b/r2Wqnjn4gweB2tRPYy3X2gHGyQLtx9RWtZpTfqZ01eJ19FeRf8ADQFj/wBA +O5/7/r/hU1n8eLK8vYLddFuFMsioCZ14ycelSk27Io1fjb/yIMn/AF3j/nW38N/+SfaP/wBcB/M1 +h/Gw58AOfWeP+dbnw3/5J9o//XAfzNKHwz9V+QS3j6HT0VyPjnx/B4HFqZ7GS6+0ZxscLtx9RXIf +8NAWP/QDuf8Av+v+FJNMbVj12uA+NH/JP5/+usf/AKFWNa/HmyubqKAaLcAyOEB89eMnHpWz8Zzn +4ezH1lj/AJipqJ8t/NfmhwfvWNX4Zf8AJPNI/wCuR/ma6quV+GX/ACTzSP8Arkf5mmeOfHsPgeO1 +eexkuhcEgbHC7cfWtqrSm79zOmrxOtoryL/hoCx/6Adz/wB/1/wqS3+PdlPcRxDRLgF2C589e5+l +Sld2RRvfGT/knt3/ANdE/wDQhV74W/8AJO9J/wCubf8AoRqh8Yju+Hd0fV4//QhV/wCFv/JO9J/6 +5t/6EaUNp+q/IU/snW0Vynjnx3D4IgtpZ7KS6E7FQEcLtx9a43/hoCx/6Adz/wB/1/wpJplNWPXa +4j4v/wDJPL7/AHk/9CFc5F8fbKWZIxolyCzBf9ev+FdD8XG3/Di8bGMlD/48KVRPlv5jg/esWPhR +/wAk60z6P/6Ea7GuO+FH/JOtM+j/APoRqx448cw+CLW2nmspLoTsVARwuMfWtazSm7mdNNo6mivI +v+GgLH/oB3P/AH/X/CnR/H6ykkVBodzljj/Xr/hUpXdkUXvj1/yJFn/2EU/9FyUU346v5vgKwfGN +2oRnH/bKSikCdztfBn/IkaD/ANg63/8ARa14R8Y/+SgXGenlp/Kvd/Bn/IkaD/2Drf8A9FrXhHxj +I/4WBcd/3afyrOXxR/roXH4WR2lv4YuNJuLx9FuYbSCLb9pkvCGkmI6KmMHnn6Unwg/5KLYf7sn/ +AKCaD4s8PP8AYWbSr8Cyj2xwC4Tyd2MFiCuST1p3wlcSfEuzcDaG8wgenymt4fH95jL4DsPjn/yF +dB/3m/mK9gg/494/90fyrx/45/8AIV0H/eb+Yr2CD/j3j/3R/Koh/D+bLn8a9D55+MTInxDZpY/M +jEaFk3Y3D0z2pF8P+HXtD4kFnP8A8I+sPlmHz2837T/dzjpS/GIxD4hsZgzRiNN4U4JHtVdfHelx +38Ucem3Q0VbU276eZwVc/wB7OOvfPWs6fwff+pU/i+79CL4Vsj/EuxaKPy4y0hVN2do2njPeu0+O +/wDx86H/AL7f0rjfhe0DfE6yNqjpAWk2K7ZYDacAmuy+O/8Ax86H/vt/StnvT9f8yY7y9D120/48 +4f8AcH8q+fvjPG03j8Rr954kAr6BtP8Ajzh/3B/Kvnz41OU8ellOGWFCCO1Zztzxv3/Rjp39m7dv +8jLu4/DGiah/ZWoabeXDxACe7S42sGIydqdMc9zU3w4a2b4pWJsldLYzP5SyHLBdpxn3qlJr2gaj +Kl7q2l3ct/tHmmGcLFKw6EgjPPGcGrXwylWb4madIsaRK8jkIvRflPAzWtO/Mr+ZE/hZ3Px7+7ov +/XRv6V6zp/8AyD7b/rkv8q8m+Pf3dF/66N/SvWdP/wCQfbf9cl/lUQ/hv1ZU/iXoeCfGpkTx7E0s +fmRiFCybsbh3Ge1Uk0jQrjXtO8nR5Bpk9sbiQ/bGJCj7xzjjGOlWvjh/yO6/9cFrmLTxQ1r4VuNJ ++zhpXY+XcZ5RG++v0OBUU/g+b/UqfxfJfoavgOS0l+KenPYW7W9sZ22Rs5cgbT3rufj7/wAemj/9 +dW/lXnnwx/5KJpH/AF1P/oJr0P4+/wDHpo//AF1b+VatWVP1/UmOspeh6ppf/IKtP+uKfyFeE/HE +geNYCw3KIFyM4zXu2l/8gq0/64p/IV4R8cCv/CbQbslfIXIHXFZ1PjXr+jHS+B+n+RMvhHSZxYeT +oB+z3UKu9wdT+ePPUiPq2PTHNc54BhW3+JumxLv2pclRvGDjB6iprnxN4burizuX0zVFntI0RCl2 +oB29CflzR4L1GTVvitYX0yqkk90XZV6Dg1rD+Jp5/wDAIl/D17fpqd58ff8AkG6T/wBd2/lXqGjf +8gSx/wCuCf8AoIry/wCPv/IN0n/ru38q9Q0b/kCWP/XBP/QRUQ+B+pU/iXoeHfHIqvjO1LruUQAl +c4yM9M1SufDOipeadeW1jLLZS+XHd2puTuhZ8YYNjOOau/HIp/wmdr5gJQQLuAPOM1jDxtp1nrdl +f2Gn3OyONYriCeYMsqAYHQcHvmopbfN/myql7/L/ACE8Lx20PxZsYrKAwQR3e1ULlyMZ7mu/+Pn/ +ACBtL/6+D/6Ca858F3S3vxP065VNiy3e4KTnAOeK9G+Pn/IG0v8A6+D/AOgmrd+SF+4lb2krdv8A +M9J0H/kX9P8A+vaP/wBBFeK/HLb/AMJfY713L5IyucZGfWvatB/5F/T/APr2j/8AQRXivxxaNfGF +gZQzIIQWCnBIz2pVP4i9f0YqX8N+n+RQvvA2nT+INLOnrLDplxGr3IZ9xiONzLu9x0qppNrZ2Pxg +s7bTomitorxVRWfccepNMuPG9m16Ba29/Fp8vlm5gM6lmKABSrbeOnNGh6la6v8AFfTb6zglgjmu +kYpK4Yg/UAVdP44/MmXwSueg/Hv/AJF/Tf8Ar5/9lNeh+G/+Ra0z/r1j/wDQRXnnx7/5F/Tf+vn/ +ANlNeh+G/wDkWtM/69Y//QRUw+CXr+hU/ij6fqeNfHgFvE1gB1MGP1rl54PDuhNb2OoWFxe3Dxq8 +9wk5j8rcM4VejYz3rp/jyceJbHB58j+tZOm6l4O1S1s7vxNLdpqcChGW3izHIF4Ut68AZxiopfD8 +3+bKqb/JfkUdC0xdI+KOm2kcpljS7jKORglTgjI9cGvS/jx/yLNj/wBfI/8AQTXm2j3qaj8V7K6i +mE0cl7HscRlARwANp6Y6V6T8eP8AkWbH/r5H/oJq5fw4X7/5Ex/iP0/zO88K/wDIqaV/16R/+giv +IfjwQPEOmlhlfJOR681694V/5FTSv+vSP/0EV4/8e/8AkPaf/wBcD/OlW/iL1/zHR+D5f5FF/CGn +XbxXenaQfsMKQNNG92++fzR/DxxisXT4bO2+KdnBp0Lw20V8iKjuWIwwB5+tWbP4jtZvoW2zZo9O +j2ToX/1/GB24xWT4ZuftfxC0+5wR51+r4JzjL5rSH8RW2IfwO+9j1z47f8ilaf8AX0P5Gu18Hf8A +InaR/wBekf8A6CK4r47f8ilaf9fQ/ka7Xwd/yJ2kf9ekf/oIqIfDL1X5FS3j6fqeT/Hz/kL6Z/1y +P86zYPDmjnw5aar/AGD5kBg825kbUWUr8wB2qBk9c1pfHzH9saZ/1xP865STxD4cubCxt7nT9VBt +IvKJiulUSAnJz8vSop/C/X/MqfxL0/yKekrZr8QrEaaSbT7dH5WSSdu4eteu/HP/AJEy3/6+V/ka +8h0i4s7nx/p0un2xtbVruLZEz7io3DvXr3xz/wCRMt/+vlf5Grn/AAo+v+Qo/wAR+n+Z13gf/kSd +H/69Y/5V5f8AH3/kIaX/ANc2/nXqHgf/AJEnR/8Ar1j/AJV5f8ff+Qjpf/XNv50V/wCJ8/8AMKPw +/IwrfQ9Jm0zSJYvDN7cm9Q+dPHcvtiIOM9Me/Jrm9MtorPx3aW8Eomiiv0RJB/EA4wa1D43is9M0 +yHSU1C3uLHg7rgGKUH7wZMc5qlaXen3vjnTbjTbR7SKS6iLRM4YK24Z28dPatIfxVbuQ/gd+x7B8 +cf8AkR4/+vhK6fwB/wAiJo3/AF6p/KuY+OP/ACI8f/XwldP4A/5ETRv+vVP5VEPhn6r8ipbx9P1P +Nfj/AP8AHzpP+43865ezg8JvYSMmly3b21os0sv2t0y+cFcY4xXUfH//AI+dJ/3G/nXBaHrOhadp +dxBeWOoTT3MZjkeKdVXGewKms6fwy9WVPdDdumL44sf7F3fYzcQlQzEkHIyMn3r2T43f8iEP+u6V +4ZoxiPimxMCssRu49gc5IG8Yya9z+N3/ACIQ/wCu6VdT+EvX/ImPxv0/zOi+Hf8AyIOjf9ey1538 +f/v6T9Gr0T4d/wDIg6N/17LXnfx/+/pP0ajEfH8x0fh+RxulaLpWv6daS2NmsctrJnURJdkZi/vj +PQdelZZl02XxlanR7Z7ezFyixq8hcn5hySfWpPD+r6HpljcpfWN9PPcxNC7RTqqhT6AqeaztMMJ8 +SWht1dYftSbA5ywG4YyauH8VEP4We8fGr/knzf8AXaP+dbnw3/5J9o//AFwH8zWH8av+SfN/12j/ +AJ1ufDf/AJJ9o/8A1wH8zUw+GfqvyHL7PocD8f8A7mk/Vq4K/TQ7XSNHnTRpDJcDdMTdthgDggDH +Ga734/8A3NJ+rV51Za5pMul21prVhczNaE+S9tMEyp5w2Qc8+lZ0+vqXPp6Eus2thZeNLW3021a2 +hSSL5WlMhJJBzk17L8Zv+SeTf9dI/wCYrw2XVW1nxdFfPGsXm3CYReijIwK9y+M3/JPJv+ukf8xV +VP4S9f8AIUf4nyNb4Zf8k80j/rkf5muI+P3/AB66V/vt/Ku3+GX/ACTzSP8Arkf5muI+P3/HrpX+ ++38qMT8fzCjt8meeeF10S7trmLUNHe4mt4Hn81btk3bRkDAHFY0UsE2vQyWtv9nhaZSsRcvtGRxk +9a1PD2saHpVrN9tsb+a4mieF2inVV2txwCpOay4mtm12FrJJUtzMuxZWDMBkdSABWkP4kfl+ZP2W +fQHxg/5Jzcf70f8A6EK0Phb/AMk70n/rm3/oRrP+MH/JObj/AHo//QhWh8Lf+Sd6T/1zb/0I1MNp ++q/IT2gcd8ff+Qdpf/XRv5V5zFbaJomlWUuq2M2oXV4DIUWcxLEmcdR1Jr0b4+/8g7S/+ujfyrzS +017SrnTLa017T57hrQkQzW0ojYoTna2QcjNZ0/tev6Gk+noQavptrp2uWbWEjvZ3KpPD5g+ZVJ6H +3BBr3X4sf8k1uv8Atn/MV4NqOsHWddt5lhS3gjKRQwp0jQHgV7z8WP8Akml1/wBs/wCYq6n8L5/5 +Cj/E+Ra+FH/JOtM+j/8AoRrlvj5/yB9N/wCurfyrqfhR/wAk60z6P/6Ea5b4+f8AIH03/rq38qMT +8XzX5hR2+88+8Pabptz4UnvZNAutTvIbgREQzuuVIznCg4xWd4h0y10zW7UWkckCzIkrW0py0BJ+ +4SeT0zz61a0LxNp2l+HZtPli1NJ5ZfNaa0uhFyBgDp0rnvPe51FZZZJJGaQEvI25jz3NVD416ono +e4/HD/knmm/9f0X/AKKkoo+OH/JPNN/6/ov/AEVJRSe4o/CjuPBn/IkaD/2Drf8A9FrUl/4W0TVL +k3F/pVncTkYMksQZj+NR+DP+RI0H/sHW/wD6LWtqkUYP/CC+GP8AoA6d/wB+FqxY+FdD0y6W5sdJ +s7edc7ZI4grD8a1qKAPG/jn/AMhXQf8Aeb+Yr2CD/j3j/wB0fyrx/wCOf/IV0H/eb+Yr2CD/AI94 +/wDdH8qIfw/mwn8a9DOv/C+iarcm41DSrO5mIwXliDHH1qt/wgvhj/oA6d/34Wt6igDIsvCehabd +Jc2WkWVvOn3ZI4QrD8a8z+O//Hzof++39K9jrxz47/8AHzof++39KPtw9Sls/Q9dtP8Ajzh/3B/K +qGoeGNF1W5+0ahpdpczYxvliDHH1NX7T/jzh/wBwfyqanLczh8KMH/hBfDH/AEAdO/78LU1n4S0H +TrpLmy0iygnT7skcIDD6GtiikUePfHv7ui/9dG/pXrOn/wDIPtv+uS/yryb49/d0X/ro39K9Z0// +AJB9t/1yX+VEP4b9WE/iXoU9R8M6Nq1x5+o6XaXM2Mb5Ygxx9TVX/hBfDH/QB07/AL8LW9RQBj2f +hHQNPukubPR7KCeM5SSOEBl+hrzj4+/8emj/APXVv5V6/XkHx9/49NH/AOurfypfaj6oqPU9U0v/ +AJBVp/1xT+QqtqPhrRtXnE+o6ZaXUoG0PLEGOPxqzpf/ACCrT/rin8hVuqluzOHwowf+EF8Mf9AH +Tv8AvwtS2nhDQLC6jubTR7GGeM5SRIQGU+xrZopFHkXx9/5Buk/9d2/lXqGjf8gSx/64J/6CK8v+ +Pv8AyDdJ/wCu7fyr1DRv+QJY/wDXBP8A0EUQ+B+oT+JehDqPhvR9XnE2paZaXUoG0PLEGIH41U/4 +QXwx/wBAHTv+/C1vUUAY1r4Q8P2NzHcWujWMM8ZykiQgFT7GvP8A4+f8gbS/+vg/+gmvWa8m+Pn/ +ACBtL/6+D/6CamW69UVHqek6D/yL+n/9e0f/AKCKZqXhzR9YmWbUtNtbqRRgNLGGIH40/Qf+Rf0/ +/r2j/wDQRWhWk/iZnD4UYP8Awgvhj/oA6d/34Wpbbwf4fsrmO4tdGsYpozuR0hUFT6g1s0VJR5T8 +e/8AkX9N/wCvn/2U16H4b/5FrTP+vWP/ANBFeefHv/kX9N/6+f8A2U16H4b/AORa0z/r1j/9BFEP +gl6/oE/ij6fqLqXh3SNYlWXUtNtbqRRhWmjDED8ap/8ACC+GP+gDp3/fha3qKAMW28HeHrO4jntt +GsYpo23I6QqCp9Qa4f48/wDIs2P/AF8/+ymvUq8t+PP/ACLNj/18/wDspqZ9PVfmVDc7zwr/AMip +pX/XpH/6CKk1Lw9pOsSLJqWnWt06DCtNGGIH41H4V/5FTSv+vSP/ANBFa1aVPjfqZw+FGD/wgvhj +/oA6d/34WpLfwb4dtZ0nt9FsI5Y2DI6wqCpHcGtqipKPMfjt/wAilaf9fQ/ka7Twd/yJ2kf9ekf/ +AKCK4v47f8ilaf8AX0P5Gu08Hf8AInaR/wBekf8A6CKIfDL1X5BPePo/zLOpeH9J1l0fU9OtrpkG +FM0YYgfjVL/hBfDH/QB07/vwtb1FAGJB4M8O206TQaJYRyxsGV1gUFSOhFcf8dP+RNg/6+V/ka9L +rzT46f8AImwf9fK/yNRPZeq/MqG/3/kdb4H/AORJ0f8A69Y/5Vd1PQNK1lkbU9PtrtkGFM0YbH0z +VLwP/wAiTo//AF6x/wAq3q2q/G/Uzh8KMH/hBfDH/QB07/vwtOh8F+HLeZJYdEsEkRgyssCggjoR +W5RUFHm/xx/5EiP/AK+Urp/AH/IiaN/16p/KuY+OX/IkR/8AXyldP4A/5ETRv+vVP5U4fDP1X5BP +ePo/zNHU9B0vWSh1PT7a7Mf3TNGG2/TNUf8AhBfDH/QB07/vwtb1FIDDi8FeG4ZUli0OwSRCGVhA +oII6GuW+N/8AyIn/AG8J/OvRa86+N/8AyIn/AG8J/OoqbfNfmVDf7zofh3/yIOjf9ey1qanoWl6z +s/tOwtrvy/u+dGG2/TNZfw7/AORB0b/r2Wukrar8b9TOHwowf+EF8Mf9AHTv+/C06PwT4bikWSPQ +9PV0IZWEC5BrcoqCjz342f8AIgv/ANd4/wCdbfw3/wCSfaP/ANcB/M1ifG3/AJEGT/rvH/Otv4b/ +APJPtH/64D+Zop/DP1X5BPePobGp6Hpms7P7TsLe78v7vnRhtv0zVD/hBfDH/QB07/vwtb1FAGEn +gjw1G6umh6erKcgiBcg1zvxo4+H0/wD11j/9Crv64D40f8k/n/66x/8AoVRU+H5r8yobmr8Mv+Se +aR/1yP8AM1t6noem6yEGp2NvdiP7omQNt+maxPhl/wAk80j/AK5H+Zrqq2q/GzOHwmD/AMIL4Y/6 +AOnf9+FpU8EeGkcMmhaeGU5BEC8Gt2ioKOE+MYx8PLoD++n/AKEKvfC3/knek/8AXNv/AEI1R+Mn +/JPbv/ron/oQq98Lf+Sd6T/1zb/0I0U9p+q/IJ/ZN/UtF03WVRdTsbe7VDlRMgbH0zWf/wAIL4Y/ +6AOnf9+FreooAwl8D+GUYMuhaeCDkEQLWJ8XgB8O70AYAKf+hCu4riPi/wD8k8vv95P/AEIVFT4f +u/MqG5N8KP8AknWmfR//AEI10epaNp2soianZQXSIcqJkDAH8a5z4Uf8k60z6P8A+hGuxrar8bM4 +bGD/AMIL4Y/6AOnf9+FoHgbwypBGhaeCOh8ha3qKgo8x+PAC+BrIKMAajGAP+2clFL8ev+RIs/8A +sIp/6LkooA7PwZ/yJGg/9g63/wDRa1tVi+DP+RI0H/sHW/8A6LWtqgAooooA8b+Of/IV0H/eb+Yr +2CD/AI94/wDdH8q8f+Of/IV0H/eb+Yr2CD/j3j/3R/KiH8P5sJ/GvQkooooAK8c+O/8Ax86H/vt/ +SvY68c+O/wDx86H/AL7f0pL44+pS2foeu2n/AB5w/wC4P5VNUNp/x5w/7g/lU1U9zOPwoKKKKRR4 +98e/u6L/ANdG/pXrOn/8g+2/65L/ACryb49/d0X/AK6N/SvWdP8A+Qfbf9cl/lRD+G/VhP4l6Fii +iigAryD4+/8AHpo//XVv5V6/XkHx9/49NH/66t/Kl9qPqio9T1TS/wDkFWn/AFxT+Qq3VTS/+QVa +f9cU/kKt1Ut2Zw+FBRRRSKPIvj7/AMg3Sf8Aru38q9Q0b/kCWP8A1wT/ANBFeX/H3/kG6T/13b+V +eoaN/wAgSx/64J/6CKIfA/UJ/EvQu0UUUAFeTfHz/kDaX/18H/0E16zXk3x8/wCQNpf/AF8H/wBB +NTLdeqKj1PSdB/5F/T/+vaP/ANBFaFZ+g/8AIv6f/wBe0f8A6CK0K0n8TM4fCgoooqSjyn49/wDI +v6b/ANfP/spr0Pw3/wAi1pn/AF6x/wDoIrzz49/8i/pv/Xz/AOymvQ/Df/ItaZ/16x/+giiHwS9f +0CfxR9P1NOiiigAry348/wDIs2P/AF8/+ymvUq8t+PP/ACLNj/18/wDspqZdPVfmVDf7zvPCv/Iq +aV/16R/+gitasnwr/wAippX/AF6R/wDoIrWrWp8bM4fCgoooqCjzH47f8ilaf9fQ/ka7Twd/yJ2k +f9ekf/oIri/jt/yKVp/19D+RrtPB3/InaR/16R/+giiHwy9V+QT3j6P8zaooooAK80+On/Imwf8A +Xyv8jXpdeafHT/kTYP8Ar5X+RqJ7L1X5lQ3+/wDI63wP/wAiTo//AF6x/wAq3qwfA/8AyJOj/wDX +rH/Kt6tqnxv1M4fCgoooqCjzf45f8iRH/wBfKV0/gD/kRNG/69U/lXMfHL/kSI/+vlK6fwB/yImj +f9eqfypw+GfqvyCe8fR/mdDRRRSAK86+N/8AyIn/AG8J/OvRa86+N/8AyIn/AG8J/OoqbfNfmVDf +7/yOh+Hf/Ig6N/17LXSVzfw7/wCRB0b/AK9lrpK3q/G/Uzh8KCiiisyjz342/wDIgyf9d4/51t/D +f/kn2j/9cB/M1ifG3/kQZP8ArvH/ADrb+G//ACT7R/8ArgP5min8M/VfkE94nT0UUUAFcB8aP+Sf +z/8AXWP/ANCrv64D40f8k/n/AOusf/oVRU2+78yobmr8Mv8Aknmkf9cj/M11Vcr8Mv8Aknmkf9cj +/M11VbVfjZnD4QoooqCjhPjJ/wAk9u/+uif+hCr3wt/5J3pP/XNv/QjVH4yf8k9u/wDron/oQq98 +Lf8Aknek/wDXNv8A0I0U9p+q/IJ/ZOtooooAK4j4v/8AJPL7/eT/ANCFdvXEfF//AJJ5ff7yf+hC +oqfD935lQ3JvhR/yTrTPo/8A6Ea7GuO+FH/JOtM+j/8AoRrsa2q/GzOGwUUUVBR5l8ev+RIs/wDs +Ip/6Lkoo+PX/ACJFn/2EU/8ARclFAHZ+DP8AkSNB/wCwdb/+i1rarF8Gf8iRoP8A2Drf/wBFrW1Q +AUUUUAeN/HP/AJCug/7zfzFewQf8e8f+6P5VgeKPA2l+Lp7WbUmuQ1rnZ5UgUc468H0roUUIiqOg +GBRHSFvNhLWV/IdRRRQAV458d/8Aj50P/fb+lex1zninwPpfi97ZtTa4BtiSnkybevrwfSl9qL7M +aejN20/484f9wfyqamxoIo1Rc4UADNOqnuTFWSQUUUUhnj3x7+7ov/XRv6V6zp//ACD7b/rkv8qx +fFfgjTPGP2b+02uB9nJKeS4Xr68Gt+KJYYUjXO1FCjPoKI6Qt5hLWSfkPooooAK8g+Pv/Hpo/wD1 +1b+Vev1z3ivwTpnjFLdNUa4AtyWTyXC9fXg0uqfZjTtc1tL/AOQVaf8AXFP5CrdRwQrbwRwpnbGo +UZ64FSVTd2TFWSQUUUUhnkXx9/5Buk/9d2/lXqGjf8gSx/64J/6CKzPFfgvTfGMNvHqjXAWBiyeS +4Xk+vBrbtoEtbaKCPOyJAi5POAMUR0i15hLVp+RLRRRQAV5N8fP+QNpf/Xwf/QTXrNYHivwbpvjG +3gh1RpwkD718lwvOMc8Gk1qvUadi/oP/ACL+n/8AXtH/AOgitCobS2SztIbaLPlwoEXccnAGKmqp +O7bJirJIKKKKQzyn49/8i/pv/Xz/AOymvQ/Df/ItaZ/16x/+giqnirwdp3jG1ht9TacJC+9fJfac +4xzwa17K0jsbKC1h3eXCgjXccnAGBmiOkWvMJatPyJ6KKKACvLfjz/yLNj/18/8Aspr1KsLxV4R0 +/wAYWUVrqbTiOJ/MXyX2nOMehqZK9iouxP4V/wCRU0r/AK9I/wD0EVrVXsLKPTrC3s4NxigjWNNx +ycAYGasVpN3k2ZxVkkFFFFSUeY/Hb/kUrT/r6H8jXaeDv+RO0j/r0j/9BFJ4p8J6f4vsI7TUzOIo +38weU+05/I1padYRaXp1vZW5YxW8YjTccnAGBk0R0jJd3+gS1a8kWaKKKACvNPjp/wAibB/18r/I +16XWL4o8K2Hi7TkstSMwiRxIPKfac/kamSuvuKi7Mj8D/wDIk6P/ANesf8q3qqaXp0OkaZbWFsXM +NvGI03nJwPU1brSbvJtGcVZJBRRRUlHm/wAcv+RIj/6+Urp/AH/IiaN/16p/KrHifwtY+LdMWx1I +zCEOHHlNtOR+Bq7pOmQaNpVtp9qXMNugjTecnA9TRHRSXdr8glq15FyiiigArzr43/8AIif9vCfz +r0WsfxN4YsfFml/YNSMwh3h/3TbTkfgamSuvuHF2ZT+Hf/Ig6N/17LXSVS0jS4NE0m20+0LmC3QI +m85OPc1drSb5pNoiKsrBRRRUlHnvxt/5EGT/AK7x/wA62/hv/wAk+0f/AK4D+ZrQ8S+GrLxXpR0/ +UTKIC4f90205H4GrOjaTb6FpNvp1mXMFuu1C5y2Pc0R0Ul3a/IJateReooooAK4D40f8k/n/AOus +f/oVd/WT4k8OWfinSW07UDKIGYMfKbacg564qZq6Ki7My/hl/wAk80j/AK5H+ZrqqoaJo9voGkW+ +m2ZkMEC7UMhy2M55NX60m7ybRnFWVgoooqSjhPjJ/wAk9u/+uif+hCr3wt/5J3pP/XNv/QjWx4j8 +PWfijSJNO1AyiByGJiba3Bz1qXQ9FtvD2jwabZGQwQAhDI2W5OeT+NEdFLza/IJa8vkaFFFFABXE +fF//AJJ5ff7yf+hCu3rM8Q6BaeJtIl02/MogkILGNtrcHPWpmrqxUXZmD8KP+SdaZ9H/APQjXY1n +aDodr4c0eHTbEyGCHO0yNluTnr+NaNaTd5NozirIKKKKko8y+PX/ACJFn/2EU/8ARclFHx6/5Eiz +/wCwin/ouSigDs/Bn/IkaD/2Drf/ANFrW1WL4M/5EjQf+wdb/wDota2qACiiigAooooAKKKKACii +igAooooAKKKKACiiigAooooAKKKKACiiigAooooAKKKKACiiigAooooAKKKKACiiigAooooAKKKK +ACiiigAooooAKKKKACiiigAooooAKKKKACiiigAooooAKKKKACiiigAooooAKKKKACiiigAooooA +KKKKACiiigAooooAKKKKACiiigAooooAKKKKACiiigAooooA8y+PX/IkWf8A2EU/9FyUUfHr/kSL +P/sIp/6LkooA7PwZ/wAiRoP/AGDrf/0WtbNY3gz/AJEjQf8AsHW//ota1bmNpbWWNDhmUgH0NJuy +BGHceN9JtppFkNyYYyVe5W3YwqR/tgYrdt50ubeOeIkxyKHUkY4IyK5LTPEmnaRpUWlaikwvoB5T +W4t2bzGz1BA2nP1rroW3wo2wx5UHY3Vfaqt8xD6KKKQxrNsUsQSAM8Dmucj8eaVI7BodQjjR/Lea +S0dY0P8AtMRgV0bMEUs3AAya4Wz8VaV/Z2qWhklknuLiXyovs0nz7sAdVxSA7tWDqGUgqRkEd6Wq +Gh2ktjodlbXBzLFCqOfcCr9U1Z2BBTXbYjMQSAM4AyadTXcRozscKoyTSYHNDx/pbK7i21QxoxVp +PsUm1SOuTiuljdZY1deVYZFeY6XqmiQXlxeXup6i/wC8mBsWhlaNw2MFV28dK9KtJUmtIZI0ZEdA +VVhgge4oXwp+gPe3qTUUUUAFc3J4505LmeBbTVJHt22SeXZSMFP1xXSV59YPY6j42vP+JpfwyreB +ktgjiGYBQDkYx+OaFq7A9Fc7fTdRg1XT4by1LGGZdy7lKn8QelWqitraG0gWG3jWOJeiqOBUtABR +RTXBZGAOCQQDQwMK88aaXZXEsb/apEhOJZ4rdnijPfLgYGK2bS6ivbWK4gbdFKoZTjGRXCnWo9H0 +T+yRqH2DUIHfzBJYvOJMkkdBjkEc5rstFN2dHtTflTclAX2psGfp2oWzE90XqKKKBhWFD4v06XWh +pbJdw3JJx51uyKcccMRjntWzPMlvA80p2xoCzHBOB+FeZz6vqOpW2rataQWj26zKY5Z2lSSIIflG +zZzk88etK+o7aHqFFZ+iarDrWlQ3kG/DjDB0KkN34NaFU1Z2JTurhSE4BPpS0hOASegpDOb/AOE7 +00yyoLbUyIXKSOLKTahHXJx0roopUmiSSNgyOAykdxXmEl5pNzdax9o1vVrV5ZmCQRJJ5T8Y5Xby +D355r0HQGuG0KzN5EIZ/LAZFGAPTjtxiiOsU/T8Qe9vU0aKKKACsK+8X6bYXckDi6lMXEskEDSJF +/vMOBW4RkEdM1yFhrtj4ajudP1kyRXHnPIMQM4mViSCCoP05pAdPp9/b6pYxXlo/mQSjKNjGR0qz +VexmW4sopUgeBXXIjdQCo+lWKp7iWwUUUUhnOS+ONOhu57drbUi1u22Vls3Kp7k46d81vW9xFdW6 +T28iyRSAMrKcgiuQg8W6RY65rIuZ5fndFVfs8h3kKQQPlx14rb8KWk1l4fgjnBVmZ5Ap/hVmJA/I +ihbX9AZs0UUUAFc3L4506K4uIfsupu1uxWQpZSMFP1xXSV5q+o6QPFV9dXmrahbiG5DC3WKQxTAK +QQQF569c0uth9D0Ozu4r+ziuYCTFKoZdwwcH2qeqWj3VveaXBNZxPFbsvyI6bSB9O1Xap7krYKKK +KQzAvPGVhZalNYtbajLPDguIbR3GD0OQOlaGkaxba3Zm5tBKEDlCssZRgRwQQea43V5LK+8c3FvL +ql/ZOEiRBCj+XIwOcNgYP513cFrDahxBGsYdi7be7Hqaa2uEt7ImooopAFYuo+K9P027a3dbqeSM +Zk+zQNKI/wDeI6VtVxEuqxaANSsri+Nhez3LTxzNaNMrocY4A9j3pNjR1um6lbatZJd2UnmQPna2 +MZq1WP4WlvJtDilv5EkkckoyQ+UCn8J29uK2KpqzJTugoopCQoJPQc0hmJd+LtOsdXTTrlLuOV22 +q7W7eWfffjGOetbdec3uq3Wvapq8unw2txaQQeT/AKS0sRQdSyjbzk+npXV+EtcXXNEilYn7REAk +4MbKN3qMgZFC1QPRm5RRRQAVz1x40sLe/ns/supSzQHD+VZu4HvkDpXQ15zqV/pb+LNSN5rOq2AC +qg+yiRVYjrnCnNK+th9Dv7O8hv7SO5tn3xSDKmp6wvBvnDw7CkqBURmWFtmzfH/CxXsTW7VNWZKC +iiikMx9T8UWOl3X2aRbmeYLudLaBpSg9W29Kt6Vq9prVobqwl82HcU3YI5HWsCPVrXwxq2orrDSR +LdSiaGYRM4ZcAYyoPIxW/pN7DqFitxbwSQwuTsDpsLD+9j0PvQtrg9y7RRRQAVgX3jCxsNRksXtd +RknjG4iGzdxj1BA6Vv1yN14m0vTPGVx9suJIwtqsZPkSMN27OMhT2o62DodLYX9vqdml1ZyCSF+h +H8j6GrNYPhOJvs17d7WSC8umnhRl2kIQAOO2cGt6mwCiiikBgXnjGwstRlsWttRlniALCGzdxj1y +BWnpepwavYpd2vmCN8gCRCjAjjkHpXDa/eabJ4xn+16tf2IhSP8A1EcmxyrA7WwvP512WgX9lqOn +mbTYpI7fewG+MpuPc4PNEdY3/rcJaO39bHC/Hr/kSLP/ALCKf+i5KKPj1/yJFn/2EU/9FyUUAdn4 +M/5EjQf+wdb/APota2q8i8P/ABr8P6V4c0ywns9UaW1tIoHKRRlSyoFOMuOMitD/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coA9NorzL/hfXhr/AJ8dX/78xf8Axyj/AIX14a/58dX/ +AO/MX/xygD02ivMv+F9eGv8Anx1f/vzF/wDHKP8AhfXhr/nx1f8A78xf/HKAPTaK8y/4X14a/wCf +HV/+/MX/AMco/wCF9eGv+fHV/wDvzF/8coAPj1/yJFn/ANhFP/RclFcf8TPiZpHjPw5b2GnW19FL +HdrOTcIirtCOvZjzlhRQB//Z -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image007.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -34935,145 +36036,144 @@ Fxe0zYvCcH25QIFYi42YC45b61AvaAkfqdGYCzYTYi6UFkQDKbn+i8ZiLpSTY8yFmAsxF6o5LK4X 3KIpzgtxXqjmBcsXbgX19v7tTv4t//Mv3o2XQkmKFxIAAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image008.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAAToAAACaCAYAAAA5KYQGAAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABzKSURBVHja -7Z2Jm5TVlYfzzP+TmSRGhyigJEPiYGI0BkcGVAwItAgRh0VRaWUJisjSosi+74IQZTHI1qLdGDYh -YqTRRhRUcMNEURNz5ntv34KP5uulqmv5lt/7PPdphLK66tStu5zzO+d875FHHvmehoaGRhqHmXUL -xncyhoaGRloXuVuDsTIYPwr/5W3BWKqhoaGRktEQjG+DsSS80M03IYRIF58EY0x4oauRTYQQKaI+ -GGOC0UcLnRAirTwTjF8GY7sWOiFEWsE/t8uaBSO00Akh0sZ3wdinhU4IkWYOB6ObFjohRFpBYnKL -NZOXaKETQqSJncEYbZKXCCFSzOvBmGySlwghUszCYPQyyUuEECnmVDAWm+QlQogUMzMY15vkJUKI -FENS/wyTvEQIkXK+MslLhBAp51NLi7zku+++s6+//tr9FEIIT7Krl/zzn/90g4Xt22+/tW3bttnU -qVPtxRdfPL/g8e9ClGruRY1//OMf9q9//UtGig/IS8iMSJa8hEl09OhRW79+vRt//OMfbe3atTZ4 -8GC74oorbODAgbZ69WrbsGGDPf/883bixAl91KIosHkeOHDA1q1bd37+NR/MxdraWvvqq69ksHiQ -LHkJk+zIkSO2e/duGz9+vF199dXWtWtX69Kli3Xv3t2WL19u77zzjpuE1157rV155ZXWrVs3mzZt -mn344Yf6uEXBsGixwO3YscOGDRtmnTt3dvPvmmuuuWjwd1dddZX17t3bbcB//vOf7ZNPPpEBK8us -YNxoSZGX7Nu3z/r27WuXX365VVdX2yuvvOLGyy+/bHV1dfbFF1+4x3355Ze2Z88e9/cvvfSS3XPP -PRa8N53sREFwHd2yZYtdf/31blOtqalxmy1zj5/hkfu7VatW2a9//Wv3+Dlz5tjf/vY3GbJyNAZj -tsVdXsJJbteuXXbXXXfZo48+agsXLrS//vWv7f7/WSDvvfdee+CBB9yJ8IMPPnA+PCFag43z/fff -tzVr1jh3CAsctwbmT1t88803zm3CIodLBd/xxx9/LKNWhnXBGGhxlpewm27evNkGDRpkU6ZMKXiy -7N+/30aPHm09e/Z0E+/tt9/Wxy9a5LPPPrPp06fbTTfdZP3793cLXKGBra1bt1pVVZU99thjcqFU -ho3BqLK4ykuIpL7wwgtukjzxxBP297//vUPP99Zbb9nQoUNt+PDh9sYbb7gdWyc70RwWoxkzZtiA -AQPs1ltvtSVLlnT4Obdv3+5uJI8//ri99957MnL5wW8VL3kJUVX8bCxyuSsDi16x4CpMZOwPf/iD -86cIkZsXLEIEr1jkCDwUk/r6enczmTRpkguaSe9ZVlhA4iUvIcJFxKpfv342a9Ysp0sqJpzkRowY -YZ06dbK5c+dK8yQcBKsmT57sFjmCWaWYFwcPHnQ3lAkTJrjFTpSFM8FYbXGTl5w+fdotRAsWLChJ -tApfC9cTJhoT7y9/+YsWO+GE5kOGDHHBq1KdtphnuE1QAqC5E2WBhP4eFid5CT4zJgKT7fPPPy/5 -70MGcPfdd7sd/Ny5c5oSGeWjjz5ymjf8uOXg2LFj9tprr9mpU6dk/NLTaHGSl3BF3bRpkwsWMBHK -AYsqvjpOkIqIZQ9ObidPnnRBAnxz5eSZZ55xV1gtdiUnPvISrqgc5XPBh+PHj5ftd+OARisln0k2 -mTlzpvOboXsrVxSeTR0RMlflcePGWWNjY9F90eI88WmO86c//cktcoT1KyH5+PTTT92E5zohyUk2 -wFeLewQBOlq3SkDUH9cJGjulipWMyjfHyZVWQpBJhLVSIfeGhgYbOXKkjRo1yl5//XVNjQxA9J2T -/JtvvlnR17F3716X8aNTXckg15VS6pWTl/DBkt6FI7iSHzILLA7phx9+2ElbVN4p/eCTHTNmjIu2 -VhrmHhlAEhOXBDR0u6yS8hIWN3YzpCRxgOsDws7Dhw9L0JliSPF69dVXXdArDgn3uRsFG74oCXyZ -KyMvQRiMhg2/GGLNuGjZyHHkGi3SyZkzZ2zRokUu57lccpK24BqNAoDvAjpSUXQOW6XkJQQAuCrG -KQ2LKys+E9J/kB2I9IGrhFQsirNyZYwLVDwhAstGy9yTiL1oLLWmCsPll5eQoM9xHWfwoUOHYmcZ -osBjx451Oz4TUCQfFg6q31CnEH9Y3OBUR9FY1AdLly7VB1Y8KicvoUwSUpK4inQp2EmlEwSdCvun -BwpFrFy5MvavkdMmfkQFxYpCZeQl7KxIOAhC4JeIrXWC14hiHiFxMauniPJDYImKOCtWrHAZCfw5 -zuCr47aj20RRoDlOLyunvIQoK1dVVOiINeMe2cSHQ5MdNE4iuTDvcEcQUWfTirv/i0UOEfHZs2cV -/e845W+OwyJHpd/77rsvEaWluWLzWhX2TzYsdNSBW7x4cSJeLwscigSCYlxhRYeYaU2C4fLJSwjr -oxfiypqEVCs0VvSZwIGtXNhkwmdINRwq1CRJkMvp8/7771fSf8dpsKZSTaWXl3BdIMrKDhVnv1wU -+EkmTpxoGzdu1JRJIJyIkDEhEE4SLMp8X+h3osY6HYYmu+WRlxBlxTeXNDgRUD6Kuv/srvKZJAck -G+SyIttI2gYLiJspIxZHCVbC+NRKLS/hNIdTnyYj5BUmVQj57LPPurC/SA74WKkz154WhXGEjKH5 -8+e7azd+O1EQ9cEYY6WWlzDJ5s2b53xdSYXFmoUaISenBOmb4g8phkiEaJOZTx/guEEgheo+NMcW -BYG8hMyI0spLaAI8fvx4l3qTZJhw7KzITVR2Pf6wyHFlTbpEAx8jek6ExPiLlRqWN6WXl/Ch8OHg -TI27SLM90CYRoTOnBRFfmHdktwRzOvGOfG4PZOcQmCCgIh9x3lCh40YrpbyEFC+O3HEohVMMuIaj -WkduQlECET9Y5CizxcJAGaa0VIymAvbs2bP1AedPo5W6OQ5J8QiE01S198CBA+49xaXEj7iUZcuW -uSh/WuBUR+R127ZtTkiscv95UdrmOJzmED3iW0hTySMiechNFAWLHwSNEHZTnYQTXdpyReltgetE -urq8QABbZaWSl1Bnjl01rYnJLOREYEV84No6Z86cWJRHLwW4TJA4KRiWNyes2PISJhsLAMdsKkUg -ekwb7777rj399NOJFKGmFaLiRCiRMnGLSGt0kmss71OVTfKC8kPFl5dwxCYLIq3hcK6vVJcg2V8T -Lh5wwkbnSCAizTpHMj3QdMapOnLM4aSF0r+48hLC30w4Tjxpbd+GL4ja/nQMI2FcVB5O2chJuN6l -GVQMVL9GnypNXbsgKtXDiikvwXfARNu5c6dLX0nzzoqWDscwgQlRedh8qBuY9orQ1HHk1LplyxY7 -fvy4Pvi2abRiy0v4EKj0gSI97bCoIzVBmEroX2lhlSOnb8yKk573W11drWbr7aM08hKirSwAWTlW -U2OPa7q0TZUDTSPNqNGYZQHcJlRMrq2tdTcn0SrFbY7DVQ4/SZa+8PgguUJwqhOVgasqpzma3mTp -S89B4qmnnnILnmiV4jbHQcT45JNPWl1dXeYsySLP+09r8CXOIGOi4XjWTtQI1rlNsNEiN1FgokXI -daWUesflJTiCyX6g9lecGlKXC64Q9CNIQ+GCpEFB1JqamsxlC7CwsbEi46LYhGROLYKGjtJJHZeX -oCtbtWqV+5lF1fb69ett6tSp7mSnnbV8IGWiGXqWe6AuXLjQnWi10LU+VawY8hJEjGiYstoWkOwP -xMP0lYhrU+7UzdxgkaNsUZYLUrKpUt4/qRWUy8hh66i85OjRoy63cO/evZluzUaonyYsWujKB1VK -cJdkvT4gQUAWfE634hKWWlOF4Y7JS6i4SzpU1uUVTDYWfE4ZWuxKC3MN0SxVSnJNqbMMulWqEGfd -Di1QHHkJ2iUWO8TCWQepA9kSWQzIlBOurVTGUVZKE9ymaN7E7SotRW6LSMfkJfgH+GKrk/gFiDwv -WLAg0Y2A4g5O91wCP9IS0QQNgAiIpan2Y5GgOU4v64i8hJPcihUrdGT2sPhjC8pUqWZYaSCZnbLi -Bw8eVNpdCHzEnHIJCCoCexGFN8fhC80kowjg3Llz1SwmBP4j7IK2ThQfOtjjE8Y3Jy6ed/iJ0XMq -B/YiZlqTYDh/eQnCWKQUpD4R2lZnogvQNGfy5MnOUS6KDxsspzr5hC+FKz2tRdNeqipPGqypVFNh -8hLC+lxdxcVwbUBXiKOcdDhd64sHuaxsriphHw2BCIKD6mdyCVw585OXYESSqMmxk9O9ZbhC4DOR -r654sIFQpUTzrnUoG9bQ0CBDXIDepPnJS+iyRIVTnMEiGnIQuT6gqRPFgQwARLH0g1AmQMuggpg0 -aZLzEwsHztwxlq+8BB8UJXGyUvurI3CV4Eup62vHQUpC8r7q/rU950jyV7DmPMhLyIxov7wE/xNX -VyabAhBtQ5MginLKp1Q4RPhJbdq1a5crS6QgRNsQsGHOYTcVmShAXkJ7v9mzZ7sQv2gb/Ji0fNSE -Kxw2VIJea9ascV9eaefaB42byJbQbcLVo7vR8pGXoL6mJwQ/RdvgL6GqyfLly7U5dABKgJFxItoH -PmLKN9EWUeTZHIfgA3XXONUpn6794MukhBURQ5Ef6DW5/lMsgSCYTnPtg9sDHcKQ4mC7jMtN8muO -g9GokKD0kvwgGEHStZzo+UPgiyIJRFpF/uS+sxm/vm4MRpW1JS/BR3Ls2DFntB07dug0VwBcJdB+ -qbt6/iApkZSpMLhNkMFESljGgzh0TWpbXoL4lTu/KAwSrdEe4q8T7QOhNX5N9eHoGLhMJkyY4PJg -MwxH2pblJfhEuN8TwWGoy1VhcH1ls9i3b5+qwLYTyg0hfM1iV7liQs9b5l5W+7kEnAkG6umW5SWE -8+fNm+ccmuyskkgUBhsGVwdsieREtA7uEq75SHPwb4rCwT9HjjA9YPfs2ZNFE5DQ38Nak5fwBZ0z -Z45b6ETHIIiDBlHNrtuGyP78+fPdtUsn4I5z+vRpmzJlSlZTEhutNXkJJV+oRU9ZcOUWdhx2VkL+ -9OGku7qi1y2T02uqWm5x4DaGPCejdSNbl5fQEHjcuHF24MABzZQiQglwipWKaDjNESlk3qlMf3HB -30llk4zRcnMc+pRiFK5ZnEJEccD3tH//fucKYMKpMvOl4MMkCKHAV3Fh0+CUnMEaki03x6HeHD1K -KY0jig+VOBDCSpN4gVwzZpL3udrLNsWFTTWnhaVCc4ayTMh1pZT6pfISBMLkF8o3VxqQmZBwTWRR -vroLoNUkp5UvoSL8xYcbBXnXs2bNytJCh4Zul0XJS7g24MDU9aE0sLihVn/iiSeczinr5LqnkerF -1UolwEoH/s/nnnvO2TtDmwkTal+HGliLwmCBmz59ukpeB6Ax5DSHfw5fkha60sDChq1xnSDfoS9z -RjhshTbHER0DMbZKN134AlKgFN+cKD34QZ988smsJPsvtaYKw0u00FUIxLDbt293VTqyCmJWotD0 -2NDCXx7QJ1IsgRN0BvzwLctLRHlAxDly5EjXeZ4AUBZBmF5dXS29ZpnJdVTLgHysZXmJKA/4S3AM -DxgwwGWhZA38cYcPH3YnCyq8iPLB6ZmTNCWwUu6ro+xSL8unOY4oPoT58U1xdWPhy5KsgrJVlK9C -1yU5SXnB3rgNKOHE3Esx+TfHEaUBpzD6Jqp1ZCVbggWeyDMpcSpIWhk4yTHvKNCZYl3dTGsSDEte -EgdI9l+2bFlmSq5ziqWai0TTlYMNlkAY5cOYfymV9aDfolST5CWVJneNyFIS+86dO52kRItc5ece -Gw4+4hS7D7gmSV4SFyhPRC5imiHbpra21mVBUPVWTYMqC6c6ov8p32TRb0leEhcoVz948GDbvHlz -aiNhnOAQq6pUVbzAX4rEJ4Ui4vpgjDHJS+IDmibSwoYMGeJOO2mFmnN8qdSnNR7wORAImzZtWhqv -r8hLyIy4WF5CMj+nCU3CyoBSHV0ZAuI0dsBCQkP6m+Qk8YEgRH19vSvjlMIrbLS8hLryVNWg+Kao -DGQLoG8i+TotsLCxwNFQef369fqQYwZX1q1bt9pjjz3mqounKAJLPbobrbm8BIU6MgeVsa4c2J7q -EmnqgEVf0WCO2dChQ11SuYgfuBPuvfdee+CBB+zo0aNpeVuN1rw5zqhRo2pIC2FF19W1cuCw50Sd -pusdizedqLgeqXpwPKHIBBHxyZMnu1tFSri0Oc4NN9xQo2tFPMilhqFaTzpE9NauXeuCENpA4w2b -K6e53OeVAjYGo8rC8pK6uroalOpyFFcenPb46UaPHu2usEmu9kzpeCplqDR/MuD0Tc8YSjmlhBMm -eUk8YWGjY9iIESNs6tSpif7SkLxPwyUWbxF/cC2wOfGZ4cZKAYgDVb0kzlDGiOoS+OySdKrjVkAe -5Zw5c1x/4DRKZdIMc422kzNmzHBysyRFYXGPsED7OYd8ZLWpekn8Fwx8dSwWSXIQM9koKNq/f38n -J1FOa7JgYUPPOXDgQHejOHfuXGJeO7cI2olSvduaEvp7mKqXxB+usCtWrEhcXigRfBY5rkJqeJMs -2GCJwtI1jMomSYJNlnaie/bs4T8brbm8xLTQxRImHJVgyQ9NgpCbHZX+rCx0SToJiEthc6XSDHOP -Cjtx5+zZs+c1qF7GdKm8xLTQxRYqTAwbNsxFYvHbxRl21JqaGqe0F8kHHzHZUnHftFCM8DrJFacS -kEfNcZIEjlUyCljs4hz2x4nN6yPSqsrB6YBbBO6T3bt3x3axY2FjkcOnyAZLuqFHzXGSBiclujcR -9o/jNYJIF6Wm7rrrLidPEOnhyJEjdvfdd9uaNWtimdlC4dCqqiq3GDfzB5PrSil1yUuSBIsdESXS -qeKWfM1Jjijr4sWLVRQiZeB3XblypfXt29f1mYiLJpKgCfIXTpyMiO8DGjqSqyUvSRJ8kFQhHjRo -kMtJjMtVgglHDT0kCUw8ZdekCz5PTnJEM3/3u9+5zSwO4CrBH0w9vVY2ff5B8pKkTTgWN/wQLCpx -gdLo6K2Ieon0gq+YXsR1dXWxeU0UimC0AtE7yUuSCDsZYX86OFX6VId/5M4773QShCTn5Ir2geSE -z3zVqlUVfR24cRYtWuRkTCzALdwillpThWHJS5IKuyoOWHowVKJ+IKc3/DYDBgxwWRBEWSUMzgYI -wdnc8NdVoiINCxvdy4iyttFQSvKSpIPPhOsrE46cxHKniHGSHD9+vM2aNUtX1oxBHjN+un79+rms -HUTt5QIfMLcHfjfX6DbmnuQlaYDdFH0d0U4a65RrseOKSq08qtKqGnU24VSF3IRI7NKlS8sy95BV -EXggIMKVtR1dy2iO08skL0k+XBeRdnCMp+Y/u20pYXKRME1rRoTBIruw2G3YsMEtPLTpLBX435BT -0amMTZ35105/cHRzHH10yYSTHYsdi8/YsWNLpnNiUWUnveOOO1wJpiTkP4rSwrWVwBjZCaXy0TK/ -0Y4iq8Inl0fQa6Y1CYYlL0kLTDKU4ffcc4+r6FsK0S4+EXwjLHJp62shCodILHo2/HWlglNcHie5 -HA3WVKpJ8pK0QTSWbk4PPvigvf/++0V7XuQEBD5Y5OSXE81BTIwK4Pbbb3d5p8UoK0bggbLuuGU4 -NRYY4f3KJC9JJ6TDjBw50oYPH+5Od+THFgI+GJy/tMAjhxXdkrp4ieZwsmeuIDe55ZZb3GJHcKwj -pdiPHz9uEydOtN69e9ttt91m9JwuEJzWkpekFbpvkYjdrVs3d8LLZ7EjnQv5ANeRnj17Wo8ePdy1 -pB1RLpFhkBydOnXqfLCKvOyFCxeGyya1CZs0NeXoA0y1nvr6ejt58mShEpb6YIwxyUvSzXvvvefq -1zHhqNNFIAE/HoELflJrjJ2TUueHDh1yf8eg7l2nTp3cborz99ixY2XVSonkQwUbpCeXX365VVdX -n59vSJK41jI3c/OQnwykUmzKl112WfO6coWCvITMCMlLsgCBA7IXunfvbl26dLGrr77aunbt6v78 -0EMP2aZNm6xPnz7WuXNnN7juMilZJHWKE4VAcIzyTixkCMuZb1dddZXdfPPNLjeazTQ3D3M/f/az -nzkJCX7mhoaGYrwMyUuyBsd/egAQOSWFB/0T/QDwg7DzkjdLPTn+jbp3iqiKYpBris28Yn7h5yVy -jwyKOcjg35iXzMcTJ04U89dTj+5Gk7wkWxC1IjzPTwa7LtcDdthcW7tK5C6KbMw95hcpW9wguC3k -5mF4XhaZRlNzHBGehEKUizIWf1BzHCFE6tkYjCqTvEQIkXJw+kleIoRINcgGJC8RQqQWEr5Xm+Ql -QogUQ0J/D5O8RAiRYhpN8hIhRMqRvEQIkXrUHEcIkXrUHEcIkXrIdaWUuuQlQojUgoZul0leIoRI -OSTWSl4ihEg1h03yEiFEillqTRWGL5KXTJNdhBApYrtFyEvmB+PtYLwQjG+CsSoYH4T+J1r60Ljx -XDBoy01B9+PBeLbZk79sTQ7AL/xz0G7sxWDsbfa4lf75D/oXRFOC5f5njsZgrLUmp+JzwThpTUfR -F5o9F69nfzA+DD1+fTCOhh7ztX/+s8GoDQZt5s/49xSG17PJP548uc+CQQuiHc0ehxjxWITNPoyw -2df+Nb7VTpuda8NmB9pps3Vt2OxAhM0aImz2hbfZ3jxs9kqEzdZ6mx1rw2Yr/XNtasNmtf61rQ7Z -bF+EzT6MsNmXoce8E2GzQxE22+Sf54Nm87Ilm+1qw2abQzb73NtsZ4TN3o6w2Uehx5yJsFljhM1q -/TgbstmWCJutCNlsRys2Wxey2Slvs40RNjsYYbNjoceci7DZ6QibHYiw2e5WbMbneEFeEvzh+9aU -KvFoMHp5o/0yGFP9G+BNPx2M//Yv6NZgjA3G48G4zk86+uC9GYz+wRhkTY0pfhWMZcG4PRhD/cJz -1k/Ia60pF+3+YNxpTbXdf+F/8sFRXmVCMG7wH+xNwZgejIf8nw/5D4Cf/xOMUcF4yppKJ/P43waj -2n9JPvFG+3kwFlhTjarhwXjG/87nvdEw/v8Fo7d/PK9/nn/tvIc3/PukuxBh64kRNpvubfZByGYr -vM3GhWy22z/XkXbabEvIZvdF2OzjkM1ujLDZbyNsdl+EzR6OsNlCb7MRIZu94G3W0ILNhkTY7Ffe -ZhNDNrsuwmY9/HP1acNmVRE2+32EzZ6KsNnSkM3GRdjswQib3eyfZ0azxxdqsz4hm833Nusbslmd -/7dHI2xWE7LZUxE2m+Qf90rIZv38a1oQstltETb7RchmAyJs9m6EzWpasdn9ETZ7JGSz5RE2mxlh -s2ERNhscYbNfhmzWk4Uzt9Dt8UYe6N/ofwTjNf+LWOzQo1zjV9ofBWOD/6V3BOMl//g9oQ+Fid7Z -7xQ/9issC9H/+hX73/0H8Bs/SZYEo5N//BX+jYzxRtvtF+Ja/+Uc7Y31n/4Ud2UwFgVjvF8UX/WP -3+4XlxF+B7nMv/6uwZgbmgj1/vW85CfC7/3C9wP/+J/6D4mrfXd/EvyB36mqvM02h2z2c2+zmSGb -/dDb7PcRNrsuZLMu3gaXhWzWx9vs+yGbjY2w2aqQzV72j385ZLOVETYb6232Sshmvb3N1oVs1sXb -7NEIm90RstkPQzZ72tvsFyGbbfY2q4qw2fQImz0fstnWCJvN9a9tb8hmIyJsdkPIZj+JsNnNETZ7 -MMJmS/zz/CZks53eZqNCNtvnX9e8Nmy2IWSza7zNpkTYbGCEzf4rZLOf+uf4Qchm/SJsNsnbrGvI -ZutCNtvh39OrIZstirDZg95mtSGb9fR/vzxks594mz0SYbNeIZv92D9/55DNfhWy2TZvs2ERNnsm -wmZbQjbjvfzb/wOS6FUZgl74egAAAABJRU5ErkJggk== +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABynSURBVHja +7Z2Hl1RVtofnH5o3CUdmBAxL57lQcMGYBh1QQTIqMojIQxhBUFAkIyChyY00KAqYiBIGVBBURu2G +RkFUMCfM553v9Cm5NrdDVVe499zft9ZZaHet6qpdp07Y+7f3/s2///3v32hoaGiEOIwxF9vxo4yh +oaER6iLX045qO/4Y/WEvO1ZoaGhoBDLq7PjejuXRhW6hEUKIsPjIjtHRhW66bCKECIg9doy2o6cW +OiFEqMy1o4sdm7XQCSFCBf/cDtMoGKGFTggRGj/ZsV8LnRAiZA7ZcZEWOiFEqCyz4zrTSF6ihU4I +ERLb7RhlJC8RQgTMq3ZMNpKXCCECpsqOHkbyEiFEwJy0Y4mRvEQIETCzTYNgWPISIUSwkNQ/y0he +IoQInG+M5CVCiMD52IQiL/npp5/MmTNnzI8//qiPVQiRI93VS1jQGCxw33//vXnhhRfMww8/bDZt +2mS+/fbbX34vRLH54Ycf3Nzi3+jI/Yw5KRLDYjuuN2mTl/z888/mrbfeMuvWrTNr1641Tz75pFmz +Zo0ZNGiQOf/8802/fv1MdXW1+/369evNu+++q49aFAUWsP3795uamho3v+IGc3Hbtm3mm2++kcGS +QbrkJeyWb7zxhnnxxRfNuHHjzIUXXmg6depkOnbsaC677DKzcuVKU19f7ybb5Zdfbv7617+aiy++ +2EydOtV88MEH+rhFwbBoscBt2bLF3HHHHaZDhw5u/l100UW/GvzsggsuMD169HAb8L59+8xHH30k +A1YW6tF1M2mRl7zyyiumV69epl27dmbMmDFmz549Zvfu3WbXrl1m79695osvvnCP+/rrr90E4+eb +N282Q4cONWPHjjXvvPOOPnKRN1xFcYd07drVbaozZsxw8y43/6KDnzHvVq9eba666iq3IM6bN++X +uSkqQr0d803S5SVcF7gKDBw40Dz44INmyZIl7uraWtiJhw0bZkaNGuVOhO+//77z4QnRHJ9//rk5 +fvy4W7Rwh7DArVq1qlW3g++++848/fTTZsGCBc6lMmXKFHP69GkZtTLU2NHXJFlewnWV3ZSJ9sgj +j5iPP/64oOc5cOCAW+iuvvpqt2AeOXJEH79okk8//dS5PLp372769Onj3CKFBhgIkjHnHnjgAblQ +KsNGOwaapMpLiKQ+9dRTpn///m5H/Oqrr9r0fJwCb7/9djN8+HB3suM6oZOdaAyLEac3NteePXua +ZcuWtfk5t27d6ha7SZMmKThWGY6bpMlLiKriZ2OR69u3r5t0+EmKBTszkbHx48e7wIYQuXnBIsTN +gXmHu6SY4Edm08b9wo1CEpSyQt+IZMlLiHAhC+ndu7dz5BZbC8dJ7q677jLt27d3PhQWViEIVj30 +0ENukSOYVQoOHjxoBgwYYO6//365T8oHztFqkzR5yalTp9z1cvHixW2+rsbBwvnhhx+aY8eOmVdf +fdW89tprWuyEefbZZ82QIUNc8KpUpy3m2eHDh51E5YknnpDRy8NMOzqbJMlL8JnhP2OyEfUqNQiL +Bw8ebP7zn/+49DGRTfDLvfTSS+btt98uy9/jNMep8eTJkzJ+6ak3SZKX4IfbsGGD+de//mXq6urK +8jfZXSdOnOhOkIqIZQ9Obu+9954LEhBlLSdz5sxxfmL+vigpyZGX4DcjoyEXfCinuPfEiRMuOHH0 +6FFNiQzCgoPfDN1buaLwbOq5qzJZPmT0oDIQJSE5zXGee+45F86fNWuWE1uWm08++cTMnj3bRcYk +OckGLDafffaZ8wWjdasEZFHgOiESW6hGVLRI5ZvjcHVgYVmxYoWLsFYqKFBbW2tGjBjhorEEKET4 +cIsg6+G///1vRV8HqY2IiTnVFVNGJX5hnh1dTSXlJXyw6JVwzFaypBILLpFYawMnbVF5p/DBJ3vv +vfe6K2SlQWlABpDExCUBn8AOU0l5CQsdu9miRYsSYRGusERgkZxI0BkufM5cG4l+lkLClC/cKLhN +EPkVJYEvc2XkJWQ/sKDw4ZI8nRQt27Rp08zcuXM1NQKF5Hr8ciTbv/nmm4l4TV9++aVTAHCz4XQn +is4hUyl5Cbsq5ZaSlIbFlRWfCddphf3DZPv27S4V6/HHH0/UokIQjggsMheUABKxFw2Sla8zlZCX +cF3guI6sg1Nd0sBvg7+OHb8SUWBRfFg4KILJxvrMM88k7vVxqkNihfqgGIUExC9UTl6Cb2TmzJmJ +FekiN6DSCTmJCvuHA4UiKLmUZBDNc9qkVJSCYkWhMvISdlaSm9EO4ZdIKocOHXJJ3giJdapLNwSW +8AlTOBP/K/+dZNBzIn3RvCsKVXb0MOWUlxBlZZFjZyWXNemRTSQnJF+jcRLpJZeJQEQ9DVo1XDoc +BNLwHUkB5W+OwynpnnvuMXfffXcqmoZwxR45cqSLDMs5nF5Y3MhnpQx/GkDMTHELCnZyhRVtYrYd +XUw55SVVVVUuAwE1ehpSrQia8FpxYCsXNp3g5H/55ZeddINoZlqg0Q6brCqctBkqhMwy5ZCXkLBM +CRx2qCT75eJgQabCCU5ikT44EdEFDoFwmmBRRuaE3EktE9sMTXbLIy8hykp6VdrgREB6Dj090dbJ +Z5Ie8HFxIke2kbYNFhA3T5gwwbl8RJtAOlFaeQmnOZz6aIOoUpJWXxcOYiJhIj3gY0WAS4vLNELu +K+mRBFGotCIKYo8do02p5SVMssceeyyVO2oOFuulS5e6xRpHsfRNyYfeI0T46SCXlFSvQmCuUd0n +bVfvBLHYjutNqeUl+BoQ3pJ6k2aYcOibkJuo7HryodxWTU1N6iUa+BjRc1IYFF2dov95U3p5CR8K +Hw7O1KSLNFvD2rVrXbWVEN5LyDDvyG657777Uu/IZ4MlO4dA3u7du+Ujzh8qdHQzpZSXcG3lyM11 +LwRyTVSQmyg1LJmwyOG8Z2HARxdKxWhKvlOcVuRNvSl1c5y33nrLCYTxlYTCgQMH3HvivYlkgi+V +3iOhwKmO4hebN292/6rcf16UtjkOpx+iRejPQhI9ckpAblKOdowiPwga5T4fNGih5YqiWkDTKV1d +Xmy0Y6Aplbxk586dblcNNTGZa3koV/JQ4No6f/78RJZhKtZ3isomCoblzXFTbHkJk43TDsdsKkUg +egwN2jHSsYx8RJEMyGelmOvChQtdhDLU6CTXWN6nKpvkBX0jii8v4YhNFgRXiRAnHNcjqksQmNCE +Swa4SvDN4cMKWedIpgfFCRDhi1bBSavaFFteQvibCUef1FDbt7GAc1JlMScXUVQeTtlUhU5Saf5S +gIoB2UyS+qwknJl2dDbFlJegRt+xY4dzBPNBhLyz8l7R1G3cuFFTKQGw+Rw7dsxd60KGVLDXX3/d ++SF5v6JF6k2x5SV8CESFENaGDg5h1PcIU9FtKS2schAYImuFzScr75fGUiHJtkpIaeQlHK3RmmXl +WE3rPK7p0jZVDjSNNKPmpJMFcJs8//zzLq2SK7toluI2x2E3xehZ+sLnSnQTYRaVgQwVimpWV1dn +rtM9kX/mn2iW4jbHYcJNnz7dVUbNGizyiDhDDb4kmZyMKWsnaiRcRF/x1ZH4r8BEk5A319UUQ16C +I5iilHS5z2I5Ga4QTDol+5cfcloRpmctW4CFjY2VRlPUSpTMqUnQ0O0wxZCXoCujlRz/ZlG1/eST +T5pHHnnELXTaWcsHUib6ehAEy2owCB8xyf5a6JqfKqYY8hJEjGiYstoWkNME4mEU+Ultyh3czLWL +HGWLSIvKKmyq5JFrzrUItejbJi+h6Q0OUYSzWW7NhtSEJiyadOVj+fLlrlR6VmQlTYGejgWf0604 +h2V2XGfaKi/h2kY6VNblFUScSX3DR6nFrrQw10j1okoJ+jl8xFkG3erkyZMVDIunOPISEttJhVLz +jobIM4LpLF+nygHXVrrKKSulAfrWEpBAT0jXOvEr2iYvwT/AFzv0lJt8IPKMcxifpSgNON3JDODa +Kv3iWWgARCMg5qD4FVV29DBtkZfQKGblypWZvzrkYPHHFtSpy7rfqFTQbIlMFNKf1D/hLNgDATEB +QUVgf0XhzXH4QhPOp88prQz1pT4L8hpU+hQ3EMWHYhGTJk1y1avFWfBb4ieuqqpygTHxC7Pt6GIK +kZegF0NKQUI7jnftrGfhKk97OhzloviwwXKqk0/4XPgujh8/PvhSVXlSZ8csU6i8hLA+EVfxa7g2 +4C/BUY7OS9f64sGJhWR2lbCPh0AEwUHZ5xy4cuYnLyHHjpA+2rnDhw/LhE1AShiRQdX3Lx5sIFQp +0bxrHvx16FvFL9CbND95ydGjR12FU/kBmobrFdeHLBY4KBVkACDboascUVcRD6J9fJj4iYWDL+Fo +k6+8BB8URsxK7a+2wFWCL6iur20HKQnVcVT3r+U5V1NT425dwrHYjutNPvISJhlOYP5V8nrLkCmB +FEI+k8JhnpHaRHl+IooKQrQMNwrmHIuevqcFyEtwdFL7K2sFDgsFPyb24ouqCVcYRPTRa9LPlC+u +Sta3DgKF2Ey3CTPXjm4mH3kJzmBSnEg1ES2Dv4T0nBUrVjj9lygMXCVknIjWQc4r9lq6dKmMkW9z +HPpArFu3zp3qlE/XevBlUsJKaWH5g16T6z8nY4JgOs21Dm4PSHHQuVKBGKVEhsmvOQ5Go0KC0kvy +gwghJazkRM8fAl+0lEScLvIn953N+PWVyg8DTUvyEnwktbW1TqiJQ1inufzhKsFJWOWb8gfRtdr6 +FQZzDjkOUrCMB3HwG7UsLyHaJR9J4ZBojfaQKsSidSC0JuilYpJtA5fJ/fffn/W2iBxpm5aX4BNh +J6ABB0OF/QqD6yuOYa6w+uK2DsoNIXzlRCcKhwwJsnSy2s/FctoO1NNNy0vQ4ixYsMA5g6lQIolE +YbBh4BDGlkr2bxncJR9++KGT5hC1FoWDf46IP+mIGa34MtOOzqY5eQlf0Pnz57vIl2gbBHHo1oSD +WDQPvqWFCxe6a5daSLadU6dOuS51GT0d15vm5CU4zqlFT36hnOhth52VJiaUneeErOh106DXJNqq +arnFgc0CeU5G60Y2Ly+hhR+1rdDPieKxbNkyV6xUxIPukEgh8y7LXeVKQUYj2E03xzl9+rSrvME1 +i1OIKA74nvgCc6Jjwqky87kgcCUIocBXcWHTIKspgzUkm26Os2/fPtejlMobovhQiYOrmTSJZyHQ +xVV1+/btTrMp2xQXNlXm3datW11wIkNZJvPs6Gri5CWEoskvVN2v0oDMhFI6+D7lqzsLWs1Fixap +NH+JwK7kXRPNztBCh4aOBi7nyku4NuDAVG5haWBxO3TokHn44YdVIMGc7Z5GqhdXKy10pYMS/+Ss +MwczJBdjQu1vUwNrURgscNOmTTN1dXWZtwUaQ05y+OfwJWmhKw0sbCQAcIVF00lf5oxwyBTaHEe0 +DcTYdLMSDV/AOXPmSK9ZJvCDzpgxIyvJ/svsuM7k2xxHFA/SwdhdM7SzngNiVk5y9NhQzb7yQJBx +165dLksnAwHHpuUlojwg4rzrrrtcufWsXmEJyIwZM0bNlsoMWSd0VMtAsn/T8hJRHvCXUCa8b9++ +Lgsla+CPe+2115yukAovonxwesZVwAYT+I2iyo4eJp/mOKL4ENlGN8bVjS9+lgonULaK8lX4KlUw +orxgb9wGZD8x9wIm/+Y4ojTgFEbf9Oijj2YmW4IFnsjz8uXLXaUSUX44ya1cudKdqgPORJltRxcj +eUkyQEPGYpeVkuu5DmmcKtSpqjJgd24R5F5TbzJQWQ/O71lG8pLKwzWC/OIsJbEjcUBSosyQys89 +SrHhIw7YfcA1SfKSpEAkbMuWLUG/R65ILHKcYIk6q2lQZeFURyAo8J4SRFskL0kK1KobNGiQK1NE +mawQ4QSHWFWlqpIFNQD3798fohthjx2jjeQlyQFNE2lhQ4YMcaedUDl8+LArV6Vc6mTA50AgbOrU +qSFeX+nqdb1pLC8hmZ9ojCZhZUBAS6YAAuIQG+nghyS3VXKS5EAQgn4S1J+kl25gxMtLSA156KGH +nHNcVAYWO1rUkR4W0peJBY6imlTPEMmCKyt6Tuok8t0PKAI7145uprG8hIrCaJtUxrpyYHuqeYTU +AYtruZ1j5rbbbnPBCJE8yJK48847zahRo1ybxECoN42b4wwfPnw6+iZdXSsLDnt21ZCudyzedKLi +lKrqwckEVwlZEtRJDEjEfW5znO7du0/PYE35RIIMg02HZjFphwyINWvWuDaG2kCTDZtrbW2t+7xC +mHuWjXYMNFF5yd69e6ezkstRXHnQNeGnGzlypMsJTXOKDrIFKmWoNH864PSNmyGgPrDU/5K8JImw +sCHBGD58uLvypRWieDRbYrEOXJQaDLgW6GtiDz6hBCURB6p6SZLh+oDfhJzQNJ3quBXg6503b54Z +N26c60Ei0gNzjQg54m7E62mKwuIeYYH2c46VutqoeknyFwzqhrFYpMlBzGSjoGifPn1cwxvltKYL +Fjb0nP369TNTpkwxZ86cSc1r5+aATMbLs2ba0dmoeknywce1atWq1OWFEkxhkeMqpIY36YINlhMR +XcPIS04TnEYJpnD1NnHyEqOFLpEQ9kfjRJWJNPhMcGYvXLjQLXRK2k83fH40vWbu4T5JOpzm6HCG +BtXLmM6VlxgtdImF3FfEnFSEpSdskuH0Nn36dKe0F+ln586dqbi+klFEVhe54hQp8Kg5TprgGkFG +wdChQ10Hp6TCtYEvBlHWNJwARMsQjMB9QlAsqYsdJc5Y5PApkrMbEaWrOU7a4KTEToVcI4mBCb4Q ++OQGDhzo5AkiHFhIBg8ebFavXu16EicNCocy7zgENNIBz7Ojq5G8JF0QzSSixFUiacnXTDKirEuW +LFFRiMDA71pdXW1uuummROXCs6jlTpzoTmO+D2jodhjJS9IFHyTO4QEDBpjJkycn5irB6zpy5IgL +QCASVnZNWPB5ch2sqakxt9xyi9vMkgAbP/5g6uk1s+nzC8lL0jbhWNzwQ6BzSgo0VyGDg1JMIlzo +UkcvYurXJQW+Cy2UNCN6J3lJGsHpv23bNleSvNKnOnb5W2+91YX1A26bJzxITvjM0XZWEk5zixcv +drcIgnVN3CKW2XGdkbwkvbCr4oDl6F6JyrCc3ugL2rdvX3d1IMoqYXA2IOjE5oa/rhIVadCW0i6T +KCsbfjNIXpJ2+LDZzVhoyElER1RO2NmpsEI+axIjcaJ0EIxYunSp6d27t+tHXM6y/+RQI2Dmb3ON +bmHuSV4SAuym6OvYXWluUq5SSFxR6fBOpEvVqLMJ/jpSrYjEsuiVY+5xa6AfMAERcsBb0bWsyo4e +RvKS9MN1kdphHOORnrDjlRIS9Ok/S2tGhMEiu7DY0aaThWfTpk0l+zu5Ju8EvJAwoTxopT84vjmO +Prp0wsmOxQ5RJ0UTS3XKylW1YBdPS/6jKC0EAnbs2OHE7KXy1/G8aEf79+/vfHJ5BL1m29HFSF4S +DixCiHZJExs9enRJFiEU6OzeRHsRakovJwB/LT2J8deVCm4ReZzkctTZMctIXhIeRGOHDRvmujkd +P368aM+LnABfIIucqgWLxiA5Qcjes2dPl3daDNkTbpgxY8a4ecdJrsCo/jdG8pIwISVmxIgRbsHj +dOdrc+UN1xIKaN5zzz1OyoIiXl28RGNy9euQG/Xo0cO5NgiOtSUVsL6+3kyYMMHceOONplevXm3p +YYHTWvKSUKH7FiVrLrnkElfiKZ/Frq6uzokxuY5cc8015oorrnAnulZEuUSG4RRH9JUrJsGqiRMn +utqEFAVoLRSE4NYwduxY54bhhnLy5MlCy/HvsWO0kbwkbE6cOOH6Tjz44INu0SOQQLkdBg5kpCns +nERSDx486H7GoO5d+/bt3W7KlYE8VvV9EPnAreLmm2825513nusCl5tz/ByfHm6V3M9yc5K5xuLW +rl071+yczbqNLLbjeiN5STYgcED2wmWXXWY6duxoLrzwQtOpUyf331xtN2zY4Ba1Dh06uMHEpK4c +i6TSukQh4E/jJMeVk42T+XbBBReYa6+91uVG87PcPMz9e+mll7pbBKc4bhVFQPKSrMHxnx4AqMlJ +4UH/RD8Arhf4VLhiMAH5HVIBRVRFsaApNvON+YWfl6wGGj7x//ycOce8ZD4WM4BmmWtHNyN5SbZg +l0WTlBv8P9cDTnTky+Z+L0Sxyc03cqQRF3NbiM7F3Cgy9UbNcUQOJeOLQOebmuMIIYJnox0DjeQl +QojAwekneYkQImgQf0peIoQIFlIzqo3kJUKIgJlpR2cjeYkQImDqjeQlQojAkbxECBE8ao4jhAge +NccRQgTPPDu6GslLhBABg4Zuh5G8RAgROCTWSl4ihAiaQ0byEiFEwCyz4zrTSF4yVXYRQgTEVhMj +L1loGvogrrfjWztWmYZSxDnIG6NxI33MKH9Cx4t6O1Y3enKcf9vs+MKOlaah3dgzdjRu685zvW/H +ATs22/GVaViBv4o85qgdj5sGpyLivxOm4Si6vtFz8XpeseODyOPX2hEtOn/GP//npkFfs8+/p+WN +novX83TEBp/ascuOLY0ex+up9TZ7KvL495ux2Zst2OzzVtpsfws2WxNjs6dibLbf22xNxGZvN2Oz +l1qw2ZmIzXbG2GyNt1ltxGYrm7HZhhZstt2/tlURm70cY7MPIjb70r+naBOMIzE2Oxhjsw3+ed5v +pc22tWCzDRGbfeZttjXGZnUxNvsg8phT/n1+G7HZ0RibbY+x2aYWbLallTZ7rxmbHYixWW2Mzb6I +2OxUjM32x9jsxRibPe5txud4Vl5i/+N3piEUO9GOf3hD0uF6in8DvEgawXb2f/yfdtznn+RKP+kw +xmE7+tjR345F/jl4A73sGOIXns/9hLzcjhl2jLTjVjuq/M+q/MR4x47xpqEUMm/qatNw6vw/O/5u +GjQyX3njcjwdYRpy26KPv9d/ST7yH97/+gV9gB3D7Jjjf7befzlr/c9v8I8nNP2YHbf59/C6f597 +/O8meJv1iNhsajM2GxdjszdaabNNEZvd3Uqb/T1is6tjbHa3t1n3iM3GxNhskbfZ8IjNnvI2ezti +s+URmw2JsVkXb7MJEZtdGWOzK/xz3Rix2RUxNhvgX1vXiM1ui7HZzBibLY3YbFyMzUbF2Oxa/zwz +imSzGyM2W+BtdlPEZru9zSbG2GxaxGYzvX1WRGw2yf9sZ8Rmvf1rWhixWc+IzT6LsVnfGJsd83+j +e8Rm05ux2cgYm42N2Gx5jM1mR2z2mbfZ0BibDYqx2ZXeZoxrWMhzCx2nm8F29LPjWb/wveT/EIvd +o3Zc5E9Nf/QLw1D/B9gl/8eOvf4PPOBfQAe/ArfzK/gIv4iyo/zWv6Bu/g1jwPb+8X/2H+Zob5Bd +/vEveqON8jvVn/3j/+I/CL7gV/kv1G/9Kv9Pb7R1dvzJv/5O/os4yS9Ce/3rf8GOW+y43Z9Ofu8f +f4n/0Jn8l3q7/M5PiAHeZs9EbPY3b7PZEZv9wdvs9hibdY7YrKN/T3+K2OyGGJvdF2Oz6ojNdvrH +74zYrDrGZvd5m+2O2OwGb7O1EZt19DZ7IMZmN0Vs9oeIzWZ7m/0tYrNnvM0GxNhsaozNno7Y7IUY +mz3mX9srEZsNj7HZVRGb/SXGZtfG2Gx0jM2W+ufpFrHZdv/3RkRstt+/rgUt2Gx9xGYX+fc/JcZm +/WJsdmnEZpf45/h9xGa3xNhskrdZp4jN1kVsttW/pz0Rm1XF2GyUt9GLEZtd7W22MmKz9t5mY2Ns +9o+Izdr5x3eI2OzKiM02e5sNjbHZozE2ezZisz2scf8PI/VVK/OPbmMAAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image009.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -35130,139 +36230,139 @@ L1fAF3fpfbGDzuPV4OBgGN3M0AI9/2U3+BuuKIVNyw2e/rTQU8APnv4pEDidEFz94df/6NR4anlx 9Xf1T60WyTkat/9HHf9zFogxnKu/qz/jJpbK7t3n/zyQ1n/+Aw2uDXSh7EigAAAAAElFTkSuQmCC -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image010.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAASYAAACkCAYAAAA6y4O9AAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABuKSURBVHja -7Z2Hl1VFtofnrfffsHwZw4gzwzjjMCiIynsmFJcLGDKiIgo8goANTc4CEgUb6IaWHBWkyaEbEEGa -oIgNKhgwZ+vVV9bhXdoON99zzv19a+3VSvdN5+y7a9evdu363fPPP/87mUwmC5PpIshksvAGJmPM -f1sbJpPJZAW0x+oHpvVGCCEKy4fWHk0MTKt0TYQQBeY7a0cSA1O5rokQosAct9ZSgUkIESY+sbZK -gUkIESbqrI1UYBJChIlaax0UmIQQYeIra4cVmIQQYQLx+0YFJiFEmED8rlBgEkKECYnfQojQgfjd -XoFJCBEmEL+rFZiEEGFC4rcQInRI/BZChI6L1kYrMAkhwsQpa+0UmIQQYULitxAidEj8FkKEDsTv -8pwHpq+//tp8++23utwiI3755Rfz1Vdfmc8//9z9FLEF8bskp4Hp9OnTZs6cOWbx4sWmrq5Ol1yk -zdtvv22mTZtmxowZY2bOnGkuXLigixJPEL/vzElgYnQ7d+6cGT9+vGnfvr3p2LGjWbhwobl8+bIu -u0iZixcvmkmTJpm2bdua1q1bm3bt2pnZs2ebjz76SBcnfuRO/H733XfdyNavXz+zfft2U1lZaXr2 -7GlmzZrlUnEhkuXKlSumpKTE9O/f3+zbt8+cOHHC7Nq1y/Tu3du88cYbukDx4y1rN2U9MJ05c8ZM -nDjRdO/e3Wzbts39GxoTGVOXLl3MvHnzzCeffKLLL5rl008/NRMmTDB9+vQxVVVV1/1u7969pqam -xnz22We6UPHiY2vLsxqYvvjiC7No0SLTrVs3s2bNGvPzzz///6t9/LHTBv7xj3+YDRs2mJ9++km3 -QDQJ2iSZ9qZNmxr8/YIFC8zrr7+uCxUvEL/HZi0wEYTQAsrKypwlBqWAS5cuOWerqKhwUzq0KCHq -g1+wmjt9+nQ3iDXkJ9988437/bp168wPP/ygixYfEL/bZi0wEWgOHTrk0uumsqGrV6+aAwcOmGPH -jqmMQDTI999/73QkVuJ+/PHHBv+GYPXdd985Xzp69KguWnzIrvjN8i3LuUzlmgIxExF8/vz50ppE -g6AtDRs2zGzevLnZrJqsae7cubpo8QHx++asBCbSbkTvHTt2mOPHjzf5txTHVVdXOzHzvffec6Oj -EAFkQZSaoB3xs6nsG7mADB1f+uCDDxqUD0TkQPwuy0pgIlsiU6q/ctKUQ61fv94sXbrU6VJCJPrS -lClTzKlTp5J+zNatW10hL0FNRJ5L1sZlHJgY0XAipmeNrZ7U58svvzTLly83L7/8shvphAhgoKLc -5ODBg43qS/WhTo7AlOzfi1CTHfGblTamcASnZB0D3YCVFEY4HqPVORH4Bf7Aokgq5ST4UvAY+VLk -QfyuySgw4QSsxA0fPjytKlxGR/bTqRpcALVuaJRoluk+VqUDkQfx+5aMMyYcYv/+/U7ITgUciHqn -yZMnu2VhIRjkhg4d6hZHUi3ARbNk64oCU+S5Yu2VtAMT2RLpc7rZDik7YvnGjRvNhx9+qNsh3OCG -9shqXKorbIcPH3aPxZekNUWazMRvRjQ2VL7yyivm7NmzGb2TQGsSxUugOWYCgY2dBepiEWmYPrVJ -OzARSHbv3m3sY13qnS4nT550+pT6NRU3tbW1rnYpk6JbqsCHDBmiXk3RJjPxm6kcm3ZxApb/04V9 -c6NHj3b6gihemNITVMjC0wVZ4fz58yrajTaI378veM9vKsYJSmr6Vdy8//77bhFF25SKnvTF76D/ -MnN5jU4iU8h0yL6zAToVg5w2iEcWxO8JaQUmghGrINmq3Eb4pHZFS73FCQW65eXlWVmdpXsqfZre -eecdXdhogvj9t7QCE6PSa6+9ZkaNGuUcIVMQPul2KWcqTghK9IfPhi9RZEktVCZalSgoVNfWpD2V -I/1GF8jGxkk2YSKA04NHFB8U6bILIBu+ROZN2YCmcpElPfGb+iVS7mwuyeJITA1VfyKyBUWaEtIj -CeL3kpQDE6I3S7s0hct2I3gahCGCaiNm8UC2RPPAbOqLDJrjxo1zp6qIyIFoPSnlwESqzNSLc71o -k5st2C9HfyZKB3RQQfFAz27alhCgsgUSAwMnrVNE5ED8viMtjYnAgR6QzcwGJyotLXXVv9qeUjyw -gvbiiy+69jnZgn12aEzyo0iSvvidC6geZ1tKNrMwEX6oOaLkRPVwwpO6+I0OQMk/PZTUX1lkAlk3 -Vf/qLCHqgfi9OKXARDazbNkypy/lohiSs8JI6RHVFfjiDVMt2uHiT7lYPcM/KUFgQUVECsTvKSkF -JmqXVq9e7Sq+czF/JxujbQX1TGosH2+4v0uWLHH+lItjvhHTOSAjnc6qoqCkJ34TkHIVNJgiTpo0 -yZUjkD2JeENWg7aUi/IQpogTJkxwq34iUiB+HwmN+A0EIwRw0m9N5UQmEPQCXxKRgr1EtyYdmNAE -2NOWabdKIfAl9rJRCKmN26IebP9YmHRgojr3pZdecvpSLiG1p0CO7SmqQ4kn+NLUqVPN2rVrc3pC -Dv6DAK6Vv0iRmvhNSrxixQrz6quv5vRdEZAQwLds2ZK1Hj0iXOBLCNN0qMik+2lzEPRY9VuzZo0u -enQ4ae0vSQcmBEpE71wXwlFsN2PGDBcAVWwZTwJfYhqXy32RrPZRksCBGSIyhE/8BgIftUws92rP -nMgEpnIMdOpaESkQv1uFLjAJIYqa5MVv0m6KH3VggMgUSkLoVElGnI+SELJuMnAd6RQZWKmYllRg -IiDNmzfPCdL5AIdFH0C81HQuXtAUEN1n586deanup00P1eVUmavPVyRA/L49qcDEiIMgzfnw+YBR -lYpdWqBIAI8X9HWfMmWKW5HLR/tbVv04OpxSFwWmSJC8+M3qCcEpX61KcaaZM2e6milNH+MFWRK1 -RfnaqE3GjfidjdN8RF5A/L4tlOI3gfCtt95ye+e0Z06IogLxe0GzgYk5On1zstn6VBQnTMvZ0kTm -rWmVaATE7xnNBibESvQljm8uhCNTJaz9VPGAM99oo3vgwIG8bjdiysgugnytBIqMQPz+c7OBiX1r -7Gvau3dvXt8dI+qGDRvcdgIVyMWDY8eOmenTp7vTS/IZmNC16PFVVlYmaSD8JCd+40AI3/net8bI -RqP6uXPnuuAoYuBxX399zZfyOZUjGFEywGm/udybJ7JC0+I3jkOmQqZUiJvJ6586dcod68RZdkKk -C4MrBcJkbJIFQg/To3lNBiY0gZEjR7qfQmTkbXaQY5VVjdtEMyB+z2oyMFEMRwsSfgqRCbt373a1 -aUeOHCnI6+PPZN4ESGVNoQbxu3WzGhPCYSG3hQR9obWaEm1Y1WUrytGjRwvmR5zyjG6pxZRQE862 -Jw059KZNm1QBHnHIVugkWSi9kMFtx44dZuzYsVk99VdkHcTvPzQYmEh72Y3N0Te5bH2aDOXl5Wby -5Mnm3LlzumURhUWMw4cPF7QjKRk3AQkBnNVBEVrIQOY2mjHRLH7UqFEFX6on9a+qqlLleYRhwy71 -S2+++aYuhmgOAtPsBgMTy6vV1dWuJanm45lDBXviFIZd9U1tYmXaQcV9cO1ZyWK5m0UItnRQvsE9 -ymSFC80l02BPfVBT3R/ITMi8t27d6gpl6cMksgPaL7MIDnYANinjI4nCPj6CH/E36MTMfoJWM9yb -xHvHxurEKS7ZbWKZEI/DZ/OgN18vfts3Wo6j8QVCJJw/f77b0xQG0Zn3wJc1Kr2ZuIlcR24+jlFR -UeF0MgIB/0YvIqqQ2ajM/9c3dLVBgwaZWbNmuWBEl4WBAweaJ554wvTq1cu1n6mpqXH/TvBq6Dma -s4MHD7oeWzh0Oo8nKJIJcUAFX5D6v+dLsHnzZjN48GA3wIWlVTLvodALOqnAd7Kh608le9++fd2C -AgGJg2KfeeYZt90n+BuSC/xo9uzZbgq7atUq9zgCEveGe8d9oYyjpKTEjBs3zv03AYqe+7QeIgbw -XKyq4rPBVDjbxvfF94C/Xvy2b6qcdHvMmDHuS8AHDcuyKtM5LlQUuhByU5cuXWpeeOEFdxLs6NGj -Tf/+/Z3TILzybzhLv379zPDhw93/Y1QlB/89ZMgQ07lzZ9OjRw83ncYBH330UdOpUyfz0EMPmQED -BpihQ4e6f+d+BY/lZ3MW/B2v0bt3b+eQyT428TlKS0udn/DZeI+Jz8F/4+S8T94zATAXx4CnM8Dx -xWOgiIIvkcWwt5BrmXhtAx96+OGH3UDF9e/WrZt55JFH3H0N7gU+gh/17NnTDBs2zDz55JPucTwf -/si9wyft99906dLFdO3a1dUt4hNPPfWUefrpp9195vkYYBgYeZ5En82W4ccETRtzEL//dC0wdezY -sRznv/fee92Ho6gyLOe6Ed25gOheYXckshwc5J577jF333236d69uzuqiBoenOSuu+5yN4HR57nn -nnPX2177a9a+fXvnHEFWhQPxk4UItDZs2rRpzhlpgIbT8bj77rvvuudpzHi9Bx54wDkfX9DHHnvM -dOjQIanHBsZnI+DQ8I2sjQDH+078/YMPPuh+zzSO6v0wCM74M9loFIqGWYXGZ+6///7f3Fv+n4EP -X2DAJoiQDeEzDHZt27Z1jxsxYoRrtlhZWen+Gz/Eb/BPnnvhwoXOJxlgyMLZm8pzEaCYMZFp8bfc -W14PPyQ21PfZTI3P065dOxeEbYZ4vcZk0+1yUjvSbqYJYYJRjose1pIBHJ73yGbnPn36uC8kNxFH -IKiSDrOIgBNxw0+ePOnm73xJaPlKhsV15yfOQroMzPMb2hKEVoOQTPrLFz94LD+bM16P+0yQR+tC -++F9JvPYwAhGK1eudO+DTGjbtm3ufSf+ntXUsGlKZExkStu3bw/1IZhM/wkcZNX17w33GQsCK7Ma -Mo3gWDW+u3TrZNAKiln5G2Yd+GEwpcMfmcbhk4kb9Al2BCgkAgZa/pbaL2QH/BEJgPubrL8lYzwX -hdy8tn2vjYvfIjVwCjaJkh1xgQtdYiGiDdoOvkRGW4RcP5UzCkxpwSiMmMgoTJagFq4iExjkCEwE -pXwc1hBColH5HXaC1SmmRGGeHohoQFBiKlXIYtQCk9xeOdE0BCPm3Ezh8nHqh8gMdjWQ1TKYhHFz -OtoS+l0REy2NiSIxhDsEuzD1i0b4Rsym9qtIU+9IEbTzoYwDsTVsIFIjWhdxB4Smt6SEDb741FSw -6hCWTgPoAQRM9RiKDsE+UFajKGMIC/g0mZwWTprYxBvKd3vihFuSxpnCEpjq6upcWQBOrlM/okNQ -/R2WOj1ABqCKm9KLIj99OlriN6X51FaEScehFoS6IFbjwuTkInoQKCnOZetSUJNUpEj8zhQyN4rQ -KIhTIzuRKRSksqWpyH2p6da6YYR6IYRB5uKFvnm8F0RvpnMieqDlUD3PoFJomAXg11Rmi2YOIwgj -bPsI9ggVOt2l+RmbninZF9GD06XZqE7dUMG/iZcvO7+mw6YkgWaObwojaDrUDLGkWujlVEZa6k1w -JhE98CX2k+3Zs6fg74X9hvg1K85FLnxD9Cq/g+V5dqoXehWM90LqreXdaBLcvzAcgEkwCotfh4Dk -jggXQog8gvg9Q4FJFC1kS7TzQLssFCyicFADOpOyJQfi94LIBSa0JVbCmuqZnWtIuSkTCENXRpE+ -6IQsYFCLVihqa2tdB0l2NkhfckRP/Abm4qymUCFbqGJLRlkcmu6AIrqQpaxdu7ag9xERnkZpBCjV -wjmi2faETby04KTVbKE2zVIqQB/rMG4CFcnD0jxZbyFbjCDC49PqTHENxO/bIxeYuJG0qyBzKtQI -E1R866w7IbIO4vc0id8pgDhJ/yXqTVSlGw/QC6ngpxWKplKhIJridxAg2FOU77PKcNx9+/a5Xj6J -DdxFdGF7E9NyNtDmu2iXKSQak44svw7E71aRDEzMx4PtIPkskCMgIlJS8Y3OJKJP0BqZ02zyvSrG -4ZQcS0YrH5UKXCO6Pb8RvWllizPle7QJjkqWWBkPCEZkLoWo4KcjK2e3cZKxAtM1EL//EsnAxJSK -WiaWe1X7IaIKvosfayp3HRK/U3Ui9AAO/JPwHS/Ifpmas6iRrxIUei/xesq8fwPi98JIByZWyLB8 -tIrAYdEiqNKlwFLEBwYaqr/Hjx+ft/7ttFuh1UkYT2opMIjft0Y6MHEUMscX52NrCMGPvuP091Zz -uHjBAgqrrBs3bnTHrucDGsNxFmFYj70vINE/8JLVMQJFvip3yZrYdFnER+vEEjRLAhJ+lC8Rmikc -vqTGcL+Bo2vuiHRgYrTBJIALERs+sDZF4ncSkCGhBSB8h6GxmMjNPWbZnl3+uc7AqTSvrq6WLzXM -FWuLIx+Y2DPHvrVcTq9I81evXm1GjhzpVuZE/CBIVFZWuqr+8+fP5/S1OB9x3Lhx0iobhpWl30c+ -MNG3ecqUKa6mKVegLdFnfP369Tp1N6YEJ5UggOd6czbbmmjbo35eDYL4XRP5wMQNXrFiRU5vMoIo -wYkUX3pWPOEeE5zInHJ9jzm8lSxcvtQg0Re/gQyG1Q0hRCxA/J4UC/Eb3YcNkbkQLcmUqAqmL3OR -H90ce8hg0JfwJbTLXIAkwEGbZE2iQbjwS2IRmDZs2GCGDRuWE9GSKSJVwSUlJTqqKeYwCKExjRgx -wulN2Ybp4tSpU83MmTNVWNk48RC/geVXOg3kQpgmS2KUoy+09jXFG4odaUGyZcsWt9KbbQhMu3bt -codsqlSgUeIhfgMjHWJirjoQ8rw6jDD+cH8ZiPClXFVkM4XD1C2zURC//6YCyyZg6sbG3a1bt+pi -CJEfLlmbEJvARItUUuRsTucQQOfOneu6ZWqEKw7Imih8RADPZgEkmRhV5UwVC3W6T0RA/H4lNoGJ -3eHPPvus05uy6aRsRaGrgKZxxQED0I4dO5wAzhFh2YIVYxZQ6LyqIt0miY/4DZyqimiZrSZuOCgj -G4K3CuGKh2Aw2r59u/OpbIFmxTmEag7XLPSdiYf4Hdz4bN5wGtBxegZtVURxwb7LXEy3eF6mdMq+ -mwTxu02sxG80obKyMnPmzJmMbz6BiUZ0FRUVcpUihNa3bNymC0CmkMXjR2RL6r/ULIjf42IVmE6f -Pm0GDx7sRMZsjEps5lQhXHGC+D1hwgSnN2UKNVF0LaBjZb46ZEaYeInfwPI+R+JkevOZErLNRSJl -8cKgRIaDZbo9BY2S1ThW+ZQxNQvi9y2xCkxkSYHWlIkD0DCMEW7t2rVykyIFX2KFl5Y6y5YtS/t5 -gkUUtCWVnCRFvMTvAPa2TZo0ydU0pQvTNzQB0nlRvHAMPT24du/enfZzIC9MmzbN9WBStpQUHHHd -NnaBibR78uTJGR+xFGwdEMVLsD0lEyj8nTNnjhso1Z0iKeInfgNLsuhD1KHQriRVERxtgREShxKC -zbZ0Gjh+/HjKGQ+aEkWabAJH/9RULrmvoLWyWO6VQ2zkYEpKB1J1BkoNBg0aZHbu3CkXEc4fkAbo -051qoS3TN/yQQyxUu5Q0THVujmVgIhiROjO/TwUcD12BJeILFy7IRYRbmSWwUM/E1D7ZAEN2xSIK -1d74lEga5s7VsQxMQfuKVCCYUWrA6RXsjdM2FBH4BXVIS5cudQ0Dk+0tT1cKNn8zBZQvpUQ8xe9E -WFGhMVeyUO07Y8aMnB/fI6IFWRNV4BRIJntMGFnWokWLXNYkUoIOfWNjG5gY6Vim7d+/v5vrNzdq -cfwToxyOpLYUIhGCEdMxFkUIOM21WKaYEtEbKUEruymD+L081hkTu8OHDh1qxo4d26gIzrQv2LA7 -cOBAbUERDUIwomUJBZfNtd2lIJPtLKlqnMKB+H1T7DtYUr27f/9+1xq3IQhMK1euNI8//rjLmKQH -iIZAs8SXKLptqrUO/sMpKOzX1IGWaRFf8bs+HIpJ0WVjaTWiN+1NtMFSNAYDGIMbOhNdJ9AjG6K8 -vNxpS+iUqltKC8TvO4siMJEx9erVyx2bUz84Ua/E9hNV5YrmINBwWk6PHj3cVA0JIBGOfuratauZ -PXu2NoCnD/PkkqIITGzqZYWuW7du7jhxTu5FxJw/f74ZMGCAcyQhkoFKcAp3+/bta0pLS92ghqE/ -9enTx5Wb1NbW6kKlD/Pk8qI5JYXgtG7dOtOzZ09Xj8LPli1bOmE8V6euinhC1k35QKdOncxtt91m -WrVqZdq0aWMmTpyowtzMKQ7xOxE0JEa3zp07u5Ft06ZN5uzZs3IFkTJM1TgAA80J48BVVoG19STz -r6kpFvG7vkNVVla6Db5CZELQ/wvTam7W4IvZrigPvFRfHCFCC+L3aJ3EK4QIE8UlfgshIsFxazcq -MAkhwkRxit9CiFBDEVh7BSYhRJioszZSgUkIESYQvysUmIQQYULitxAidCB+H1ZgEkKECcTvDgpM -QogwIfFbCBE6EL9XKTAJIcIE4ndLBSYhRJiQ+C2ECB2/Eb/X6JoIIQrM+9ZGEJD+ydqfrE21dsba -u9b2W6Ob+hv+5z5rHE/LQVmHrV3xv7tqbbf5tYfKCWvHrNGhvcr/bqc1Dmo7Yu1t/6J7rH3mH4/Q -ddAabSTfsXYg4XX5m73W6FVK86gaa5f9c/LcHLH7gfl1Tnrc//euhNe97B9zyj/H3oTX/dS/1jv+ -tQ/69xK87h7/Xt/27z3xdav8ZzzmP/NFfw2u+sdf8dfotL9m++pdy/3+Gp/xf/dxvWtZ18i1rPLX -8qi1k/7v9iS8Ls9zqJF7+Jl/H++ZX0ek6nr3kOt2yfza1vRNfy2rkryWn/hrec7bgXrXsql7WOVf -603/2pfq3cMr/r3W+vfe1D08lPC6V/21qfPX6qi/dlWN3MO6evfwY39v8vV9uJpwLQ9F8PuQeA8v -JrzuGwn3MNnvw5c8N4Hpn/0H+R9rL1ibae0O/wQt/AP/au1Fa6P8371u7V/8C95ibbm1J611M79m -Xv/lL8K/W9to7TFrz1lbbO0P/uLf4D9Ue2vjrU22dqe/sS38xWltbZ61YdYetrbV2r/6577R2ipr -vb2t8v9W4/9mq3/MUP8crf1ztvCvcad/zfH+PVT593TIv0fe62Brj1rb5D8Lz93Sf8Zu/jMv99eg -2l+T1/01GuWv2V/9NWzhr+kd/hpzrTta2+5fl8ffaq3M2tPWulhbZ+0//ev+h7X11h639oy1pdZa -+Zt5g7/R91gbY226tTbeoVp4p7vd2hxrz1u739prCffwJmsV1vpa62mt0n9OXvffrG229oi1IdYW -WPujv04tvBPeZW2it7v8v7Xwf/NH/5j/9c+x2T9ncC0r/Wv29e/hJv+euIfbrD1obbi1l6z92X+W -4B7+3Q+opdY6+C/LDf6atPLX6Bl/zdb7a1jjr+k6f42f9tf8Vv+6N/h70jHH34dnrS2xdlvCPdzp -P0ep/1xR+T6sttbd2hPWVli7OeH78Jr3t2S/DzXM4P4Pvhucb4ktNw4AAAAASUVORK5CYIJ= +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABuBSURBVHja +7Z2Hl1VFtofnrffPsJyXRx0V3syoa4aFggmXS0UZn2SJKqKAIAq00I3EJkuQINAkEQmC0NDwCC3d +IChBgqKCIIg5h3r11dThXdoON5x77znn/r619gKavunUvvvs+tWuXb979tlnfyeTyWRRMl0EmUwW +3cBkjLnH2giZTCYroj3UMDC9ZoQQorict/b31MC0QtdECFFkvrO2PzUwVemaCCGKzCFrf1BgEkJE +iUvWViswCSGixEfWRigwCSGixDFrtyswCSGixNfW9ikwCSGiBOL31QpMQogo8am1lQpMQogogfj9 +nAKTECJKSPwWQkQOid9CiMiB+H2NApMQIkogfi9XYBJCRAmJ30KIyIH43UGBSQgRJRC/6xSYhBBR +4m0j8VsIETEKI35/88035rvvvtPlFjnx66+/mq+++sp88cUX5uuvv9YFSS5nrI3Ka2A6duyYmT59 +upk/f7758MMPdclF1hw+fNhMmjTJlJWVmcrKSvPBBx/ooiSTo9ba5yUwcXc7ceKEqaioMB06dDAd +O3Y0c+fONefPn9dlFxnz0UcfmfHjx5t27dqZG2+80bRv397d8M6dO6eLkzzyJ36fOnXK3dn69etn +qqurzerVq02vXr3M1KlTzeeff65LL9LmwoULZvTo0WbAgAFmz5495siRI2bnzp2md+/eZtu2bbpA +ySM/4vfx48fNuHHjTI8ePcwbb7zhfobGxHSuS5cuZvbs2ebTTz/V5RctcunSJZd19+nTx+zYseOK +/9u9e7epr683n332mS5UsiA4VIUamL788kszb948061bN/Pqq6+6KV3AxYsXzbRp00z37t3Na6+9 +Zn7++WcNgWgWbmZk2hs3bmz0/5EHgpufSAyI32WhBaZffvnFnDlzxixZssRZalAKOHv2rFmwYIFZ +sWKFW11p7HeEwC9YzUXkXr9+faN+8u2337r/X7t2rfnxxx910ZID4vctoQUmtKM333zTpdfNZUME +pL1795oDBw6ojEA0yg8//GBqamqcnvTTTz81+3v40v79+3XRkkO44jfLt5MnT3bpd3MgZjKlmzNn +jrQm0ShoS8OHDzcbNmxwmXhzTJkyxcyaNUsXLTkgfl8bSmAi7X733XfdKsnbb7/d7O9SHEdWxR3x +9OnT7q4nRMD3339vTp48abZu3er+bC77JmiRLSGMIxO0FMRELLhobWkogYlsiUyJYJMOOBDawKJF +i1yNihCpvjRhwgRz9OjRtB+zadMmM2PGDBfUROxB/B6Tc2DijoYTUfBG6p0ObC1YtmyZWbhwofn4 +4481FOL/vfLMGVdMWVtb26y+lAp1ckzntNKbCMIRv3Ek0m6CU7qOxCoLKync4XiMVudE4Bf4A36R +SZBJfYx8KfYgftfnFJhwgn379plnnnkmqypcghr76VQNLoBat4MHDzrNMlNYVOGxKh2IPYjUf8w5 +Y2JljSVbhOxMwIEWL17s9ASWhYWg3GTYsGGmrq4u42kZRbtsXVFgij2I3y9nHZjIlihyoy4pG0i/ +WU1Bl9JmTAHc3NAeWY3LdIWNzH3p0qVOs0xXUhCRJDfxmzsapQGsrNFJIBcCrUmULpSN5LqqRmBj +dfiTTz7RBY0viN/tsg5MBBJ2edvHurqkbKHPDvqU+jWVuDcePer2veVSdIukMHToUPVqije5id9M +5di0S0DJpaPg8uXLzahRo5y+IEoX9sQRVN55552snwN/VNFu7EH8vq7oPb+ZBqIPqIlcaUOhLRmP +timVPNmL32RLZEnM5XV3ErlCuQjZThigU3GT0wbx2HLWWnlWgYlgRJZDC5MwKrd5PgKdlnpLE7qc +shoXxuos3VPZIM6fIpZQO9Q2q8DEXQmhcuTIkea9997L+Z1QZLl582a3TCxKj6qqKtf1NAxfOnTo +kKuFYlFFxJLsxW+mctQvoQuEsXGSTZgI4A3bp4rSAF2J7gBh+BJV46zKaSoXW7ITv6lfIuXOtNK7 +OXAkqn1VfyLCgkUVCemxBPF7ccaBCS1o3bp17oyvsBvB40iIoNqIWUJeePGi2+cWpr7Ija68vNwd +WCBiB+L3uIwDE6ly0P8mzM237JejDQr1TGpfUTpwaMWqVatcgAoLauvopqrauFiC+P23rDQm9jGx +khZmZoMTcZfbsmWLtqeUEJyqQy8vNKawwD8zbZ0iIkPubU/ChOZxtEHJdlOwiCfoimiWqocTHsTv +6zMKTOgA77//vuvvrf7KIhfIZvAjdZYQDbhgbWFGgQlNiTPjSL3zUQxJGxWyJkR1Bb5kw3I+OiX+ +lI/VM/wTX+LEFRErqNgen1FgYpq1Zs0aJ1LnQwciG3vppZdcPZMayycbxpeWOfhTPo75RkxHv8qm +s6ooKtmJ36Tg+dIDSO1pRM9Oc7InkWzIarB8lIewX45qcjpbilhBX+XoiN9Aeq+pnAgDTeViS2bi +N0GDZl7Hjx/XpRM5gS/R/ZTdA9q4LRqA+P1S2oGJ6tzZs2e7jgL5hGkilbssI6ueKaGeZ31p4sSJ +Tl/KZ3kI/kPWpJW/WJGZ+E1KTGsKDhfMJwQk+ja//vrrofXoEdECX2KRg2Ja6tfyBUHv5ZdfdgFQ +xAbE77+mHZgQKMlm8l0IR3+nyspKFwBVbJlM8CVW5fIlfAdQ3sIJvRwTJmID4vf+SInfgLOyPYHa +Fm0pELnAVI5pHFNHERto+n5D5AKTEKKkSV/8Ju2mu6BERJEr1KfR9pYsphAlIWTdZOBh9g8TeQXx +e2JagYmA9OKLLzpBuhDgsNQyoTFpOpcsCBBsRdm+fXtBukzSpge9ktVk9fmKBYjfN6cVmLjjIEgX +qoqWuyp9eugrHmbPJ1F8yJYoFWBsCxGYWPXj6HBKXRSYYkH64jeCNKtlhaqixZmmTp3q7nI6by5Z +IAvgS9xwCjWVY9ooP4oN0RW/CYScyMreOe2ZE6KkoPH/vBYDEz2+CRBhtj4VpQlZUnBIgKZVoglY +YZvcYmCiFcmUKVOK0tgdAZzpo/ZTJQPOfJs2bZrZs2dPQbcbMWVkF0GhVgJFTnAg4E0tBqagsXuh +AxN3VE5jYTuBjnVKBgcPHnSLKIUOTOha9Phie4qkgciTnviNAyFWFnrfGne2uXPnui0FHKwp4g9B +AV9icaOQUzlelxtcRUVFXvfmiVBA/G7dZGDCcchUdu3aVZTB5PU5OpxWK+hcQmQLN1ckCTI2yQKR +h+nR3GYDE5rAc8895/4UIidvszc5bjRq3CZaAPG7stnARDEctUT8KUQu7Ny50+lL+/fvL8rr489k +3tQzKWuKNIjfN7aoMdHmpJjbQnAixEutpsSbvXv3Or3wwIEDRfMjDlWdM2eOFlOiTTTbnjSEFZwN +GzZoA3Hcve2bb1y2Uiy9kBtsdXW1GTt2bKin/orQQfxu02hgIu1lsyUDWey9alVVVW5v1cmTJzVk +MYUFDLKVYjb+I+NmRRABnCApIgvp7ItNZkxsCRk5cqTrv11M3nrrLVeDosrz+MKGXYp0CQpCtABT +o2mNBiaWV/ft2+cK0tT9L3fIOlOnMOyqb+6IKqYdLG8HG0/ZwkE/LLJGTqmhfIMxyuUEWzSXXMeW +zKO5wyr5zGTemzZtct0i+AwiHNBd2d4T6GVkg1zf1NbXQZkEv4NOTMYaHCTL2KSOHbWCqVNcfje1 +TIjH8fsF0JuvFL+tk1XhaLzh2tpa13+Jpd0oiM68By5MXHozEXgYVK4ljsF0FJ2MQMDP6EVEH2rK +MPh3Q6PK/qmnnnIdFghGNO5/4oknTL9+/UyvXr3M2rVrTV1dnfs5TtfYc7RkiNG0AsGhs3k8QXHz +5s2upQjvseH/E4z5zIMHD3aflYw3CuPHl5XxiYsvURza2PVnFtG7d2/X24qA9MILL5iBAwc6TTb4 +HpNc4EfTp093iw4rVqxwjyPAMDaMHT5JGUdZWZnT3/g7AWrVqlXuZsK4Ba/HOPI82fhLOuZ7wF8p +fpeXl1eRbvMGBw0aZCZMmBCZZVWWmLlQxZ5WpgPHBXGE+qhRo1ylMdPhAQMGOKcZM2aM+xnOQpAZ +Pny4+7e99peNfw8ZMsR07tzZ9OzZ09WR9e3b1zz44IOmU6dO5v777zePP/64efrpp93PGa/Ux7dk +wesNHTrUOfbo0aN/8x7SeQ4+C37CZ+M9pj4Hf8fJ+cy8Z25y+TgGPJsbHF88bhRx6GhJFsPeQq5l +wzEg4OMLjzzyiLv+3bt3dz7CuAZjgY/gR/zOsGHDzKOPPuoex/Nxo2PsGEf7/TddunQxXbt2dX/H +Jx577DHnZ4HP8rj+/fu758nUX9Ix/LimpoaMD/H7vy8Hpo4dO1bxwe688073gTiQMCrnum3cuNFd +IHSvqAclspwHHnjA3HHHHea2225zwYXMhp/jJLfeeqv7LEuWLHEBiuttr725++673Z8dOnRwwYxB +4nf48vMnWRZ3LYy9iwQ+jtMig+Kxd911l/uzJeP17r33XvP888+7O+hDDz1kbr/99rQeGxifjc84 +adIkF4T79Onj3nfq/993333u/8mqEL+jsEeNLImMgi8y/h1lmMZT93XPPfe4sU31Ef5N8MAXXnnl +FRdEuHHjMyNGjDDt2rVzj+PvW7dudR08CTj4Ib7F2BHwOCYNn8TH1q9f77IoghEBiu1gM2fOdL/L +2BI48EMCX6rPhmF8Hl6DzM5ms+gXsy4HJvuiVdxJePFiFcE1BW1X+GJGtdkXAZw7MauHfEn5QpIm +4wi0I2baw2ZonIgBP3LkiJvqMZ1atGiR0/ICw1kCkZjfaWxLENNDpoGk7HzxeRxpdurzNGW8HuN8 ++PBhN6UhXaeINp3HBkYw4ovAFIJMCHGb9536/8uXL4+cpkTGxDjwZY1y4ziyOYISWTU+1PD6M9ZB +YMX3KF4NtCW+u2So3LSCmjFmPiwi4Yf4FmNHNsY0DZ/ExwIIdgQppnjBlI6xJSnAD1ldbeizYRg+ +w2vbz9O0+C0yA6dggHv06OG+5DoPT+QCNwt8iYy2BEH8/osCU45wF0bo5S5MloCYLES2sMjD4gZB +Kd+Hy0aUeFR+Rx1WLplO0VZD1ekiVwhK9CErdJuhCIGQ/GcFphwhGDE/RgsoxKkfIjfY1YB2ws0k +irsJEKVLdAoXcKX4bSIemKjXQcxDsItSv2jER8RsakaC4jURXYJ2PqxqsooVNRCp8fMS7oBAYJoR +m8DEagDL7PX19ZHpNIAGQMBUj6H4QGAKVkgpY4gKwV4+LZzEbCrHcuW8efNcdhKVwMSSK0u3LKmq +LUt8iOJOAmQAqriZYkalfrBIxEv8pkCP2ooo6TgEpqAuqMSdSeQIgRJ9iSLHEl2NC5D4nSuBkMpW +GWVMIlconGU6V+K+FC+NCagXQmOKwuBR8cz0Uie4xBO0HIRmgkGxYRZAxbZa+zjitSoHbE1hj5Df +7FfU94JwyqbnEl/ajS20j2FHPnVDxYYFFPyaxoySBMyVm3hNDAITqynUDHGnK/ZyKvuZVq5cabZt +26ZveQzBl9hPlrpPrFiQfbOViawpLu1Y8kj8Kr/Jkkh32bxa7Fom3gtlAiVcoRtrGD82tUbhzEKC +UVT8OgJor5wQInKou4AobWjjQRU4LWuKBdM4iofRmZQtOZo/jCCqoC2hDxSz7S/pP6txUejKKLIH +nZAFDHTLYkFQpKEbwUn6kiN+4jdQZDl+/HhXIVusYkuadNFFcsuWLXKjGEOWQu+jYo5jsHuAFWfV +wjni2fYEwZLSfTpaFmvTLHc5TnSl456ILyzNUxtXzAWMQIRXZ4rLpHdEeNRgIGnZyipGse4wvC79 +vVUQJ0ToIH5XSvzOAMRJqs45OimXM91EdGCJngp+emFrKhUJ4il+BwGCjAW9qZCCIY7LmW+cNBGF +wjyRO9xoaN7PBtpCF+0yhWSfpY4svwLE7zaxDEzMxxGfqbxueIJIPiEgIlLyusVcYhbhwcoq4jen +2RR6VYxTcjhGi1Y+KhW4THx7fiN608qW8+YKfbcJjkpWt8pkQDAicymGAM7WKs5uo8WvAtNlEL9v +imVgYkrFMmuhp3JChAm+ix9H4TDQCCHxO1MnQg8g/dZqXLJAGqBbBIsahcqET5065V5PZQK/AfF7 +bqwDE8IlVohWETgsJ85y1HLUj5cWmcEKK9XfFRUVBevfTrsVWp0QoMQVIH63jnVgmj17tit0LMTW +EIIfy8o0sVdzuGQRHMXO0diF6jbASShUnVN9Lq4g/gdesjpGoCiUcEnWROfDEj5aJ5GgWbKIgh8V +SoRmCocvqTHcb4iv+B1w/vx5d8eRAC5EYkD8nizxOw3IkFjSRfguZN2UKOwYnzhxwtTW1ub9bDck +AQ5IlS81CnPbebEPTGRMaD75nF6hOzBlRPim5YpIHgQJzgfkhN58H1DA+Yjl5eXSKhsH8fuG2Aem +JUuWmAkTJrhpXb5AW6IYDnFUPZiSCZoPgjRFu/neB7lnzx63wktnA/Eb4i9+AwPMgZP5HGQEUYIT +d1XpWcmEMSY4Mcb53sxLQSVZuHypUY5Yuzn2gYkMRue9C5EYPrY2MRHiN7oP6XE+ygbIlNhkSevT +Ej+6OfGQwdDrC1/KV30RRzQhC2gbSpNcsPZSIgLTunXrzPDhw/MiWpKRURVcVlamzCzhcBNCR6QH +NwEkbJguTpo0yUydOjWvmmjMSYb4DYcPH3ZtK/KxnYAsiTvc1q1bta8p4ZAxkR1v2rTJ9fsKGwLT +zp07XZW5SgWaJBnidxA8EBPzJVoGlcFqTZFsGF98ibHOlzDNFA5Tt8wmQfz+qwosm4GpG6exkI0J +IQoC4vf4xASms2fPulNLwqxBod8TG4U5e0x3uNKArInFFFooh1lMSybGzgFkBzUZbP5rZ5IifgPz +9ieffNINfJhOyioNz6lpXGnADai6utoJ4Nu2bQvteVkxZgGFzquFaq0SU+gpdH1iAhNN3BAtw8qY +cFDEbkyFcKUDNyB6JBGc8KmwoItATU2NW+3TIkqzIH7XJyYwMfBhDvi5c+fc6RnsnxKlBfsu81Gz +Fjyvsu9mQfz+W6LEb4riFi1a5E4yyXXwCUw0oVuxYoVcpQQha+KmRBeAXCGLX7ZsmWulq15eLZIs +8RsISEOGDHFV2mHclXAoFcKVJrQ/GTduXCg6EzVRdC1Ys2ZNwTpkxhjE74WJCkwIjJyomuuRTkwJ +0RckUpYuHDhRX1/vspxct6egV3LYAW1O1LGyRZIlfgNZUqA15eIANIXjtF16MovSBF9iNXbixImu +tU62sHCCP6ItqeQkLUgpkyN+B5DlkIJT+p8t3CHpJ046L0oXTuBh71wux8EjLxDceA5lS2mRPPEb +KIrEEXI9Yom7nHaAlzYI1bnKAgS3WbNmucCk7hRpcdbauMQFJpyJuTzn0TOvz1QER1ugghyHEgLd +Eq0J7TLTjIfKceqh6IzJ9iZN5dL7ClpbnMi9cszr6c+NNpCpMxw/ftwMHjzYbN++XS4inD+MHz/e +9enOtNCWbS34IZKAapfShqnOdYkMTAQjUmecKhNwPPbcsUQcZtWviC9olgQWVueY2qcbYMiuWEQh ++8anRNokU/yGoH1FJhDM6Ls0duxYd7yOtqGIwC+oQ6Jwd8GCBWkfRkFXCjZ/Hzp0SL6UGYjfbRPd +9oTz4dmflC5s2KW74OnTp+Ue4jJkTRzfRYFkupXbFPmyYZcKcpERpJfliQ1M3OkmT55s+vfv76Z1 +Ld21KBHgLkf6rbYUIhWCEYshlKCkcyAm9U+I3pQKaGU3YxC/X050xoRORC/wMWPGNCmCM+1jXxx9 +lwYNGpS3JvQi3hCMyIAoRWlJM2LRpaKiwgUmkTGI339MfAdL7l6cetFUPQqBiY26Dz/8sCsxkB4g +GgPNEl8iY2qutQ7+Q2kBm391OGpWJFf8bghTNJZ8mwpOiN5oCLkW04nkwg0M/8BPKJhEj2wMugjM +nz/fndijuqWsOGqtXUkEJlqa9u7d21RWVv5mzk9pACf5qipXtASBhqy6Z8+ebqrWsAiXY8S6du1q +ZsyYoQ3g2cPxNGNKIjCxvQSn6datm1m6dKlLsQlW6EoDBw40M2fOlDuItODYJTSkvn37Ou2SmxpZ +EgWY3PykLeUM4vfSkjklhZU2ygd69erl6lH48+qrr3Z1S2xDESJduNFROtCpUyfTpk0b07p1a9O2 +bVsnF4R5gEGJUhridyo06Vq+fLnp3LmzKS8vd8cyUR4gRKaQdbPlhNY4GP3mWQXW1pPcv6amVMTv +hg61evVqc+zYMbmAyBm2nmASukMD8fuWkjzwUiUBQkQWxO8yncQrhIgSpSV+CyFiAeL3tQpMQogo +gfhdp8AkhIgSiN/tFZiEEFFC4rcQInKwQ7pKgUkIESUQv69RYBJCRAmJ30KIyCHxWwgRORC/Rykw +CSGiBOL3cgUmIUSUOGQkfgshIobEbyFE5KAfUYfUwLRG10QIUWQ+svYcAemfrP3F2kRrNCt+z9ou +a3RT3+r/3OV/TjR709oFa9XWPrdW45+MwqgD1ujQvt3/3zZr563VWzts7QNrO6195h+P0LXX2glr +tJLc43+21f/O/1rjWFyODa6z9knK6/IaHPB10NvZlNet9r9b5x972j/XZ/65P/WvddK/9l7/s2r/ +Ozv9ez3s3/t5/1mC1/3Yf9a3/WevSXndC/4aHWvhWnKtaxtcyx0p13K/tXMpr7vN/5ufv2PtQ//7 +wbW86J+P5+X4190p1/KS//zvNzOGrIYwv3+rkWt5PuVapo5h6rU84a3hGAbXMhjD842M4Vv+tc80 +uJaM4T7zjyXkYAwvpbzubv9Zj/sxvJgyhjv8NXqnhWsZjOGOBmNYW4Dvw4cNvg8X/ec47j9XnL4P +TY1hpt+Hr3huAtM/+8G729ooa5XWbvYPaOUH/yZr04hk1jpa22Lt995prrW2xNoAa12tvWLtP/1F ++Ddr66w9aO0pa/OttfZv4Cr/AdpbK7c23lo7fxFa+YvzJ2svWhtq7V5rm6z9i3/uP1hbYe0Rbyv8 +z+r872zyjxnqn+NP/jlb+ddo51+z3L+HGv+eav17nO/fcydr6/1n4bn/y9pq/1kH+M9+rb8Wv/fX +pqO/VtP8tdvtX3eXv7aV/lrf6QfoKv/466wttvaYtf+x9qq1//Cv++/W1lr7u7WB1hZau94P+lXe +UW63VmZtsrW/eudr5Z2Om88MayP8WL+RMoZXm39M5ftY62ltpf+cvO6/Wttg7X5rQ6zNtdbGX6dW +/gt9i7Vx3m7xP2vlf6eNf8wQ/xwb/HMG13Klf80+/j1c7d8TY7jZ2j3WhlmbZe3P/rMEY9jW31DH +WLvNf0mu8tfken+NBvprttZfwzp/TV/11/gxf82v8697lR+TO/P8fRhkbYG1G1LGcLv/HGP854rT +96G7tX7Wllq7JuX78Ib3t3S/D3XM4P4PrUmcb5KAkw4AAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image011.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -35322,138 +36422,138 @@ j1nxiQfFYv8X+3885GGMbBD1X9T/GKVefKgV9V/U//jIxJhYIeq/qP8xSbx4USrqv6j/8ZKLMbBD 1H9R/2OQdvGjUtR/Uf/jJxs/uCWi/ov6/8GTLp4UyvAb+ud/tNZ76pFjFfEAAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image012.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAASYAAACkCAYAAAA6y4O9AAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABswSURBVHja -7Z0H11RFtobnrvtvWN5sGp3gOGMYFL2Gi9drQJYygopiAFEUUATMYs4ogoAgRswZxURUlGBWggii -YFbUuvUUdVxN84XT3Sfs0/0+a+0FC76vQ52qXXu/tavqdxdccMHvZDKZzJKpEWQymV3H5Jw73Nto -mUwmK9EG1DumuU4IIcplvbdjah3THLWJEKJkfvC2pNYxzVKbCCFKZpm3neWYhBCW2ORtjhyTEMIS -a7xdKMckhLDEKm8HyzEJISzxjbeFckxCCEsgfu8ixySEsATi92w5JiGEJSR+CyHMgfjdT45JCGEJ -xO9FckxCCEtI/BZCmEPitxDCHGu9XSTHJISwxEpvB8oxCSEsIfFbCGEOid9CCHMgfs+SYxJCWALx -e4IckxDCEojffeWYhBCWkPgthDDHW952lWMSQljic28z5ZiEEJZA/L5YjkkIYQnE77/LMQkhLCHx -WwhhDsTv3eSYhBCWQPyeLsckhLDEOm+XyjEJISwh8VsIYQ7E78VyTEIISyB+7y7HJISwxEZvd8sx -CSEsIfFbCGGOFd72k2MSQlhC4rcQwhyI37+XYxJCWELitxDCHIjfl8sxCSEsgfi9rxyTEMIS3zqJ -30IIY0j8FkKYA/F7qhyTEMISn3q7Uo5JCGEJxO995JiEEJaQ+C2EMIfEbyGEORC/p8gxCSEsgfg9 -SY5JCGEJid9CCHMgfi+RYxJCWOJtb3vIMQkhLLHB2x1yTEIIS1RP/P7888/dxo0b9ei64ZtvvnFb -t251P//8s/v000/dl19+qUbpBtpmzZo1oT/9+OOPapAuoF0+++wzt27dOvf1118X9bbLvf3VrGP6 -5Zdf3A8//OC+//778OcHH3zgbrnlFnfddde5jz76KPy72MZPP/3kvvvuO/foo4+6RYsWuTfeeMNN -mDDBTZkyxW3evFkDL0KfwZjgpk6d6saMGRP606uvvvpbP8OpdzJ8f9riq6++ci+//LK76qqr3IUX -Xugeeught379+vB/Ofcnu+L3r7/+6pYtW+buueced8cdd7jp06eHBjrqqKNc//793aWXXuruvPNO -9/HHH3f8YKOT4JBuu+02d+qpp7rRo0eHjnTQQQe54447zt14443u3nvvDYOxkyE6uuuuu0K/uf76 -693AgQPd/vvvH/oTbcb/0c9wUjioToTJbf78+aGNbr/9dnfuuee6ww47zB1wwAHu5JNPDk6c8fjg -gw+GSConEL/3NOmYcErMZoceeqjr169f6EBDhw4NDXL//feHAcjAu+yyy4Inf++99zquEzGj0Ylm -z57tBgwY4A488EB3ww03uIkTJ7qxY8e6xx57zM2YMSN0rEMOOSR0tOeffz6keJ3olK688srQRvSn -f/zjH27atGnBoc+dO9eNHz8+DD761LBhw9wLL7wQIvZOggmOPjNkyJAw3o444gh3ySWXhPahnW69 -9VY3aNAgt++++7pjjz02THhPPfVUHhOeTfEbp8QMNnz48NCZ8NKXX355aJwEGoRZ74wzznCHH354 -GIyvvfZaxzgoZvSHH37YHX300cFw0LQHWsBbb70V0jkgxcMhEW0yGGkrIqtO0p5wSldffXWY2K65 -5prQn5jcaqWAN998M/zMpEmT3KhRo9zIkSND+xKRt3sajCa5atWqMMGNGDEifHf6y+TJk92KFSt+ -+zkc0H333RfG5BVXXBGCg2OOOSbIKxlPduu9XWPCMZG6oYWgjTB7nXTSSWHW4t974sknnwwNedpp -pwVvTqTQ7ukdA4XvfdZZZ7nBgwcHLQnRuzeY8XBORASkLDixLVu2tG07ffHFF+7DDz90N910U2gn -Ius0vP322yFaJ9UbN26ce+WVV8Lgbde+9OKLL7pzzjknpP1IJDip3vj222+DPIAjY9wx+aE/ZQTi -994mHNP7778fhNrTTz89OJmnn346VZ5Ph2FQ4sQYdHhwGpdZsh1BmJw3b16Y/fmerCihC6SB9iT9 -I4U58cQTw6Aj1WNC6G0CqBr0CQYLETUzO9EA0WMaaAsihfPPPz9EmEx2r7/+etuldjglpAAmOKJu -so40TimB9qTvMG5xTkRYGU10NsRvIhzCa7QQBgxpWqMrbgw60jg0lGTQtqOWsnDhwhDxIG4363xJ -4xA30VSYJWfNmlXkUnDu0Bfuvvtud+SRRwZtDeeLQ24EnBPtywRJNEFkQIrcTiDy47hxwPyd8dKM -86U/EZWecMIJIRLPYLUc8fsPpTqmd955J3hqZjVyVWamVldEnn322SDgoRls2LChbToSOgizGx2J -dmsF9IJHHnnE3XzzzSEiyDAML5W1a9eGMgCeP86XvkBK1wpE40Tx5513XkMRhVWIsPlOOFt03KVL -l7b8mjg1dCmcEzpUi6kvg3ZyqY4JQZuHTjiYNiVJA4OO6IuBR0RW9VSF1AJRlo6EDpcVzHZoL4sX -L26LJfLly5eHZW3Siqzq3BhkaHronhdddFGYIKosiJNuMS7ILIiUsoJxRpDBpMD4a2G1jlnyutIc -EzrA448/HpxTHpHNzJkzg+70wAMPVHqwob8xIIgqSeWyhpTl2muvdS+99FKldRT0N1YjmeSyTk1x -cjhw+hORPdpKVSGqZEyQpmYNcgoROCkiq+tNgvj9l1IcEx2HhsEp5VWoxaxGMRhLw1Ws5sVJMAtR -CsBsnZfjIBojEqOYjmigim1FREzUh8bRqJ6UFqJLBjT6FYO7iv2JlAsHS4qb10ojqSGaMZF9k5lK -OeI3aj4Nw2DjC+RZkYy+gANkUFeN1atXB6fELM2CQNpVpUYhcl2wYEEQ1RF6qyjy8pnPPvvskErk -mWaRBrG8zsropk2bKtVG6IgURZLq1tYEZg0Ob+XKlaEOjD7cBMWL30n6hq6EZkKNSJbaUlcgxjHo -ssyni2DJkiWhjfj8RWgazz33XIia8uy0WcOMTPqGMM3CAH/Pu62ojua95syZUymtiSiPGkGKI/Ou -9SPYoByFibUJ54Suc1uhjom6G/SMpBMVAboMK1m8J+F+3o4wq05EpIezKEqUZpBTPc/EkeM+qMxh -jxsTHZNc3pAO0YfoT6ecckpIi1pd9Svq2ZJiIUoXUYBMZEkZCtE+1fbvvvtuI6kj4vcNhTkmGgdx -jDyd6KVILYPVGpZHqf+pgnD5zDPPBBG36I23OG0iAarEeW/Lq5n0HyY6Ikrq14oEXa4q9U3ouTht -ZBPGQVEgPVDYSgkBm/EbkCL4kHsV4pjoRNTe0OnJ0YsWWBlgiHE8HKIBywIvnw1NCeH+k08+KfS9 -cdqsOh1//PHhWVlepaOjI3bjlMroT0yuTB7oc5a3rBApkS2wdavV+rdGYaKjnoyNwA1kKsWJ3www -8k2EtzyWKdPAIEOUI8RsUpQrBIpM0TEoEyj6zCkGO+9LHRBmfY8YpymUpYmhL7GKyYkX/GkxukyO -D0JbIiAoQ8Yg1SWFxJGnbCPE7z8W4piomOUIBSKWoqOAWqjZIZ0r8zP01InQL84888yQl5e5wZZl -ZSYQPo/FqIlFFFbG0ODYqFsWpHFEmByoZg0mGcYdkxwLKWVOMmz4pSSFSSRFjRkC5y25OyZSJ7w1 -JQLsaC8TBhziLlGJRRGc7RSE3dRelemYSI3QuVgVxAFYays+z8UXXxwcZ5kgJNOfaCNrJzUwobBJ -l60iedV2pYV0EsdE1sTJBCkc0025OyZWxUgLCHctQMNwpgwzSdkPrNYR4DRxSBZOUOT9iUYos6A2 -yNKeQz4bRytT6Jjl9pxmQRbgJAOE3mY3wuYBMgAOE+HZwoIPwQC6Jc+ul/6dv/hNOElZAJFAFpsF -s4DVJmZbtnhYqW0iNUHIJUqxsNOftBIHTvsgXqI7WVkwIOpmrxcrvBY0MJwRtUEsjaOllD2p8OyI -KJlYGHdEchb0Lxw2/YlFnV5O/shX/KbTkNsSBfCnlaM1EC3x2oSVPDwrjomoMmUOXmhbMbEQDeCc -LEAFM8+u6BWmntqIyA1d8Iknnig97eX9EeSps8KBWwLHRB1jL7VfiN9/zjViQhTkrBYrnagWbl1h -hitbp2APFg6SaIkowNou/2TvU5E1MN3B7I8oT1pg7XIFHHeiX5YpEZC2MebQB6m3srR4waRCeQfl -MERN3URy+WlMpHBEJQw2PkTZond3kKZQPlAm6Dcse7Ofz9ryPOkbDpxIgNmuzAFHO6Ersf3EYrU1 -EwqpEwWfZQrhOCJWC61ouvVQBY6U0sPKeH6OiYfEzEZ6YtUpIQ4ywxE1lSXuEnYzqyEKUqhn9a48 -OlNyiH9Zzon2IT0hFbB4OimaHP0JY7WurMiXiMny7gYCFvRUzlZHtugiasovlWPAsSKQHHpvERqE -zoNj4nOWAakbUVvilKxuASEVT/aHlXFSAxF4ct8Zg85i5X6yYIC+Q9RU9KmgREpEavRlVpytwrPD -cXPSQTfbefIRvxED0W2YXUkDLJ+MSGeiI7G1oCzHxHVKbJ61vP2DAcd+K44rJiIoGurgSLmrcGkn -0W8ZF4zyfhR7cpyQ9RMi2ONIyU432nP25QKEZnhrNjciwFm/xhvvTWiJDkYBaJHHWOC06UAMOlIT -6we04TipnKdTFQ17rVhhsr5FhjbiuTIGWNAoMmpi8uASDu4XtH4RB5+VlXpOZ+hCoM9eY8IxEW5z -DAUHnlflHGlWCvDgRUJUSTjbwhGkhZPcRsMKVFFpJ2E/4jtRZYqqYRPgSNFXi7pGjPSWsg7OJq/K -1WUEATjSLrbzZLslhU7LviWiD/SAqpwfzSzMQyUEL+rIVDoSq1zMGGwsrgo4JI5EIU0vArYzsaWC -89urRPJsiQaKcKb0W26rtroS1xW0C0cg4Zjqar+y3cRL49CBeCBVOjeamT+pUGfFhxknb6fKwgAV -sKxMVOmWV5Z4ceBMPnl/bp4BbURFNZpNldqJ/kTGQPaQ95VPjDUWmIjQqjTJMe6QevjcRMQ12VW2 -4jdnINOB0l7FbA329CEcsr0g7xwdIZmTF6vUkYDOQ9REqsLAy9NZ4JgQcxG90bWqdoMLWQOLKnmf -ZIGAzPsgCVThdNZ6mHwYdyxCRbITvxnI5LdJDUfVwHsjVJKijB49OugoeYHox0HtlAhU4VjWeliS -ZpZjryHPO89Uhb5kbdd+WpIqZ8pREMTzcqy0EQWLVby5JTnVluvJkAhippXd0brssGYVroyl5CxB -kMNh5DnYWIljlqiiAwdmZSr6ubiAzpRHWxGZ4cCrdPZ4V7DAQYkFKXsegxpnxKouK4BVu7WlFtLd -muwhm8sI6JjJdS1l7zvLApwTOXsem2l5TfQlossqznAJaANsXEUbyGN/H32Kjbq8fpVvvaViPjnh -Mo/rt9iXxwGMVb6AM4HvwLjbunVr69c3JUd3suyN566SQNkdaCiTJk0KM3ZW0E5s5UCDmzFjRoiW -qnixZL3zIEJG4M3yqGJSHjQl9sUx8PK6T68IeMZsfiaboNwhSw0Ih83YY3+elXPFWoHar3jLcevi -NwOOMJXit6KuY8qTJOdlU22W9UUM4uTGCDppFbWleoiSSOn4XlmmXOiVaFik1BwHY/mmlrTfZ8KE -CaG2D80piwiQNmHxAdEbLasdAgIcE31py5Yt2VwRzoxG/VIVtgukgXSL0Jtl8axOSKQzcpIgRZxE -AVVOTxIYDJRWJFe9ZxU1saGaldEq3gjcFaS9ZBOcisBqZlaaHE6OM6DaBRw45vsV4vd1vzkmP/vN -anQmp9HpQFVdOekO2oGHnsWNLjg6tDdqf/JcnSkLoiYiZvSUVsEpEQkg5qLBVT3dTeB7kFlksUUl -ue2HvkmJS5VT3e66gbfJvzmm/v37z0pqRnqDUJJVAGaAsWPHmjh7OUv4bjgSZrpWnS5pDmdCU4pQ -lS0VjQwSUl60JvpAq1oHr8XSt5XTMrMGx0R62go4IlZ1WRFtU7YXv0eNGjWLJWBSjt5gBmBmGzx4 -cNjvUuUVpu4GHA6aDsAxEs2uOiWXDJDq0F5VLIDrDVI6HDjCJTN4KwOOqIL+x+JA1bWlenj26IsU -ILMC1ez34/eIUqmPalO2F79Xr149i+VZVo7SQAqHSIwe0w7iWz3MbHQkBGuinWY6Eqkbq3BEFERh -7ZKa1INDYjsSe8OahVUrjoChktny2VTNwncich40aJCbNm1aS5E4fZMjmdutjSKI33tvJ37TeL3t -TiZ6SM5bYgWrTRsnCNQ4X0oHGDDNfE+0AJwbdUvt2k7AwgdbMKjZaVa0ZuMrp2RaP7KjWYjCWSRi -hQ4H1exiEZteWbFsYxDirml4VQ4xF6fEykmr+XIVoOirWWEX50ZVK5FTu0ZLCdR94YSbuZWW9sV5 -s2JJJNDO0Bea2T9HQEBUyU3N1C61MduL3y6lY8LzE26zXGn9ILgs4PsyWBo9IA0HjvbWDlW5aUgu -n2DwkLY2EiEy0SELlHEIXRkQLTHhNVI2wkrxmDFjwlagdltsqgPxe8+GHFNyTjaREo3azulJAitN -nBvDkSh0qLTfGe2N0wSJBDoBIkLSOEoH0NTSlkXQjxDPWSBoh8LTNLD6TXTZyCUYBAGcJU4hcztq -ujU0XvnNIGVPXNUO7moFVlOY0REtE+eUBvQE2onVuE6B78ygIyVLC4ONBQIKNNuwJqdLGEMU8Daa -cTD+2twpAeL3XxuOmJjZ2BvXKZ2I78ygYSWFUwLTFMmxPwpnRiRQ5V3fjZJUzfPdOXMqzcBjFZgS -gXbY75UWatuok6MOMM12HiZD2ol0uQNoTPymOJBOR8WptRs+i4CBk1YEZ9WENI6Vpk6DVV2K/6iz -6Wl2T66QZ4DiyNut+LQ3SPFZ8U0TgaNToi+VcXVWCZDf3pHaMTEwWTZng2WnQhsQPfVUKIlOgg7A -tgpSm06D78/KHIbA211kzcRGakzql8cRM9ZhtZZJnsmuJ6ecbCzHgWd5ioNhEL/3SOWY6ER0HlZd -OkkzqYUBRmrGOUHd3IcVoI2IlnBO7V4i0F1fSU4IQGPrStBOjoFBJCfl67RoCegbOG92TnTXn5Jj -U8aNGxe26XRIlpJe/CYP5twdCug6cXYDHBMpGjUkPW3BId3l+IYsNrVWFZwOfYWSkq6cDs6KIkMc -E6lMJzpwwOlwdEl36dzSpUvd8OHDQxrXroWnXcD2gX1SOabkNoOqH53bCsxW5PqsOJF+1N9+wbI3 -/8dqC9pJpzpwwIlTRMjqEylI/cBLtmeQ7lbl7sE8wIGznYeVya4KS+lPfmyGnRbtuM+yG/DAk1I5 -JtISZr8OyXF7hB3wVL3Xn3CJ40LQpFCwXXfHNwKOmuiSkyhrHRMDEBGXI4bZrNsOZ1O1Am0wcuTI -UP/FqlttnRzRZp4XYxiFKtspOzgmqm8RLQEvzR4oqk3pSGLb/Xm0BdW3tVtyGHCkemgmnVQi0B1E -TbQRulztXX0sCEycODFES53ulBLnQ5RNnVytfkvfKuKOQ4Ow2fL3Ozgm0hQ2UwLeeujQoaEjVeXq -4bxJDv3ihliWxJMtK0k1LylMB3amHWDmx/EggFP3hubGvyXHwKCvdECxYCqYyEh72fSNQ8LQ6Lho -oN33DnYBouTiHRwTq0p4cEBTQVsibelUgbIriCjHjx/vRowYEWZ+Bho3xiJkdsLG5kYguuTcLlJc -6roopuTPdjjPO0s4BoUzrYYMGeIGDhwY2gwdrpMKTyNdi994aMJvThLEKaGXyCltD4ItoiVHWNCB -2PHN/WGkKRps28PkhjPCiTPouFCUlUuxI+hMAwYMcP379w/9CdmgA6NvxO8ruxS/qa/o27evGzZs -WMdsrGwGnBP6m1LdnmEVji099Ce2VrTLxRVZggMiCEh0ykTn7UAQv6d26ZiSpV7C8A5apmxqwKHD -dXLNUlrQltDmOuUYGNE0XYvfgPdmVQVxUqlJ76iN1E4iM7oWv4UQokQQv/eVYxJCWAJx7XI5JiGE -JRC/75ZjEkJYonvxWwghSoIKZYnfQghTIH7vJ8ckhLAE4velckxCCEtI/BZCmAPxe3c5JiGEJSR+ -CyHMsdLb3+WYhBCWkPgthDAHZ+JMl2MSQlgC8Xs3OSYhhCUQvxfJMQkhLCHxWwhhDq65vliOSQhh -CcTvmXJMQghLIH7vKsckhLCExG8hhDkQv/vKMQkhLIH4PUGOSQhhiU3eZskxCSEsIfFbCGEOid9C -CHMgfh8oxySEsATi90VyTEIIS0j8FkKYY5m3XeSYhBCWkPgthDDHKm/95JiEEJZY4+1COSYhhCUQ -v2fLMQkhLCHxWwhhDsTvhXJMQghLIH4fLMckhLCExG8hhDkQv+fIMQkhLIH4vbMckxDCEhK/hRDm -2EH8flBtIoQomdXexuKQ/snbn71d7e1dbx96e9XbF96ej3++4u0jb+94W+htY/y/zd5ectvOUHnb -2xve1nubF//vBW+feVvibUV80/nevoy/j9D1urf3vH3g7bWa9+VnXvb2idt2eNRibxvia/LaL3r7 -1G3LSZfFv79Y874b4u+sjK/xcs37fhHf64P43q/Hz5K87/z4WVfEz177vvPid3wjfue1sQ02x9/f -GNvondhmr9S15auxjd+NP/d5XVuu6aYt58W2XOptefy5+TXvy+ss6OYZfhk/x8du24y0qO4Z0m7r -3LZjTd+MbTkvZVtuim35frTX6tqyp2c4L77Xm/G919U9w43xs66Kn72nZ7igri3nxzZaHtvss7q2 -rH2Ga+qe4efx2RQ1HjbXtOWCCo6H2me4tuZ9n695hmnHw9e8No7pn+MX+R9v471d722f+AJ94i/+ -zduN3sbFn3vG27/EN9zd20xvp3sb5LZFXv8VG+HfvT3qbYC3kd6mePtjbPyd4pfq5+0yb1d56xsf -bJ/YOHt5u83baG//5+1Jb/8aX3sXb3O8nRxtTvy3xfFnnoy/c358jb3ia/aJ79E3vudl8TPMi59p -QfyMfNZzvR3j7bH4XXjtneN3HBS/88zYBotimzwT22hcbLO/xTbsE9t0n9jGtPVh3p6N78vv7+Ft -urczvR3v7WFv/xnf9z+8zfU20Ntwb9O87Rkf5k7xQf+3t4nervW2X+xQfWKn29vbzd4u8Nbf29M1 -z3BXb7O9DfU2xNt98Xvyvv/m7XFvR3sb5W2ytz/FduoTO+EB3q6IdkD8tz7xZ/4Uf+e8+BqPx9dM -2vK++J5D42fYNX4mnuFT3v7X2xhvt3r7S/wuyTPcP06ol3g7OLbBTrFN9oxtNDy22dzYhotjmz4c -2/jM2OZ7xPfdKT6Tw3IeD2d7m+rtDzXP8IX4PS6p2Hh4wNuJ3k7zdo+33WrGw9Oxv6UdD4vJ4P4f -D/yhqN9UkWwAAAAASUVORK5CYIJ= +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABsdSURBVHja +7Z2Hs1XFloff1PwzlBPem3nqM817b7REEfSVoXAcA1iKYEYExAgiAiIiImbFgCgSDGAWRIIJCSYQ +BFRERSSYI2pPf23vmsPxhhP2PnvtfX5fVZfl5d4TenevXuu3Vnf/4corr/yDmpqamqWmTlBTU7Nr +mJxzfX0bpaamppZj619tmB53QgiRL9t861dpmOaoT4QQOfO9b6srDdMs9YkQImfe8u3PMkxCCEvs +8u0RGSYhhCU+8m2UDJMQwhLrffuHDJMQwhLf+LZChkkIYQnE771lmIQQltjp21wZJiGEJRC/R8sw +CSEsIfFbCGEOid9CCHMgfu8jwySEsATi92wZJiGEJSR+CyHMgfh9pAyTEMISiN8rZZiEEJZ420n8 +FkIYQ+K3EMIcH/s2RoZJCGGJdb71kWESQlhC4rcQwhwSv4UQ5kD8niXDJISwBOL3WBkmIYQlEL+P +kGESQlhC4rcQwhyI3/vKMAkhLLHDt5kyTEIISyB+j5dhEkJYQuK3EMIciN+rZJiEEJZA/P6LDJMQ +whKI3w/IMAkhLCHxWwhhDsTvXjJMQghLSPwWQpgD8Xs/GSYhhCUkfgshzPGJbxNkmIQQlnjHt8Nk +mIQQlpD4LYQwh8RvIYQ5EL9nyDAJISyB+D1RhkkIYQnE754yTEIIS0j8FkKYA/F7fxkmIYQltvs2 +XYZJCGGJrb5NkmESQlhC4rcQwhzfOonfQghjSPwWQpgD8fteGSYhhCWKJ37v2LHDffbZZ3p0nfDN +N9+43bt3u59//tl98sknbteuXeqUTqBvPvroozCefvzxR3VIB9Avn376qfv444/d119/3aq3Rfw+ +1Kxh+uWXX9wPP/zgvvvuO/f999+79957z912223uxhtvdO+//374ufj/AUR/PPHEE27FihXu9ddf +d1dffbW799573eeffx76Ubgwjuin7du3u/vuu89dccUVYTy98sor4d9oGPV2hoWNPvryyy/diy++ +6CZNmuS8fXCPPfaY27p1a/i3jMcT4vdqk4bp119/dW+++aabOXOmmzZtmpsxY0booBNPPNEdf/zx +7pprrnF33323++CDD2SUvFHCIN1xxx3uvPPOc5dffnkYSEcddZTr37+/u/nmm93s2bODt9nO4B1h +qBk3N910kzv11FNdr169wniiz/g3xtnLL78cDFQ7gtFZtmxZ6KM777zTXXzxxe7YY491vXv3dmef +fXYw4szHRx991G3bti2rj7HGtwNMGiaMEqvZMccc4/r06eMOP/zwMOnmz58fOuX8888PE+/aa68N +lnzDhg1tN4i++uqrMIhmzZrl+vXrFwYPRmj8+PHBMD399NPBsDOwjj76aHfXXXe5RYsWhVWvHY3S +ddddF8bSkUce6c4444xghJ566in35JNPBu+S/mNMDR482C1evLjtPCe8IPrizDPPDPMNgz1hwoSw +6DGWMFQDBgxwPXv2dCeffHIYa88++2zwPlPGpviNUWIFGzZsmLv++uvD6oa3xCBKWLBggbvlllvc +kCFDwsQbO3ZscMc3btzYNoNo3rx57qSTTgpt4sSJYaBgdNasWeNWrVoVfu+nn34KK9zkyZPDZKSv +GGCEd+1klPj+5557bljxGU8sbpVeEWOOf7vhhhvcpZde6i666KLQv3jkZdefCN3WrVsXFrjhw4cH +L4l+wGvi5wl43I888kjoS+YljgIGCnkl5cWOF5tswjARun3xxRdBGxkzZkxwG5csWdLt3z333HOh +I1nlTj/9dDdy5MjSh3cYpWeeecYNHTo0rG7jxo1z3377bbd/h0EaOHBg6Cu8BcRx+rys7Ny5M+iS +LGD0E952Laxdu9aNGjUqhHqjR492L730Upi8ZQSjyzwbMWJECPvxkN59991u/46Qb+7cucGA40Ux +thDJUwLx+xAThglP55577gmThrZw4cKaBDbcbSYlncukO+WUU4L+tGXLllIOJL4v35XVnzCWVazW +JAD9SWYFo0RfMekeeOCBYJxYGMoE2UkmywUXXBBW9jlz5tRsXOgLPAWkhOOOOy4sdsuXLw/JmLIZ +JaSACy+8MHjdSAC1GKUEvHEEcrQ5jBOeeUoLnQ3xmwzblClTQpgxaNCgmo1SdSezOi5dujQMRIwT +HkHZeO2114K+hldJCrcRGDxkpNBUWCUfeuihVqaCM4cQbfr06e6EE04IY6qR74dxYvygyeGRIysQ +7pUFvh8iP4YbA4zhRcxuZIFiPN1+++0hYmHRSyFbnr/4jYVGH8KYkFVi4jWbimQw4boTC5ep5omJ +werGQGpW7MfTQuikzwlbUnTDcwVjjVHi+bOSMxaareXCQ2UxuOyyy/bQXIoKhuOFF14IxhZNCfmk +WRg/zDeMEyFek6Evk/buXA0Tk4OHzgqeZoqW1yVcQZhDcyp6qILugSib9srNaof2glhehlon+gl9 +krAirfHEJEPLPOuss9xVV13l3njjjUIL4oRfzAucgVdffTW11928eXMIB1kUHn/88WbKU1glp+Rm +mHCvSUOSbcvCs8GFJxNFJqHIoL8RujGQVq5cmfrrk7UilCYMLrKOgv5G/7DIoTGlCUYbA854Imwp +ctIAr5KsJJnttNm0aVMoVSFEfOuttxp9mbW+HZyLYcIosQrh2WRVqIU4h6COYSpiVgUjgbdHpoTV +mircLAzHO++8EzwxtBS8gaL2FV4fGgf1XVmAMaJmjvdoVN/Lu49I61MG8fzzz2dWp0VoOHXq1DCW +Ghyv+YjfGAw6hsnGF8iyIpl6HVYGvIGiQWYRo8QqzXeg37KArCbbWFjlME5vv/124fqK1Rm9hNKJ +LMMswiDGErpT0Srp0YGodSPUrawJTBsM3vr164MB/PDDDxt5CcTvA1tqmHCxCd/QldBMKIrMes/b +ww8/HN6PLESRWL16degjPL5WaBoIorwfXmxRQDskfONzU9eF15SVAU9gUpOEoAShSFoTXh4V7hQr +owdlCUYbPY6FtQHjhK4zraWGifJ1KmwJHbLQSzoCb4BKcgYT71mEzb8MIrwkjEWrBj+THDGUhaNI +WTqybyw8LHJZQ2jCgsF4Ouecc0JoV4QTHHi2fG4WnayNEuBZsj8Tb58qcrLvdUgEDL6pLTNMdA5C +LsIbE6CVe5HQUahSpaiwCMIltVzoY60OFzDaeAIIvLy35Wwm44ekCR4xBryVUDZwySWXhPDReuiL +5kb1Og4BWctWgSFiLFFCQCKqDk+WD/nfLTFMSdzJByVGb/UGyeS0AvZJIbZb3qDJZyMxgGFqMEZv +mKRY7rTTTgvPynKWjoGOEI1RymM8sbiSAaT2LuvwsRkQowlz0Q/rqexOA0o28GgpH6gjUmmd+M0E +YwsFwlsWacpaYJKx0mG9LW9ZYcDjclPJ3urjN1jleF82cFILZD1Dh5ibpZDbFRgjFjvCOf5r0bvk +M5EYQFti+0keMgYJKE4oQOOtsY8Qvw9qiWHCW0IIw2PJ0yhQs0M412pPpNZBhAaGFkZcTpyeF2hM +hJN8HoteE+UmZMao7M5z0zZhHB4moZI1MJzMOww3+lKeiwzeN14bBqqG7UGI33dmbpgY5IRvlAjk +fRYQ74+4i1diUQRnOwUPEB0uT8NEaIRhQkfBAFjrKzxJqoyT413yggWO8UQf5fm8OoIFhdo3jijJ +qrarVqhpIuFF1FTDSRiI3zdnbpjIihEWWNkEScdwaBjhUt4PrNIQsGmU0gCMZt5paCqd8UY46YG9 +jJb2HPLZ2PjNyQgM+LwhAuAQPs4zYuGz4mGymGAw+VwWEj5ocSQq8HC72f6UvfiNO0k4gCdgYRAB +2SZOH+DokFakmGuB+i4mGl6KhZ3+hJUYcIwk4iVbDawkDDDgt956a8jwWtDAMEYsdKTGEXnz3nPI +s8MoEaEgzrP4WtC/MNjMN/TLbiKnbMVvBg2xLV4AmYG09y81Ct4ItRy4lXgFFsAY4VXixVk6goS+ +IlyiJsXK6aBIAzy7VmeYuuqj5ARMjprNO+zl/RHkSTSxWdcSGCZ2e3RT+4X4/V+ZekyIgqxuVgZR +JYQDiHF56xRkLTCQeEt4JtZ2+Sd7n1pZA9MZ6DiUUhAWWNsSwrNL9Ms8JQLCNuZcckyLpeQFiwrn +qfMM8Zo68eTYPHt7JoaJEI5YkslGeYDVQ9uoFSIGzxP0G9LepHOt1VfxeTDgHOXLapfnhKOf7r// +/rD9xGK1NQsKkgU6Sp5COIaIc9+b2N2fKZwlRuKii8x4duI3DwmDRHhi1SiR2WHC4TVleBVNl+B2 +44lwuBZJAqvXBjGYCFUIy/MyTnhJbAPBe7N40wuaHB4TrQaBNzPwwC3vbqBvHnzwwVBqgWzRgdeE +a/73TAxTkhFA0LVqmOgQBg+GiQriPEC3QQxk0mGUrG4BIRRP9ofh2bUadBxS33i4TDqLtVVJwgB9 +B6+p1fsN6RP6JrmWyip44XhLXBLRiVeXjfjNZCcTx+pKFbHlHdgMJgYSmae8DBOH5qNLWN7+wUJD +KMeBcniZrSZJexfhqBG8XwoKW/1ZeT/Ebo4Tsn5CBJv5qa/qRHtG/P5bqoYJ1wxrTTEVApz1G02x +3mToEOPQw1ppRBFL8dbwQAhNrF+wiOHk1IM8jANpeCad9S0y9BGaHHOAdH0rvSa8NbKVyf2CluGz +klThzCY22FctyumL3xim5Bom1PeinCPNRlDOqWklZAO7cGdNwvNEb8KotirsZOHAS8OrrOX+PAtg +SNFX2QLVCgjh0CgpVyjK6Zo4AWxT62A7D4bp1tQMU1KRi+jNmxXl/GhWYYwELnirBhICJaERKwb7 +mYoCBombVVp1jjoeBwsGV50XieTZ4g20on4PY8RtzEW6YirR47AVVbVf6YZydA4DiDcr2r3vSYU6 +GgpbDLI2qmgmiN6kdYt0xjaiJQac0Dfrz80zoI+oqK7nwkor44mIgc+f9cJDv5Bgqr7S2zp43Eg9 +eJZkMiuiq3TFb65kZgCxUhQRXGGEQ1aerGN0joBgu0DR7inD/cZrIlQh/M3SWGCY8M6oOkcsLdoN +LmQRecZZn2SBgIx8giRQhNNZq+GzM+/YuB5Jz2PCYhPfYvksHilSC9Qy0TlcKJnl9gvCRow3hrAI +x7JWQ/Egqxx7DRF5s9R90Jes7dqvFcJQjDdGnOx0VoY1uc+tiDe34DWx0HE9GYtQjLTS05jQZsjC +YZiKDB4BBiPLlYdULm53K85ezoJkgygV2AymLAwTbj37LPMqfE0LFiHO1iJkzwKMEUcKpXHjcJ7g +9VVED+lk5RiYiHzJja5FB+PEA89iM21ywFmRsiedGQ6EVrJleJdpl4UkqW8WuiLfekvfJCdcZnH8 +7vLly8NJGUX1Kishs0jktXv37uY38SZHd5L2ph6niJclVoNryT3srNhpQT8lN0dQjo+3VORbbxPj +geFAI0jzVFL6hX1x7Bqwfp52dxCasGgzP9K+qgyDzdxj/6CVc8WagUgi3nLcvPjNhGOvFy/YquuY +siS5ySXt+iImMUaJGyMIeYvsdifgNVGUyvdKM+Ri1eTgNYwSqXbLN7XUAokUDtvjindCrjQ8QPqE +rB87Fuj7MjgE6JUkz7xhSmevHJ3CxjyycmWAcAujRFo8rcPtGIxsqaAMnwlX5PCk8rmjLVK3RgV7 +WkkPvCUOXivijcAdQZhLNMFeQ/opLU0Ow8TG6rKAASdh4L3MPU8X8KvfrHpXcjqdAVSGGLcS+oGa +pjRudMHQ4U1S+0MBatFDuGrwmtguQkV4s2CUyGThWaDBFa0erjP4HgjgaXiWyW0/jE3GVRm8peph +4CovI+jbt+8sVvVaznfGlWTPFIL3qFGjzBybmxZ4fzx4Mh7NHiHBYCQ0oVq6KFsq6pkkeJeI4IyB +ZhcoXovUNzpfGcEraLYSHM2NrC4Z0ZKyp/jtXc1Z3IrBvWvdwQqAKzlo0KCQPSlyhqmzCYfhZQAg +wja6549+YjASP1u8bSQNWLEx4HhNlFo0M+HwKlgcSQ4UXVuqhmePdkmWji1JzXw/yjWsnyDQBHuK +31u2bJlFGhttpTvoVEK4RCQuoTsZVjaEagRrztdpZCAlt3mQvcILK1sYl4BBYjsSGahGIWvFETDU +tLAQlM0wJdsvBgwYEDJpzXiXeN548mXro8jvb0mh87rbyMqgoV6JyUoGq6SdEwRqjC+lA0yYRr4n ++6Qwbhj8svYT4F2yBYPK+UZFawwTF6K2+oC1VsHzJ0k0bty4YKAaPT6GfqZ+qcQwAKbWnZVDzEUv +IXNi5eaTLCEUa3SLCsYND4AtCWURcjuDui+McCO30tJH6FRMOMKcMoMX3UjdFw4BxpubmjlbvMQ0 +dhMvlp8MDNkT6wfBpQHhF1m6ei99xICjvVk+fzlN8AbQPjg3ibC1Hg+RhY4Dztis2w7gLTE26tEu +GYMjR44MtyMX6XiTBkD8Pqguw5Sck42nhDdQ5vAkgapaQhTKB+rZ5c7g4eB8wrh2AI+QMC7ZM1lr +PzGeEM9JEJSh8LQWEPjpp3oWO5wADDgySsm97/orv5mklAiwraJdIJvCgEC0xDjVqg3gspPhJBvX +LvCdmXT1aCBolYwntM0ibz+pB04cIMlUb8TB/Cu7JOB+E78PrttjIk2JtS9jJq6z74wmwO0T6Gq1 +iLOkvZlweAJlqYivBcJXPEUMOVpTLROPCYoBL8N+r1qhto2CWxb5WoouWQzZ+oPhbwPqE79JUVJE +R4dau+GzVZOuVhGcbSdkmBAr2w08H4r/2H7R1eKVHGnMeGK/ZdmKT7uDEJ+Mby0eODol+lIeV2fl +APHttJoNEysaaXNSne0KfYD31NUkQidhqwDJgTZZ4faArBondOIxIfB2Fp6xsLHXi9AviyNmrEMm +kt0F/Ler8ZQkmzDgaZ7iYBjE7wNrMkwMIiYlWZd20kwqYYIRmlHp3sl9WAH2juEtYZzaQA/ocCIR +7rKAUXTZkaDN7zCeEMkxYu3mLQFjA+PNTSGdjSe8SmSB0aNHh206bRKl1C5+EwezPYNy+naoXeoI +DBMVzmhNXW3BQWNBN0ljU2tRwehwfDDbljoyOhgrvO+kIr4dDThQKc+54J2Fc9SGDR061Pn5WdrC +0w6oXfxGxMQwFf3o3GZIDnsj44RYW32RAOUT1PBglLjMrx3DkwSMOMegIO6ipVRPvGR7BqcIFOXu +wSzAgLPYMWY68iwZa3hLGKgy7rPsBCzwlJoMU6KZtOreNcuwN5DsXPUJl7jZ6AAUCpZ1d3w9YKip +UGavYGVmkglISIwkgPEqw9lUzUAfjBgxImS60SQrawMxRllejGEUxO+7f2eYKCJMQhXccDIBVJu2 +q7ZUDScs0hd4RZVhLaIvKxyZuHYqEegMvCayuBihyrv6mHzsF0vrNMeiwxyjtIQ6OULfBMYWjkAb +hrmI3wf8zjCRJaFiGVj5uaanqNfDZAETjPQ2N8QSqjBwMEoIvZy2wCRsh4r4WsA40S8kAxB46TsM +O1Xe6CvtUgvXHXiRlFcgDxDeJbsNmHdtuMh1LH6TecOCAxMObQnvoF0Fyo5gcnGO87Bhw0J/Mai4 +MRYhsx0zTF2Bd8m5XYS4ZOAwVHiVZTjPO03QLzk7f+DAga5fv36hz9Dh2qnwNMLZOYf8zjBhjHC/ +mXicvIjXJKO0Jwi26G6EJAykIUOGhO0q1RqB+K04EC98+PDhYbJx9nXJN6E2DIapf//+rm/fvqH4 +kiil3QqZPVyDPblD8Zv6it69e7vBgwe3zcbKRiCjwsWPCnW7hiwcZRaMJ27CkAb3ezBAHI/DmMKj +xCtvUzhi4t4ODRM6CeEJwnc7HG3SKHhODCZl4bqHOhy0ubJdXCFSp2PxG7DeCJeIkwpNukd9pH4S +qdH8hZdCCJEyiN+HyjAJISyB+D1JhkkIYYnOxW8hhMgJrtnZX4ZJCGEJxO9VMkxCCEsgfveUYRJC +WELitxDCHIjf02WYhBCWkPgthDAHh5xJ/BZCmELitxDCHByrMFGGSQhhCW6umCHDJISwBOL3fjJM +QghLSPwWQpgD8fswGSYhhCUQvyfIMAkhLIH4/YAMkxDCEojff5FhEkJYQuK3EMIc63zrJcMkhLAE +FzSOl2ESQlgC8XumDJMQwhISv4UQ5pD4LYQwB+L3ETJMQghLIH6PlWESQlhC4rcQwhyI3/vKMAkh +LIH4vVKGSQhhCcTvPjJMQghLSPwWQphjp2+zZJiEEJZA/N5HhkkIYQmJ30IIc0j8FkKYA/F7jAyT +EMISiN+zZZiEEJZ4y0n8FkIYQ+K3EMIc6307stIwPaY+EULkzEe+jcYg/ZNvf/dtsm/v+va+by/5 +tsu35+N/X4o/x5q95tt23xb59oVvS+KLURj1um9bfVsc/+0F37b5tsq3tb596Nsy3z6Pf4/Q9apv +G33b5Nsr8WfPx9950bfN7rdrg1f69lnF+/Ie3Nr5ZmyfVLzvovi7K+Pfbo6v9Xl87Z3xvTbF9341 +/mxR/J1l8bOujZ99W/wuyftujd/17fjdl1S87/bYR+u76Uv6enlVXy6t6MvVvn1a8b4vxP/n52t8 +2xJ/P+nLHfH1eN33fHu5oi93xe//QRfPkGwI8f0bHfTltoq+rHyGlX25MbbqZ5j0ZfIMt3XwDN+I +7/1xVV/yDFe431LIyTPcVfG+L8fvuiE+wx0Vz3Bp7KM13fRl8gyXVj3D5S2YD1uq5sOO+D02xO9V +pPnQ2TOsdz58zWtjmP45PrzjfBvj21TfDol/0CM+/IN9uxlL5tuxvi307V/ioNnXtwd9G+zb6b49 +6tt/xE74d9+e8O1k30b4do9vB8YPsFf8An18m+DbJN96xU7oETvnr77d6dulvv2Pb8/69q/xtf/s +2xzfzoptTvzZyvg7z8a/uTS+xl/ja/aI79ErvueE+BmWxM+0PH7Ge+JnPtG3J+N34bX/M37H0+N3 +fjD2wYrYJwtjH42OfXZw7MMesU8PiX1MXx8dH9Be8e/3822Gb0N8O9W3eb79Kb7vH32b71s/34b6 +Nt23/eND3ysOlH/4Nta3Kb4dGgdfjzjoWHxu9W1UfNYLKp7h3u63UP4c3wb5Njd+T97333x7yrf/ +9e0S36b5dlDspx5xQh/h28TYjog/6xF/56D4N5fE13gqvmbSl3Pje54TP8Pe8TPxDJ/zra9vl/t2 +u29/i98leYaHxQV1vG9HxT7YK/bJ/rGPhsY+mx/7cGXs03mxj4fEPt8vvu9e8ZkcnfF8GO7bfb4d +UPEMF8fvMT5+r6LMh0d8O8O383yb6ds+FfNhQRxvtc6HlURw/wdm/aI8slB/6AAAAABJRU5ErkJg +gk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image013.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -35507,129 +36607,130 @@ F/of8UnK00Ch/0L/eeZXhGML/Rf6H+Epytc8of9W138pxsp//gcD7ZDvb5nKFAAAAABJRU5ErkJg gk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image014.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAASYAAACkCAYAAAA6y4O9AAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABlHSURBVHja -7Z2Ht1RFtofnrfffsHxh3jONTnB0GB0RYel75sxSJIqISBrBAEhQwAwoiigSBRUwgQFFkSyoJLME -JYkZY736ijq85tLd9+Su9v6+tfZS7u3bp7vOPrt2/WpX1e9uuumm38lkMllIpkaQyWThBiZjzP9Y -u1Emk8kaaJe2DEwLjBBCNJbPrF1cGZjmqk2EEA3mgLW1lYFpltpECNFgNlg7WoFJCBESe63NVWAS -QoTENms3KzAJIUJis7VOCkxCiJD4xtoqBSYhREggfh+jwCSECAnE79kKTEKIkJD4LYQIDsTvjgpM -QoiQQPxercAkhAgJid9CiOCQ+C2ECI7t1m5VYBJChMQma2coMAkhQkLitxAiOCR+CyGCA/F7lgKT -ECIkEL9HKDAJIUIC8ft0BSYhREhI/BZCBMfb1o5VYBJChMQeazMUmIQQIYH4fZsCkxAiJBC//6HA -JIQICYnfQojgQPw+ToFJCBESiN/TFZiEECGxw9poBSYhREhI/BZCBAfi9xoFJiFESCB+H6/AJIQI -id3WHlNgEkKEhMRv0fz8+OOP5qefflJD/HbYaO3U0gLTr7/+an7++WfnRJXGz4VIwy+//GLeeOMN -8+abb7oAxb9F01Ou+P3111+bt956yzz//PPmhRdeOGRbt25VjydSsWHDBtO3b1/Tr18/8+yzzzr/ -Ek0P4vcfSgtMO3bsMGPGjDGnnXaa6dChwyEbNGiQ6/W+//77hrXEd999Z7Zt2yaXaBLIjghKAwYM -MMOHDzfWf80pp5xievbsaTZu3KgGam7KE78Zrn388cdm+vTp5tZbbzUjRowwI0eOdP/t0aOHGTt2 -rPnwww8b0grffvutmTVrlhk1apQLniJ8Dhw44PyHwLRp0ybz9ttvu+DUv39/M2TIEPPOO+80JAun -g9u+fbvz9Y8++sh8/vnn7rOKRPAQji08MOEgpNhz5sxxDtSSpUuXmilTppi1a9eaH374odQWIEtb -tGiR6datm+natauZOHGi2b17t1wjYPCnXbt2mQkTJph169Yd9rstW7aYa665xgUtgkKZIFUsXLjQ -dbyMAgiSU6dOlT8lh5T374UHJjIh0u3u3bubl1566YjfI1iSfr/44ouupynTwRcvXmz69Olj7rnn -Hqd3EZxwpr1798o9AmXfvn0uAHzyySdVJ07Wr19vhg4d6rKmssTwb775xmmnvXv3Np07dzYdO3Y0 -7du3d5+DzykS8a0pQ/xesmSJ60FmzJhh9u/fX/U1L7/8srn99tvNypUrS/v2y5Ytc8PIO+64wwUi -Uu4FCxaYLl26uOD05ZdfykUC5NNPPzUDBw50AagaBKsPPvjAZeIMq4qG4Rt+Q9aNL+HD7777rhsd -rFixwv1bvpSIcsRvdBuEylpBCciUCE5M+5L6FllCgCNxHXo3NC60gMrfPfrooy6LWrNmjVwkML74 -4gt3X1555RXz2Wef1XwdHQ1DquXLlxf+mfBd/Ojmm292Q8lK8PvBgwebxx57rPShZRPD2HdaYYGJ -4EIwIvWOA2UDaDz0dNQ7FQW917Bhw5xIyjVbghg+f/588+qrr9YNpqJ8Nm/e7DJrAlQ9CAJomq+9 -9lqh95AhHPop/oII35L33nvP6V29evUyq1at0g2Mx05rdxQamOjdGMJxg1qD1HzSpEkumykqY2Ka -maHA3XffXdWRIhAyZ86c6RxbhAFD7dWrV5vx48fHmsFFQ2S2lY6uKBiycQ0yo1qgMU2ePNlJB/iV -CopbBfG7fSGBicZnxgt9iXF3nMI3siSGUvxdURkT6T+9G8GpHjgTNVfM2IkwQMxGyyEDiiNq79mz -x5WhPP3004V9JjrecePGuYmTeiBP4Es8B42s12sSihO/mfYnI0E34r+kvHGgRyHlff/99wupQ6Gn -RYhnSFAPnIeCy9aGDKI8qOxGx4krDeCD3MO4r0/1BNlhPwJ7a9cgMKFD0SnGfRbaMMWJ3wQYxvh3 -3nlnXZGyJQQxxuRPPfWUy57yhB6UWRJS+7i1JQjjleK4aBxMojDMx7eSQPZbRPEuOhYdKMGpNQhG -+F6tEgdxGDycUwsJTGQ7pLmk3klmI3A+Um/G7HnXoODUpPZxHAl27tzpNK958+bJVRoMnQqWFDJe -9B1WHOQNmf3999/vtKO4MFuIFTm58xsA8Xt87oGJgMLDnyXj4e+xPIMTwQ7Rm4ATBwIqpQNkfl99 -9ZXcpYFQvDht2rTE9UC8nqn6vHUmfJtZW1YskAnF/Rv8iSFp0qyvjVGM+E2j04sgCMbNTiohCDzz -zDOuBiXN31cjEtaxuMGO1/FZGPrxYCgFbxyzZ892InNSvYh7xmwefsSMbF6wfAofZbY5rhbKc8GM -It9FHV1deOjX5h6YSLkfeeQRNxuXZp0QYuLo0aPddH1eNSgUvhEsk2ZgOBBV4HfddZcCUwPBp/CL -tBMizOjlWYZCBhZ3tjmCzpHvwHfRvlH1b5e1E3IPTMxoIWLTq6QZziEUok8hOud1AxHTmdFJugaO -z8/SAupVRHNCpvLQQw+5bCWvwMRsH/6dZGIngkpxMi2VDdRkl7WHgt1al56FVeR5pOD0mE8++WTq -qVqcm9oniZblw4QIlrbtCQDoQSwSzwodJaMA/DINBMYHH3xQuw7UJ3/xm1Sb4Q+WpXdCE6BinJQ5 -60p/gkoWsZG/RbDEmfLSvER80GSwrG1PXROzdFmycDrJSIhnoXBSeCaoFKeTlM5UE4Ynp+QamAgi -iN7cvCx7KxEMmKp//PHHM/csFHmyTUbaHheda+7cuU5n0mxK+dAhMBTL0vZ0mKzyf+KJJzINoQhM -yAJsk9NakW4tEOPJ3Hk+pFtWJX/xm7E3AeW+++7LVC5AEGFan6CUtQIcx0aoTNvjcn2GlQwnJFqW -D8MmtJwsw2h8kaBE9XXWTIWZQXwhi3+jWzIZo+1QqoL4fWKugYmeINqLJpQDBqjOZfZEBx40F3QC -3LfW1jXGgXvP0ItJlbSaJRkOu1FgWTsohoJ0ltpnviphi9/A9CqOmSb9Jk1mCUAeu1HSW5O9sbRB -eziXA8GEJU3oS3kvT0oD2Q3SAlvzZA0oHL6B3KG1mFVhqvPO3AITPRENnZeoR2DBKXGGNIcE0KtR -aYs+lHU2jWEg2wIzTNWGX+XAPaNq+7nnnsulM8CfCHB0VGmyZzQudghAvE5TJiBig/h9cm6BCU2I -WTS2OsmDKLAgfKbpofh7pmZZJ5W15IDAxANCLYxOUikPhk95icT4ALVH+EOadXdAQCNI5qE18p10 -SGf1x83kKX4zzGG2gmCSF2QnWNoZPno2xNOsjo3zIHoytMxzaYMoDwIKh0/ccsstQWg71NYxg502 -SP6GQfz+Y26BiRvPFGrLfY+FSONLTO/T2eVV1Mr7EJDYBTNpoS2vZ0IHy6tim5032OInTT3UbxzE -7ym5BCbG3ywhKWJ/ZYZOOGiS6X6ckLL/uDsJxIGsCX2CWRnVMxULWiXrJRk+l33WYDXwIyQFdhPI -a+M5drugcFcZ0xEg4N2dS2AiCLDvDTMNeUPPgkMk2bANkZNaKm58XkVsPCDMpjBcjbOHuUgPEygs -BKe98x46k/Eww5pEUCd4oJ9SXKmK7cJB/P5rLoGJLIJNs9gKIm9wBoJekl0ICUzsvcQsSl7iIoGJ -ojimsKudriLyhcCRd1Aik6bOjqLbpEMoPksR1dq8pwTww8hP/KYXIt0tYn9lhk+I2El6OJwn78/D -e9JbMrTUyvDmhFk1tj/hzLlqx9WXDZk3HS+TKlqecoh8xW8h8gCdkswUoTrvTIL3Y5aXCvC4nVZ0 -yGYRqxlef/11Y589N0OnwHQIxO8HmiIw4UzM9sVZW0RmxX5QRWwrgfPg0Mw+5lFRLo4ELZGMhuAU -wjIihnzIFJTB5F31jzyBDkp2r8B0CMTvezMHJoY11AoVeSwNVdetHVIZgVDJNqw4dt43mx6X3pOd -BnSyajHwkCJ8M2tV1P5XBJi4PsvnYZuSrDtmiNggfp+UKTDx4JM9ICZSH1IUnFVPrxWnRorAhEDN -jE4RgYnq4XvvvbfQ79vWIXAUlS3hE2g7TP9zL+MQCd9Ffl9tQniI7OI3N5kV4JwnX+RRzAzhEMDj -iM44NAJ1UdO69LL0ojq4sDnBZ9GLRo0aFcQR8Pgq6znZBUOzcw7E7z9lHspR+MgUbJ7FjKJtwkNK -dly05oJOSIfa2mJcdEpm8Yrc850Rx7Bhw1yluwKTg1Xyk1IHpmj/Y4ZXZWwFgtiMg+BUtZyWimx6 -wyKPhQZmatC7FIzzBf2OtWwMsUJ4SPE39EQymqJA60K/YnsfCeAOAtP9qQNTVBPChldl1ISg6TBk -XLduXU2nZQbltttuc68pEr4vYnxeOymIg6D9sM1NKMMa9vOizijJabsiM9nE7+gYcETpjRs3Fv5p -Sb25FkGhltNyNA49HK8psvchW2JLFU7fEPlSlhCMD8WpLuf3ZewogbiuXVYd2cRvHvxICC5jh8Ho -WvUW83JzW3tNHvB90Se0Z3Pzgp41f/58p+00GvyIDejWr1+v2bmD4vdfVPktgoBhHCfalHXeGtro -mDFj3FCtGiwTISMuYxsfCog5kJX9orTfV0aNKTSoX6LHURbTnPBQDh8+PPWxSGn8hcBTS4aggJbA -VcTC9JYwGuBAToKzZuYyBCYajzohhk1lVsOiCXBNZt9aakjoXQjfZa38j6qHtaF8PqAhzpkzx2Uq -IUCQYKiHX4lSST+U46FkASJidJnblLKOin2W6M1aprz0fHyeJPs2ZYF1TiydYK2TyE6e+2knoV5V -twTphpBe/MaBqPSmVICZsLJgGpmSAYJiy8CEEyXdHiUL0R5UrKMSzQkZLxvAMYwSwZCtXAAth2nz -MpdmMISj6A2HquxZ+RwIp2We+cZQFmE0jwMZRWOgU6UerfIADSQC6uEIVkk2J8wKHS2L1YtcvNwk -pNOYSG0pPIuz0r8sGE4hnPK5RPPB8Js9icpef8jeTytWrHD6VgSBCVGctXRlFlZSgsI+5xxl3sZ3 -MUi3JIWshSlWhnGNEH4JjAjgXDvKmtCXGFKVvbE7DsT0NtfVcoL08DCyI0SZskA9yJgWLlxY6ok/ -+BLDSuqq2riulW4RL8WLDJs4f70RU/MEAQ4EQOPiZpIC09Oy80DZwinCP6fFspZKIml68Ke0Jy7n -AfeuMkvBx7Eyh1R0bEXsc96EpBO/o1IBMoVGjIW5buVGbTg107pFV3tXA32JAE3VrgJTeuhYWKTd -iIeSBd/UKpEhcQ/JlsjgJAs0jOwbxTUCehXWwjGcJGNhCMfGbQjiZUMwRCBlCKLCuHSwod/y5ctL -nbioBFmArJcOhqHbiBEjzODBg0sr9BRHkHxrXZyHm4dQ2egHkdkUSgfmzZvntsloRMYksvPAAw+4 -jqVRw7jolF2ybsTnbt26uaF5EYe3xoFZZ56xNjwzl/wwAnQcqnMZSjVyLExQ5Nz3K6+80m3r28ib -SPqP7kXGpgWYySFjYjjeqEAAdLgM36666irX0ZWxKL0WBGkKd9vwEWHJjm8iGERreghOjdZUECvp -5ThGupGORECaOXOmcyad0pqMaOKCwNDIWU0+AztnNjJTiiBAsnFcG9Ysk4nfTM+j5xCUECpDmB6n -dAFHauRNRIyfPXu20yn4PCIedHRMj1NU2Oj90/EfZphD6FgQ49u4HyU7IpxAxJQuJv4fentE+Nb2 -jxaHw24C6DlsuKeF0IfDwmFGJm10OMeDdHfNwEQvQm+2aNEiV1lNQ7GVbAhHK4cGQZvpZdqmLYvw -0eRI5Z5KlFS03CqX00l69+5thg4d6rZMVu3O4SALTJo0qa1+fcTvKYcCk3WoWTgV06QYgejCCy80 -HTt2NJ07dzaXX365FjvWgOHkjBkzXPVyKNt2ZIGhBEMKOifKIZgpivyintGBjRw50j1Y0c8Qc8eO -Hes0HP5NYez1119vBg0a5GZ3xZGwTAbtFF/6rQRtdGA6KJayVfMdVm/wfW0Hdrj4femll85iVuKS -Sy5x1qNHD7erHmvQ+C/OFfeAwLZGJJ5SW9Vo8ZSZQQILFlUzMyTg33zO1oy6HrQf6np4QK677jpz -3nnnGesfde2iiy4y3bt3NwMHDjTXXnut+xl+1K9fP/ezq6++2nV0/IxMifVpqv2qDsEIAZySmLJX -V3DtyvvC/zMKiOs/tYzvQ0y5+OKLj/AdfAIfY0sj66uHi989e/acdcMNNzjn4g3Gjx/vekvqS4hk -OuSxNlE1fAi7ZzL8JjAQUAguTFSQtfAzMpX+/fvXtOj3BJO+ffu6/2fIZX2jVaNTmzBhgpv+Z5aS -n+FHCxYscFkSi2KvuOIKd4ZaKMczhQzDXUphypxkQo6gXILTjyJYYUFygv/U8516FvlTr169qvoO -foL/MCt64MABxO+TDwUm24PNImXn6CNMJfnJYSjM0dM8jGmFS9Lahx9+2D3k9JhJbcCAAa73wbjp -ZLpdu3Y15557rjn//PPNBRdcUNPOOeccl9lMnjzZDU2pgiaosBUHGU49QyvCZwg40YGS+BE9Lb0w -nRwLVNkZUrQOZSi0PZlGEmhjhkVoe9QbIi/E8RteyzCcADRkyBD3b35+4403mssuu8z5Tz3fqWX4 -3Nlnn23GjRvnRP1qvoOf0Fn57YwQv+/UYQQ5EaXf3EQCAdkK66+YPIhrvJ7qY27mGWecYTp16pTI -zjzzTPf3TFIw64XWQ3BiJpWfUZRaz9CI2OojOgGmzNX14kjYw55sgxKd1nyJ31PXRyBhi2mCCv6A -xfEd/K1Pnz6uU+LQUf591llnORmHoX0c/6lmPBN8tgQ7yx4ufiswZYNMgWyAdJTg0KVLF9OhQ4dE -QYWJBobTU6ZMcYGNwJLESP0rgwnBhQkL1Vc1J8gn7JJKJttaR4X/kKEQVFjrR+aDD8X1IzJ9MjRW -MTC7jA9Sm9eAjesQv09UYMoRbiBT5gxbuKk4CdpKHCONZujEJmWIjQwF01hLTUJT8c0LnR36LsEJ -/yATquY7/BybOHGiCypoROyYkNR3okJlrsssWiO2EjJZD7wU9WF2jokDer0k1qhV9iJcyGLi+A6v -I5BES32aFMTvUxSYhBAhIfFbCBEciN8PKTAJIUIC8fsEBSYhREhI/BZCBMdGa+0VmIQQIbHT2ngF -JiFESLBnzlQFJiFESLDh2x8UmIQQIYH4vUaBSQgREhK/hRDBgfh9hwKTECIkEL+nKTAJIUJC4rcQ -IjgkfgshggPx++8KTEKIkNhhbawCkxAiJBC/H1NgEkKEhMRvIURwsCewxG8hRFAgfp+qwCSECAnE -79EKTEKIkJD4LYQIDsTv4xWYhBAhIfFbCBEcm6z9Q4FJCBESEr+FEMGxx9p0BSYhREggfh+nwCSE -CAnE79UKTEKIkJD4LYQIju3WblNgEkKEBOL3DAUmIURIIH4fq8AkhAgJid9CiOBA/D5dgUkIERKI -3yMUmIQQIbHX2iwFJiFESEj8FkIEh8RvIURwIH6focAkhAgJxO9bFZiEECEh8VsIERwbrB2jwCSE -CAmJ30KI4NhsraMCkxAiJLZZu1mBSQgREojfsxWYhBAhIfFbCBEciN+rFJiEECGB+N1JgUkIERIS -v4UQwYH4PVeBSQgREojfRyswCSFCQuK3ECI4jhC/n1SbCCEazKfWhhGQ/sXaX6xNsLbV2ofWllvb -Z+1l/983rH1kbYu1VdZ2+9/tt/aaObiHyjvW3rL2mbWl/nevWPvc2lprG/1Fl1n7wv89QtcKa+9Z -+8DamxXX5TWvW/vEHNw8ao21Xf49ee9Xre00B8ekG/z/v1px3V3+bzb593i94rr7/LU+8Nde4T9L -dN1l/rNu9J+98rpL/Xd8y3/n7b4N9vu/3+3baItvszdatOVy38Zb/ev2tGjLbTXacqlvy3XW3vWv -W1ZxXd5nZY17+IX/HB+bgz3S6hb3kHbbYQ5ua7ret+XSmG2517fl+97ebNGW9e7hUn+t9f7aO1rc -w93+s272n73ePVxZcd39vm22+bZa59tuaY17uK3FPdzj701Zz8P+irZc2YTPQ+U93F5x3Zcr7mHc -5+Fr3pvA9K/+i/yvteHW7rHW3r9BO/+Hf7N2n7Vb/OuWWPs3f8Hjrc2wdq21K83BzOu/fSP8p7VF -1i61NsDaVGt/8o1/lP9SHa2NsTbO2un+xrbzjXOStQes3WjtAmvPW/t3/97HWJtrrYe3uf5na/xr -nvd/80//Hif592znr3G6v+YY/xmW+s+00n9GPusgaxdbe8Z/F977aP8dr/TfeYZvg9W+TZb4NrrF -t9nffBu2823a3rcxbX22tRf9dfn7E6xNt3adtS7Wnrb2X/66v7e2wNrl1q639qi1E/3NPMrf6M7W -Rlq7y9qp3qHaeac72dpEazdZO8fa4op7eKy12dZ6Wetm7Qn/Pbnuf1h71tpF1gZbm2Ltz76d2nkn -7GDtdm8d/M/a+df82f/NEP8ez/r3jNryCX/NXv4zHOs/E/fwBWvnWRtqbbK1v/rvEt3D03yHOspa -J/+wHOXb5ETfRtf7Nlvg23CNb9OnfRtf59v8BH/do/w9Obvg5+EGa9Os/bHiHr7iv8co/72a5XmY -b62rtWuszbR2XMXzsNj7W9znYQ0juP8DV9yR2rtpCScAAAAASUVORK5CYIJ= +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABlXSURBVHja +7Z2Ht1VFlod71vwzLHume2ZabdN098gyAYLaLnNmlCaKiEOSBSoikgREVLIgGURFRBEkKSgSRIIg +GFARUYIBc6ipr6xDXx733XfOvSfU5f2+tfZ6cN99N5zaZ9euX+2q+t0999zzO5lMJgvJdBFkMlm4 +gckYc4W1gTKZTFag3dQwMD1nhBCiWA5Yu7E0MM3XNRFCFMx31jaXBqa5uiZCiILZau1PCkxCiJA4 +bG2hApMQIiQ+tjZQgUkIERK7rLVTYBJChMRRaxsUmIQQIYH4faoCkxAiJA5ZW6DAJIQICcTvexWY +hBAhIfFbCBEcEr+FEMGB+H2aApMQIiQQv+cpMAkhQkLitxAiOBC/L1ZgEkKEBOL3RgUmIURIbDMS +v4UQgSHxWwgRHPusDVJgEkKExE5rbRSYhBAhIfFbCBEcEr+FEMGB+D1XgUkIERKI34MVmIQQIYH4 +3UqBSQgREhK/hRDBgfh9ugKTECIkDlqbrcAkhAgJxO8hCkxCiJCQ+C2ECA7E700KTEKIkED8/rMC +kxAiJBC/ZyowCSFCQuK3qH9+/PFH89NPP+lCnDwgfl+UW2D69ddfzc8//+wcqdR4XIhq+OWXX8za +tWvNa6+95nyJ/4u6J1/x++uvvzZvvvmmefHFF83SpUuP2TvvvOOcSoikvPXWW6ZHjx6mZ8+e5vnn +n3f+JeoexO8zcgtMn3zyiRk2bJi58MILTatWrUzr1q3dzz59+ph169aZ7777rrAr8c0335iPPvpI +LlEn0JFt3brV9O7d29x///3m3nvvNS1btjRdunQxO3bs0AWqb/ITvxmu7d2718yaNcsMHjzYPPDA +A2bIkCHuJ840fPhw8/777xdyFQhKc+bMcZ+H4CnC5/vvv3e+Q6e2a9cus337dnPfffeZXr16mX79 ++plt27YVojt9++235uOPPzYffPCBs08//dR9VpEIbsKhmQcmHIQUe968ec5hGrJmzRozZcoUs3nz +ZvPDDz/kegXI0hYvXmw6duxoOnToYB577DHz2WefyTUCBn86cOCAGTNmzAlDN2SB7t27u86P5+QJ +UgW+RPZGwGR4OXXqVPP555+r0ZLxtrULMg9M7733nku3O3XqZFasWHHC7xEs3377bbN8+XLXy+Tp +4C+99JJz5HHjxplly5a54IQzHTp0SO4RKIcPHzbPPfec+fDDD8tOnDDEGzBggOsE8xLDjx496rTT +bt26mUsvvdS0bdvWnH/++e5z8DlFsstp8hC/CTik1wyXvvjii7LPIWCNGDHCvPHGG7l9+1deecV0 +7tzZPPTQQy4QkXLT47Vv39488cQTjX5WUSxogWQkCN/lIFghC6xevdrs27cv88/D8I1ASdaNL23c +uNHs3LnTaV34MyZfSkQ+4vf+/ftdL1apcdCfVq1aZV5//XWX+mZZQoAjMb3ctWtXp1OUZmn8bsaM +GS6L2rRpk1wkMI4cOeLahaCDftMYdDSDBg1y7Zw1+A9DRzSu3bt3H/c7/J5O+cknn8x9aFnHIH7P +yCwwEVwIRqTecaBRH3/8ced01DtlxZdffmkGDhxo+vfvb/bs2XPC7xHDn3nmGad9qacLC4RuJkoI +UJUgCCxYsMC8+uqrTT63FhjCoXPhL2RJDcG/mFShE9ywYYMaMB6I38MzDUz0bszENexJykFqPmHC +BLN+/frMMibEdcb8Y8eOLetIEQiZDD0JTiIMGGozTBo9enQsLRINce7cuS4TzwqGa7wHmVFj4G8T +J050QRK/UkFxkyB+n59JYOLiM+OFvsS4e8uWLU3+DVkSf4NllTGR/i9cuLDJmiWciZ6Zoj0RBojZ +ixYtchlvHFH74MGDrg3Rf7KCjhf/ZuKkEsgT6JdkV0XW69UJ2YnfZCZkJCtXrnTpN8OjONCjkPKS +AmdRh4Io2rdvX/eZKoHzIJxqKBcOzHoxFR93xpQiTNowy6Ecfk3tW1PvQWBCh2LIx/BPVATx+8xM +AhMBZv78+S7triRSNoSyAQTpZ5991gnRaUIPirjO8Ix/x4EhQ1GFn+J4CAAM8/GtJJD9ZtGG6Fh0 +oHECDc9hdo5MXUO5JqHwa3omgYmhGAWTpK9JZiNwPlLvLGpQCEqk9nGzN2YTEeOfeuopuUrB0JHE +7UxKIZMZP368mTlzZuqficz+0UcfddpRXMj2sCwnd04C9lsbmXpgIqDQQ8QNAOXgb7E0gxMC5SOP +POICThwIqJQOkPl99dVXcpcCYRg3bdo0py8lgecTlNCm0oRsnsx78uTJsWvv+Jvp06ebF154Qf5U +mWzEb1JtihfZOaCa4IQzLVmyxNWg1BLcSqGHwjHQjuIGO1JuvgvlC3wXpeDFQecwatSo2KUnpW3I +bB5+lOYOFoje+GgSLRRfQtpQR9ck3PTpi9+k3PQMzFZUs04IwXLo0KEVK8WTgthNsEyageFAVIE/ +/PDDCkwFwvCHYX61EyJIA3R0abUhGdjIkSNjzTZH0DnyHfgu2jeqcnOZLMRvshICQbVTowwD0acQ +ntNqQMT0JDM6EWRZrFxHlBf1CZkKi8TJuNIKTHSe+Hc11dz4NZmWygYahWzmiWC31iXzouHT2HGA +4EJwqnaqFudmRkWiZf6QZWDVXnsCANlyuQXkSaGjZPeJanegIDBSbKldByqSvvhNqo1GhNXSO6EJ +UDFOMKl1pT/DsaRTzKXwtwiWOFNampeID9vlYLVeezo4ZulqycLRqdAbkSrYNSMp3BN8F/xaOlOj +MDw5L9XARBCh4ZhFqSXTIRiwPIXgVGvPQpEnZQvV6hMEWUoG0JlqCXCiOpiNYyhWy7Wn7Zk9ox1r +GULxOgQVtslpqki3MbgvCLKI8tIty0IPtDnVwMTYm7oR6jtqKZAkbacwk6BUawU4jo1QWW2Py2dh +WEmZgUTL/MEHGNLXMozGFwlKFO/WmqmQdeELtfg3pSvUPyUtf2gmbLd2VqqBCQ0HoRhNJ5QjdUi5 +WSCsI37qCzoBZr3S2IudtscPmFSptmyATIcdMrFatUaGgnSWbMMrTiBs8RtoOJYUVJN+kybzt9VU +DDcER0TwZGmD9nDOB4IJ2+eyej/t5UnVQHaDtMD2y7UGFEoX2D01y3V8dQzi96jUAhM9ERc6rfQ0 +Egqp3K3mkACCCRt0sS9PrT0cw0BmdViikmTtn6ge2oy2R7NMozPAn2hHOqpqsmc0LooqCZTa9C1T +EL9bphaYGHcjDDa1BURcSOUJLMyGVdND4diTJk1yzl1r1S8OzQ1CLYxOUsmPNA9F5XUYyuEP1U6o +4FMM6dLQGvlOab3WSUa64jfDHGYrCCZpgQMxhKo2sPC3aWzVi/NEoqcO56xPyLroNNkCNwRtBx2W +zk71TCdeGpOm+E3DIwzG2a1SiKZ8iS1O6OzSKmrldZg1Zp1b0kJbns+yFmbT0qrYZhcNZgmrqYc6 +yaF6dUoqgYnxN6X2WWysxtCJxksy3Y8TUvYfdyeBOJA1oU8QeFXPlC1kp6yXpLA177MGy4EfUUvF +bgJJFxI3BkGOer80JmdOMhBxx6QSmLhZqV8iNU0beha0oiRnzjGLQy0Vjp1WERs3CEeZs184maHI +DgI/U+rMXqU9dCbjYfiURFAneLBwF1PFduZwxvu5qQUmplEJBGmDoE4VeNLAxN5L7NmdlrhIYFq7 +dq2rAFdgyh6ud9q1Z2TSHCDAjhFJh1B8Fj5T2tXaEsBPID3xm16IafQs6jJInZMu5sV50v48vCa9 +JWm9apnqE4ILO5lyMnS54+rzBrmBfcDRvrQ85RiI32cHXWApmh/olOwGQMV32plEtDsAZQNxtSI6 +N46NQhNKO4MjA7f3npuhU2A6BuL35LoITGQ/LJqMU7xJNsOymGq3pqgEzoND81lq3fVAlIchO6fo +spYshGVEDPnQKymDSTtTZtYRARz/VmD65+1ubWzNgQk9hyCQ5bE0VF03dUhlBEIlu2fi2Gk3Nj0u +080sldDJqhl5pb1JEb7JULLa/4oAE9dno8JhlpCohi0XEL//p6bAxI1P9kB1NuluVrDvNuJ6HNGZ +wIRAzQxaFoGJnQvpQQlQIhvQE7MKSvgEkzVM/TOkiwOZW5ZBiUCpTQiPUbv4TSOzcn/EiBEueGQF +Qzh60jjFbTQwvVxW07r0snwWbRpXn+CzCN8PPvig07KKhjo9DihACNfsnAPx+5yah3LcoGg6Wtwq +0rhJV61a5TqWLDUXBG061KYW4zLco5aKEoOsYMSBAM5GdgpMv112axOrDkxcRArVuLB5bKyO2Mzs +BeJzY05LYR7aRFrVuZUcG70rzcpy8duxSKxlY4gVwk1KQEIWYIeKrOAeQr/SKb3HIMMZV3VgimpC +2PCKYJA1aFgMGSs5LTMoQ4YMcTpQljAUwGGXL18uN0qRd99918yePdv9DCEwsZ8X1d5JTtsVNVOb ++E1gIkiwR1EexxuRevNelY4Px5GYvcu6LoRsCfGU01hFumQpfJeCDyE6NyVqZy18R/BZtMuqozbx +mxs/EoLz2GEQLaup98KpGV5lLUzzGdAntG6qfqHaeuHChW4Xg6JhcocN6NhKWLNzTvz+b1V+iyBg +Vop6tbz2J6L0ZNiwYa5GqRzs2cRMc7WnoSQBkZ0DWdkvSrVShhmJ8SdNYKJ+iR5HJ0/UJ9yUrGHL +IxBE/sJQvDEZggJaAhfb6WYNIw+CcijaWsFUL35z8QgADJvy3C+HcThTysy+NdSQEMfZeCuvjeqY +iWQ4l/UMYHOBToXZL4ZYIRAtsFUhbe4gfv+tqsBEgGABYhonRiSBtUVUXVPz0TDlRZBGHE+yPUot +MAPI9hl59KjNAYRf/CrvjAE/aqxz5XcSpHOnevEbB2L8zZq0vXv35vaJSXUpTyAoNgxMOBcZTF5b +kpCZEQjpVUV9graDxvTyyy/rYoQD4vdfq9aYmJEiS8ly8W5DGMKhCSCQlvasfA7G6Hnuk8T3Jzil +cSCjKAY6VcpLSg/QQCIgG6ZGLc/9uOloCZCUxTTzmbnqxG8uIA2aR+1SXNg5E+GUOiZRfzBEpz4t +773U2fuJcgGCQQSBCVGcQt08CyspQWGfc44yD2Gf8wIhMD2WODDhPKS/DKmKOEmUMT8COO8dZU1k +THymvDd2x4HSOiKqOcPNyFYyecoClSBQsi1znlso40vsb89sYDPXtaobylG8yEJL9swpYmqe4MN+ +3mhcNCbGcJJZsryFU4T/GTNmuNXhEkmrZ+XKlW4pSlFrDyPhvdTHsbyHVFnsc16HVCd+R3tfEyCK +GAuTnbBOLdqoDW2JCt48ta4I9CUCNFW7cqjqoe1YpF1EcSHlHmRHixcvdm3IBAtlC5IFCqM28bso +6NlYC8dsGIGBn5wAXMSJpvSqlCfgxCqMqw5mWNlaJI8dKsrBMieyXjoYijvRKvv165dboac4geQa +E0GBBqu0kDYvmE1htwGyJXYTyGO9nkifiRMnuo4F3bAIyNbo6J5++mm3eVzHjh2d5lXUCgI+C9pW +M56ZSz4rR6+GnsJQqsg1PQRFljDceuutrsixyEbkOpCtUUOlBZjJIVtCs8ziFOe40OESjG677TbX +0RWVvQFBetq0aYV+hoJJtoiXYEDvQq0FY/CiNRWEQoZxnDJRZLbErBzCLc6k3QaSQVDHpwgMRc5q +8hmYTCE4FRkggcDIidbNWLNMJn4zPU8gIGOqtItk3g6FIxWZqZAtcU3QKfKuw6ln6Oiiquuirxv+ +Q6cSQvtxbzVzP0q2Vo5ANGvWLJcdiH9Cb8/CU+15ngyG4ug5kyZNKqQeLmRYkE7leTMdzlXeXYBU +kt6MaVQWqnKhMMQ5cTwEbYoD2WK4iLKFUIgmR0oPG2XmtOEJIFRWd+vWzQwcONDdhNqD6HiQBcaP +H99cv/7xhxHs3LlzLk5FFTVGELr22mvNxRdfbNq1a2duvvlmLXZsBIaTZJJUL4eybUctMJRgSEHA +YL0YnVHkF40ZS5TowAYPHuwmJKLHEXOHDx/uiih5Dj979uzppuSzPH2knmH3DLRTCnhPlqCNDkwH +hQ+U8x98ge9rO7Djxe8bb7xxLrNc119/vbnhhhtM586d3YkVOBo/mZrPepP/eoUsiZklNq4vWjwl +0430kqiamSFB9FhTxrQ92g91PRw20aNHD3PllVc6n6hkdGIMzfr27WvuuOOOY48ThPr06WM6dOhg +rrnmGvfYgAED3Po0LeNpvA0RwJn9zntCpeGe62S61OvF9Z/GjO/TqVMnc91115X1H3yMTsz66vHi +d5cuXeb27t3bBST7bzN69GhXPMgyAZxVhzw2Do3HxQ9hVo6stnv37i6gMCSIlvDcfvvtLkjcdddd +jVr0e37eeeed7t/8XdeuXZs0Ag8+wwnIVMLzGH6EFMDQjQWqt9xyiztDjQ5OBamV4TBOMs88gzeF +wsxMUsIRQfY2aNAg5weVfKeS4Uv4I8P3cr6Dn+A/vLftTI8/JWXLli1zSbPYSRBTSX5yKIxDzCVz +qraEgeH0lClTzKhRo1yPmdR69eplrr76amc0ONvD0uhXXXWVy1gqGb0WWc+ECRPMnDlz3I6gBBUK +aslwKhk7PaInEXCiAyXxI7JJhiN0ciwjYsmHaBp0OkoYGNIlgd0SGBbRFkgLdBZx/IbnMjoi22WY +zf95vH///k7GieM/jdnll1/u/JlTlcr5Dn5CZ8V3tv6D+D1WhxGkBDcf6epNN93kAgHZCqvFkxrV +xwQVtL1LLrkktqEDtm3b1gUisiY0QoZjBCf0Lx5jJqyScROwRITsONpvShQHNy3ZBhkonR3ZZzmf +iR6nBopAwpYtBJXIJ+L4Tps2bVymTSU+GRL/v+yyy5yMw2vH8Z9yxmGerEVMsHNEbSfxiuMhU0Dc +Ix0lOLRv3960bt3aNXocw4EIRgynyZjYvIzAksQIhqXBhODCImfVV9UnyCjsknrFFVe4QNGU/5Cd +EFTuvvtuN3TCh+L60dSpU112HJ14jQ/OnDmziOVniN/nKDClCKIh4iHDFhoVJ0FbiWP0cgyd0BYY +BiJcJzEEbqyhJqFdD+oXAgL6LsEJ/yCbLuc7PI5RYkBQYTjH5olJfSfyFd6X/xexB7up9cBLURlm +5+jxGBbFNZ6f5/bAoj4gi4njPzyPQIKsUMcTVYjf5yowCSFCQuK3ECI4EL8nKzAJIUIC8ftsBSYh +REhI/BZCBIfEbyFEcCB+j1FgEkKEBOL3FAUmIURIIH6fpcAkhAgJid9CiOB421pLBSYhREhwTvwo +BSYhREhwpPYTCkxCiJCQ+C2ECA6J30KI4ED8Pk+BSQgREojfIxWYhBAhIfFbCBEc26ydqcAkhAgJ +xO9NCkxCiJBA/D5fgUkIERISv4UQwYH4PV2BSQgREhK/hRDBcdRI/BZCBIbEbyFEcHxibbgCkxAi +JA5am6HAJIQICcTvMxSYhBAhIfFbCBEciN8XKDAJIUIC8XuoApMQIiQQv2cqMAkhQgLx+88KTEKI +kJD4LYQIjp3WLlJgEkKExD5rQxSYhBAhgfg9W4FJCBESEr+FEMEh8VsIERyI360UmIQQIYH4PViB +SQgREhK/hRDBgfh9ugKTECIkEL83KjAJIUIC8buNApMQIiQkfgshguOQtbkKTEKIkED8Pk2BSQgR +EhK/hRDBIfFbCBEciN+DFJiEECGB+D1PgUkIERJbjcRvIURgSPwWQgTHLmsXlwamZ3RNhBAF87G1 +ewlI/2Ltb9ZGWXvH2vvW1lo7bO1l/3Otf5xo9oa1z62tsPaFtdX+xSiMetPafmur/O9WWjtgbZO1 +HdY+tPaKtSP+7xG6Xre2x9q71l7zj73sn/Oqtb3mt2ODN1r7rOR9eQ9O7XzL2ycl77vCP3ej/9u9 +/rWO+Nc+5N/rXf/er/vHVvjnvOI/6w7/2Q/47xK9737/Xbf577665H0/99doVxPXkmu9vsG1XFNy +LTdb+7TkfVf6//P4dmsf+edH1/Kgfz1e9z1r60qu5WH//T+o0IbMhjC+31LmWh4ouZalbVh6Lfd4 +a9iG0bWM2vBAmTbc4t97X4NrSRtuML9NIUdteLjkfdf577rbt+HBkjZc46/R9iauZdSGaxq04foc +7oePGtwPB/332O2/Vz3dD421YdL74Wtem8D0r77xLrc2yNpYay39H7TwjX+utXFEMmt/t7bc2u+9 +05xubZa17tb+19rT1v7TX4Q/WFts7Xprva1NtXa2/wCn+C/QxtpQayOtXeQvQgt/cf5ibaK1ftau +srbU2r/51/6TtfnWOnmb7x/b6J+z1P9NP/8af/Gv2cK/x0X+PYf6z7Daf6b1/jNO9Z/5WmvP++/C +a/+XtYX+u3b33/10fy1+76/N3/21Guev3Tr/vmv9tR3rr/WlvoFO8X9/hrUZ1npYu9nas9b+w7/v +H60tsnajtZ7Wpls70zf6Kd5R2lkbbG2MtfO887XwTkfn85i1gb6tl5W04anmt6F8F2v/sLbAf0/e +99+tLbF2jbW+1iZbO8dfpxb+hm5lbbi3Vv6xFv455/i/6etfY4l/zehaLvDv2cV/hlP9Z6INX7J2 +hbX+1sZb+6v/LlEbXuA71CHW2vqb5BR/Tc7016inv2aL/DXc6K/ps/4a9/DX/Az/vqf4Nrk04/vh +/6xNs3ZWSRuu8t9jiP9e9XQ/3Gatm7XZ1k4ruR+WeX+Lez9sZAT3/xtLktAiEKrWAAAAAElFTkSu +QmCC -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image015.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -35680,114 +36781,114 @@ /4WLxYmG2P+x/zuxrgVzwv6P/V+wVJxphv0f+78zK1soK+z/GZqr//wLgrrLGEKBp58AAAAASUVO RK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image016.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAASYAAACkCAYAAAA6y4O9AAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABXsSURBVHja -7Z2Jd1RFFodnzvw3HGd3G53FccZRGCJwEEEFOeMwrIIgiwoKyL6DiOKCYIwsBoMiiIigEGSHRNYk -oKgIyBIWFcW9pr5KNacTktDd6aVe9+87555ITPfrrnffrVu/ulX1i8cff/wXMplMFpKpEWQyWbiB -yRhzh7WRMplMlkPr3jAwrTRCCJFbTljrFh+YytQmQogc8621yvjAVKo2EULkmL3WrlZgEkKExBlr -ZQpMQoiQOGptjAKTECIkaqy1U2ASQoTEBWs7FZiEECGB+H2NApMQIiQQv5cqMAkhQkLitxAiOBC/ -ixSYhBAhgfi9S4FJCBESEr+FEMEh8VsIERzHrI1TYBJChES1tbYKTEKIkJD4LYQIDonfQojgQPwu -VWASQoQE4vcEBSYhREggfrdRYBJChITEbyFEcOyzdq0CkxAiJGqtLVFgEkKEBOL3JAUmIURIIH63 -VmASQoSExG8hRHAgfl+nwCSECAnE70UKTEKIkDhubYoCkxAiJCR+CyGCA/G7QoFJCBESiN/XKzAJ -IULitLWFCkxCiJCQ+C2ECI4qa7dGIjD9+OOP5ocffnD2/fffu59CpEK8D8WbCIZoiN+ff/652bRp -k1mzZo1Zu3atefvtt827775rzp8/r1sokuKrr74y69evdz6EL2H41YYNG8wnn3yiBgoDxO8/BRuY -amtrTXV1tXnuuefMPffcY1q3bm3atm1rbrvtNtOhQwdTUlJiKisrnZ04cUK3UzQJAamiosIsWbLE -3HHHHc6H8CUMv+rUqZOZOnWq+eCDD1xHKHJKmOI3TvTRRx+5gNSzZ08zcOBAM378eGcTJ050PydM -mGAeeOABc99995kuXbqYGTNmKDiJRvnyyy/NSy+95Dq3fv361fOlmD/xc9iwYaZHjx5m2rRpZs+e -PeaLL74wP//8sxow+yB+TwsqMB07dsy8/PLL5rHHHjMDBgxwgeeVV15pVANYuHCh6d27t+natasL -YHPnzjWnT5/WbRWXICjhP7169XK+9Pzzzzf5t8gD+FPfvn3NI488Yp599llTU1OjRsw+iN//CiIw -0TOR8cR6ts6dO5vXX3/dnD171gmVjcHvL1y44GzVqlUuOM2bN89pT/y/n376Sbe4gPnuu+9MWVmZ -84v58+c7P+F3TcEEC9n6xo0bXebUvn17M3v2bPPxxx+rMbPL1yYU8fvMmTPmmWeecU5UXFxsqqqq -nCMlyjfffGPeeOMN1zPyPitXrpRWUMDQKb311lvOn8h8zp07l/Br6dSQEghqDP0mT54smSC7hCN+ -b9261Y3xn3766aScKJ6vv/7aOVPHjh1NUVGREzJFYcLMG53Uk08+mXJQ+fbbb83SpUtdcCNzovMU -WQE9piSngYlhF6ky43t6uJZmOaTqpO30ctu2bWs2dRf5CZ0c+iQ+cPz48Ra9F0M7Zn8Z2jEZw/tJ -EM84BIEZOQ1MO3bsMOPGjXPDN/SkdLJ48WJXp9KURiXyi4sXL7qSgKFDh5pRo0albSiPXyKaE5xe -e+01dXaZB/H7lpwFJnQAituYLcGR0lngRjCix+zfv795//33nbAp8tybq6rMiBEjnCRw9OjRtL43 -mdKCBQvMiy++mPYOVFxG7sRvpv8PHTpktmzZ4gokP/30U9fjpQuC3meffWbGjBljBg0aZHbt2qXb -nee89957Lih9+OGHGXn/U6dOOX/du3ev0zNFxsiN+E32QjAaPXq0qz2i1iRTHDx40NVEDRkyxF1T -aXh+Qv1aeXm52b17d8auQRZeWlrqsrJ169altSMV9W+nteKsBybKAEiJ+/Tp45YIZDo1poqXwERF -r4Z0+QVCNFoSwvQLL7yQ0WtRkvLOO+84eQBfohhYZATEwVlZDUz0OmhJ9DyrV6/OWkrMUI56FukD -+QeFuEzpowFlepcAAiGaJasTWMcpMkL2xW/G6cyUbd682fVA2QKHQtNatmyZq0dRVXh+QAZMQGJW -l7qjbIDvUCPHxM3hw4flS+mHbKUyq4EJkZvMhfVL2dZ7mEqm6I5lLyqWyw+2b9/uBOlUi3JTBY2J -WikKL1taKyUuY7+1G7IWmOjR9u/f7zImpnazXV+EA7Hwl1m6I0eO6PZHGHyJQkp2nmCdZLZBjpg5 -c6abWNGMb9o5ZW1BVgITWhKp7/Tp093sSa5gJmX58uXOmbTRXHQhMFGYO3z4cJc15QJqpVitQIDM -1jCyQMie+M2yE3sNtyiSdUy5BG2LXQjI3kT0INNmRoyZODLvXIJfx1YYsHxFpIUD1v6R8cCEQIm+ -w6p/pltzvUSERZ1TpkxxPa32eo4eTKCgUTKcyvW6tQMHDri9mxhSonWpHCUtZEf8ZgsJAhIzGSEU -pZF2UxVOuQKOJaIF2RLFuSFkvEgUjABYYcA6OhVdpgVu7I0ZD0xkJk899ZTLmkKBTIkiOTalF9GB -bIk6IspNTp48GcRnYgTACoN0r88r5NtsMil+U9/BUgF2BKQIjpsXCiyDYTaHTE4VvNGBokaG4dku -D0joabJBM5nNDUWTsIHW7IwFJsb/ZEssOwn1aBwKLtntUoQPWS6zqYjeoZV7EJSoj8OfKEtR0WWL -QF+5OSOBiaDEeJvzuhC9cz170hh8PqqGc1HsKZIDUXnnzp1uKROnl4QmMhOYZs2a5ZbGMDrQ7gMt -InPiNwIzK72p80BgDvFG4dzM0LF7Zq5LGETzIHRTs8S+XSHWn5HNIVVwNh0dndZktux2m0yJ32Qg -6Dfs4R36oQCvvvqqy+pE2PeIvZY4kTlkHYe1c9Q0kd2pgDdlEL/npz0wEZTQlAhMZEwhn/XGjAr1 -J1SDs8GY6lDChOyb+xQF0JnQVRneiZRA/J6T9sBEj8byE/SAqFTDsqyAfZ0VmMKDGTh0pSiA/7z5 -5psuw6Oj01KVlED8/ntaAxOzEazc5+awGVyI07qNOROrxRl26ljo8MCXsKhMUPA52eaXLFy1TSmR -fvGbQMQiXYZwVFdHYckHwRSxkhNbcKao9M6FAnstsTtllNaicfgqx4jxDIikQfz+c1oDE4IfhwTi -TFGDGpmJEydKtAwEOgzq4JAFampqInUMV2wZFp10KBXqEQJxbl7aAhPaEtO6FCyybCBKjsRwDucn -Y2JGJZMHJIjEYSkTgSmKsOKBYlBm6kRSIH4/nbbARM9ADQd6QFRhh02OleanyB10FJSZsLEfNWZR -FJE5CXrRokU67il5EL9vSktgwpEQ+pgmZXwdRRC9qVCnl+antkTJHWTfLPEge0VMjuKEBCMGdtTg -4AKCk5apJEz6xG+CEkM4Zrdqa2sj2Ro4Pw8EQzp0Mn6K3MBQmiUeSAJRniXlLMMZM2Y4rUyBKWEQ -v/+SlsDECn0OGWCPo6jDEIItUULapqWQYGaXDo51lnR4Ua4to8hy37590iyTg9mC51ocmHAkUm6G -cBwuGWXonVk/xz5NrKHTlijZh+1qx48fnzeb+MX2a8KvVMCbEASmZ1oUmHiQCUYUJ1IHlC9QMsCM -ijaSyz7UlKFVEpjy4UEmKHHME+UoGs4lRHrEb7QYCuDy6Rgbeje2RGHKV2QPAhEzWGh9+TL5wNIU -ljshDbDVjlYWXJH0iN/MmlAqkE+nRDA9zXfSjoTZhZof1pnl0xCaYBSbUEHy0L7gVwTx+28tCkwE -I+o18vFkW7QBHImZFZEdKNOg+p42z6dhD8PT2CyjhnNXJHWNiXSUGRNqNDiNlDqNfIMtUqlpogcX -2WlvFr9S6c1/59OQh/WXTKawVxPivnZMbZbUAxPZBFO6vXr1cnUa+Th7RS/HYmQq2Zn2lTaQWdgE -jkmUfF1bxhCOg1Y55knrMZsl9aEcgYlZOFZQ0wPkY3rKd6KnowyCpTYic+BPDHPYKidfV+SjWzLC -ICPUEpVmaZn4zVHb+agtxYP4TQ/Hmi2ROQhKbCyI+J2v4jAdHdmgii2vSGrlAkR+NCWm0vNdyOMh -YbqXeqaoLiaNAgyXmVIvhE38KathwiifZrHTTGoaE8EIfWny5Ml5nzEB9TSk3wjhWtibfihARMtD -Y8r3wIROOXfuXFdAyohDNEryS1J4MDlcgA2w0F4KIeqjB9DDMaTTjEr6YZi8ePHighjiEJhih3Qw -qy1fapTkF/ESiFasWOFESvSXQpip4jsSnNBBWKai0y/S164MjengsEI5i41gxKGYBOSQTxDKIcmL -32RM6C0EpkKCWSOGGuggUd3WJcQHlCwUfYm6pSjteNpSCMQM5zQ71yjJid9kS5s3b3YZE4JwIVWw -EpCp1WIrDvadCv0Qz6hAuQn+VGh6Czuk0sEzrFPWdBnJba3LEIY9lwp56pylEpMmTXJ7m4vUYbaT -tkRrYXeKQswcqAJnG2cdJ34ZyR1GgB5AiQBaSyFC1sRiTDbD03CuZVC4OmfOHDe7W6iLWjldmCEd -J6pohq4eyR3fhCaA4F1IWkBDCE707phKB1KH4Qur7ZEGCjUw4T/M9rJXEyUTWvJ0icTF79hMgvYn -qqu7iW0wL5KH6meqvPEl6uAKeVdHtt0lc0SzVWC6ROJHhLNWrF+/fmbVqlUF3WKxQxifeOIJBaYU -YAKFTQXxpUKVBOJhW2qW4WgrlHogfs+5LDChnyBKMmuAkXL379/fPYyFfhY7vRozcszO8WAVQuV7 -qlCMyoQJxpCFTo3V9QQlRN9Cm9ltCvQl9KZClkgagPg9/1Jgqq6uLmWYwiF9t99+u+nQoYOzu+66 -y83Gsd2sqAPBcvr06e7ss3wKTswQoSPysCD0W59wP5O1rVu3mpkzZ7r2we6//37TunVr07VrVxWp -NuDQoUNuTzOWPeVjJTh6IposPkVn1ZRPsUkgJTm2s6ovfnfr1q2U/ZWGDBniTqkYO3asM9b26GTa -+tCAU6dOdftR5erIKrK3eEdm1pShEoElFeMeE0So02Iq+9577zXWJ0z37t2TMl7To0cPM2zYMDN4 -8GDz4IMPmpEjR7qHr7i4WDVgDSBIMxrp3bu3091CCE4I82SzGEElVb9i36nYsW7UbOEP+Ae+Fe8z -/LtLly4u1ly8eLG++G1T7NKHH37YVaRSicvDh2lq/HJwHjQmHmSGdbmAWqqSkpJLwyE+Czd+6NCh -LigkY7zmoYceckGEjol/k+WkYgRrAhGlAMuWLXM7gCLyIgOgqYj6IP6jM7EoHsmEdsvlEJeREUGE -rJetpUlOBg0alLRPxWzgwIGXfGrAgAGN+gzD+549e5qysjI6WMTvmy8FpsrKylKOzFEgShyGdGQY -6doXnCOlEYfpQZmtacqYYh49erRzZPQafsfNZdiN3X333Qlb7DU4H50SQy12JUX3SMU4DYTUnAyO -eiXt1pgYDOlGjRqVlo6OjoD7wDCKZVTsN96cP8UMXyJA0kk9+uijroMho+ncuXNSPoXxGobudExk -Qrw/wa4xn8HveYbIHm1QRjOanZaTeAsVgjiC7vDhw12xHAJvKsZ6MWzMmDHmzjvvNEVFRaZdu3ZN -GnoN2S0ZE2kwWiDHTTEEo7dLxtA2MHYkJZDQY6IFiOxDYkBgim3pjNHxUe9FFsX/59/8vilfWr58 -uZkwYYKxz7TrZDp16mTatm3brD/FrE2bNqZv376u4+NQiHHjxjm/JotL1q8YvvE6hnSMwJKYOKsv -fiswJQ9jbx5qhkDcWCYNEnGAhkYgIrjQQxFgqJOKCceNGcGQsgWuj+OwTEgzhPkB9799+/bOJ/An -ggr6ExsVMqzi3035Gb8nU8GP6OTIqFmPeCV/ihnZOp3bkSNHXNaLXJCDQmLE7xsVmFpAbEsUejTE -cHoqUuFkjdfxenY35P2ohm7OmOGIFSaid+mssvyB01TwCdZk8nPKlCkuyPTp08eMGDHC/bspPyLL -YUND/Ci25IUs+Er+FO9XsbIFfDtHWld6DrwUdduiMARixilV4/Va5iLYMC/eJzCyF3THLVu2XPpd -U36EroQf0XFFdCNHxO9/KDAJEQGYIS+Q5TsSv4UQwYH4vUCBSQgREojfNygwCSFCQuK3ECI4qqzd -osAkhAgJFlPOUmASQoQEpzMUKzAJIUJin7U/KTAJIUIC8btCgUkIERISv4UQwYH4PUOBSQgREojf -JQpMQoiQkPgthAgOid9CiOBA/P6XApMQIiSOW5umwCSECAnE74UKTEKIkJD4LYQIjgtG4rcQIjAQ -v29VYBJChATi9xQFJiFESEj8FkIEB+L39QpMQoiQkPgthAiOamutFZiEECEh8VsIERy11hYpMAkh -QgLx+zoFJiFESCB+71JgEkKEhMRvIURwHLM2SYFJCBESiN9LFJiEECGB+H2tApMQIiQkfgshggPx -u40CkxAiJBC/JygwCSFC4oy1UgUmIURISPwWQgSHxG8hRHAgfrdVYBJChATi9zgFJiFESEj8FkIE -x15r1ygwCSFCQuK3ECI4aqwVKTAJIUJC4rcQIjgQv5cqMAkhQkLitxAiOBC/dyowCSFCAvG7nQKT -ECIkjlobo8AkhAgJxO8yBSYhREggfl+twCSECAmJ30KI4LhM/F6uNhFC5JjPrI0mIP3S2t+sPWHt -kLWPrW21dtbaev9zi7VPrB20ttPaaf//zlt739SVke+3ttvaCWvl/v9tsHbSWqW1Kn/RTdbO+dcj -dG239qG1w9a2xV2Xv9ls7Yip2zyqwtop/56890Zrn5u6Mele/98b4657yr+m2r/H5rjrnvXXOuyv -vd1/lth1N/nPWuU/e/x1y/133O2/8zHfBuf960/7Njro22xLg7bc6tv4kP+72gZtebSJtiz3bfmB -tQP+7zbFXZf32dHEPTznP8enpq5H2tXgHtJux03dtqZ7fFuWJ9iWZ3xbfuRtW4O2bO4elvtr7fHX -Pt7gHp72n7XGf/bm7uGOBm25ybfRAd9mJxu0Zfw9PNrgHtb6e5Ot5+F8XFvuiODzEH8Pj8Vdd33c -PUz0efiK9yYw/cp/kU7Wxlt7ytot/g1a+Rf+09pca2P9362z9mt/weutLbE2yNr/TF3m9UffCL+z -tspad2sPWyu29hff+Ff5L1Vkbaq1mdba+BvbyjfOTdbmWRtp7W5ra6z9xr/3NdbKrPXzVuZ/V+H/ -Zo1/zWP+PW7y79nKX6ONv+ZU/xnK/Wfa4T8jn3W4tW7W3vLfhfe+2n/H//nvvMS3wS7fJut8G431 -bfZP34atfJve4tuYtu5o7V1/XV5/g7VF1gZb+6+1Fdb+4K/7e2srrf3H2lBrL1u70d/Mq/yNbm9t -orUnrd3qHaqVd7qbrT1r7XFrd1pbG3cPr7W21Fp/a32sLfPfk+v+1tpqa12tjbA239pffTu18k74 -b2vTvf3b/66V/5u/+tc86t9jtX/PWFsu89fs7z/Dtf4zcQ/fsdbF2ihrz1v7u/8usXt4m+9QJ1tr -59vgKt8mN/o2GurbbKVvwwrfpit8Gw/2bX6Dv+5V/p50zPDz8JC1Emt/jruHG/z3mByx5+F1a72s -PWDtFWvXxT0Pa72/Jfo8VDCC+z/Pnb8UWPR0rQAAAABJRU5ErkJggk== +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABX5SURBVHja +7Z2Jd5VFmoe7z/wzHGZ6pmdabbfp7tFjazSIqAcQRVwQPKyyy46AEANGjIiI7CGAgYiCiiI7CIIE +jKwRUJFNJIALgntNPWXd9CUkIffmLvXd+3vOeU9IuGt99b1V9XvfeusPTz311B9kMpksJFMjyGSy +cB2TMaa9tTEymUyWRXuovmN60wghRHY5Za1LvGNaqjYRQmSZi9Z2xTumCrWJECLL7Lb2FzkmIURI +nLW2TI5JCBESx6yNkWMSQoREjbW2ckxCiJA4b22HHJMQIiQQv6+SYxJChMQZa5VyTEKIkED8HivH +JIQICYnfQojgkPgthAgOxO+r5ZiEECGB+L1EjkkIERISv4UQwYH43UaOSQgREojfVXJMQoiQ2GMk +fgshAkPitxAiOI5bGy/HJIQIiQPWCuWYhBAhIfFbCBEcEr+FEMGB+F0hxySECAnE7wlyTEKIkED8 +vl2OSQgREhK/hRDBgfh9jRyTECIkaq0tlmMSQoQE4neRHJMQIiQkfgshggPxe6cckxAiJBC//yrH +JIQICcTvhXJMQoiQkPgthAgOxO+CSDimX375xfz000919vPPP+vyiaSg78T3pVh/+u2339Q4YRAN +8fvkyZNm8+bN5t133zXvvfeeeeedd8yaNWvMuXPndAlFQnz33Xdm3bp1rg/RlzD61fr1681nn32m +BgoDxO9rg3VMtbW1Zv/+/WbGjBmmU6dOpqCgwBQWFprbbrvNtGvXzsyfP9/s3LnT2ZdffqnLKRrl +22+/NVVVVWbRokXmnnvucX2IvoTRr+69914zadIks2vXLjcQiuze+iZE8ZtR7fDhw+bll182jz32 +mHniiSfMxIkTnT3zzDNmwoQJpqioyPTt29c8/PDDpkOHDqakpEQdSjTIN998Y+bNm+cGt549e7p+ +RB+iL2H8Tn8aPHiwefTRR52D+vjjj93ztLzLCiesFQflmI4fP27KysrMiBEjTJ8+fVxHqaioaFBT +WrhwoenRo4fp3Lmz6d69u5k2bZo5ffq0LquoA+eyePFi1z/oSzNnzmz0sSzx6E84r6FDh5rp06eb +AwcOqBEzz35rtwbhmBiZWI6xPLv//vtNx44dzRtvvOF0pMaEbv7+/fffO1u5cqXp1q2beeWVV9xz +fvzxR/Prr7/qEucx9IGlS5e6fjFnzhzXTxC6G4P+cv78eadndu3a1ckFpaWl5tNPP1VjZpZwxG/0 +pJdeesl1IpxTTU2N60jN5eLFi2bFihVuZOR1+LeWdvkLTubtt992/QmN8uuvv272c3FeOKPXXnvN +zZ5Y5qkvZZRwxO+tW7e6NT5OJdloG46MzoS4iaj50Ucf6RLnKSzLGKSmTp1qTp06ldRr/PDDD3Uz +rueff96cOXNGDZsZEL/Ls+qYGMkI065du9aFcFsaXWP6zrQdAXPbtm3ud5FfMMihTxYXF5sTJ060 +6LUIxCxYsMAFYQjGoIFKEE87XLTJWXVMH374oRk3bpyLmpw9ezalr404Tp6KnFN+wHKelICBAwea +MWPGpGz5Rb9ENMc5MSNXf0o7iN//zJpjIpt71apV5pFHHnEd6fPPP0/Za6MTEAru3bu32bRpk3sv +kdvs27fPDB8+3AwZMsTNbFIJM6+5c+c6S/UAKi4je+I3EbVPPvnETbvRgr744gs34qUKxM9jx465 +2Rh5UDt27NDlznHQlXBKhw4dSsvrf/XVV66/7t69O6HAjEgYxO/rMu6YmL2QYTt69GgndpOVmy4O +HjxoRo0aZQYMGOAyxDUNz03IX9u4caNLjEwX9B1y6oYNG2ZWr16d0oFUXHo5rZVl3DGRK8KUmGS2 +V199Ne1TYzrroEGDnCCuJV1ugRCNloQwPWvWrLS+F44Ih4Q8MHny5JQvF0UdiIMlGXVMaD9oSYw8 +bJ7M1JQYUZR8FukDucfrr7/uQvoMdumuOoEjfP/99015ebmywtNH5sVv1ulEyrZs2ZLRqTAdimVd +ZWWlS+ZUVnhuwAwYh0RSLnlHmYC+gy7KwMqeTvWllMNsJbPi95EjR9y0myVcpvUeNCaS7khNULJc +bkCuGoJ0IpndqYCyOyzpSLxsaa6UuIzMit+MaHv37nXrdKbBmS72hhZBblO/fv1cFFBEF/oSDokK +E2w9yTQMsFOmTDEjR450MoFIKYjf8zLimNCSyFlCNNywYUNWO/Ty5ctdZ1KhuejCdSQVhLyl7du3 +Z+UzIH6zW+GDDz7I2DIyT8ic+M22E/seblMk1QKzyYULF1wVAmZvInoQQCFHjWBGtgVo+nVsh0E6 +017yDMTvW9LumFiyoe+gLbGMa6r0RCZgP15sL51qh0cPAijUWErlToFkIducvCaWlAR0lI6SEhC/ +d6XdMRG5YESprq4OIimNaTcjLtNwzZqiB0soZt8hXDskClYAY8eONcuWLdOSLjVwYa9Pu2NCA3jx +xReDEgmZKaF3EfIV0YHZEnsfEb75dwiwAiAVhcFOpIT0it/kd7BVgI5ENUr2xoUCegBVL5nJKYM3 +OqApsTk7xMAFjpJdDaLFIH5PSZtjIqmR2RInU4SgBzQEZSzefPNNdYUIwCyXWTeBi9DSPXBKJHmS +wMtAp6TLFoH4fXNaHBNOCT2J1ABEb45hCg0+H1nDbI/R5t6wQVSmdhfXigMGQrvxWRmQ10TNJrQm +VR9oEekTvxEBSdtnuYRTCvFC0dkpu0q5jGynMIimQegm+kVFikxneTeHWBkfor2Z2Jie65fbpEv8 +ZgaCfsORSqEXcqeuM0f1iLCvETXhCcmHrONwiAEpMdT/CtGBRgSiGnNS7phwSiSexY7zDvmsNyIq +ZO4izlNgTHkoYULpGq5TFEBnIs8qlKhhBKHwf2nKHRMjGttP0AMo5h4F6PSIqhItw4NlEbpSFGBg +Y+8eMzwGOuU1JcU+azel1DFxY7Nz/6233nK7+KOwH43OxG5xqmky/dYpGGFBXyJyGpWbnBUDuiV1 +opTblBSpF79xRJQ4RfTmokRhyweOiM9N1IclXVRG53yBAW727NmRmX0DG8X5zKpikRSI3zek1DEx +41iyZInrTFGDHJmJEydKtAwEZt/kwSELEPHK9h7LREAER2NlkG7pWYl5COLc7JQ5JrQlwrpMuyk/ +GqWOxHKOzs9IR0RFO8XDgK1MOKYowo4HKiDgpERC4MmnpswxkRNEDkeUM6kpAFZaWup+iuzBQEFl +SEqKkKQbRRGZ6hXsetBxTwmD+P1/KXFMdCQ0JRwTs44ogtZEMiijND9VEiV7MPtGDmD2ipgcxYAE +/Yck47KyMuecFPFtNqkTv3FKzJSIbkW1njadnxuipqbG6WT8FNmBpTT1tDdv3hzpKCnnJz733HNO +K5NjajaI3zemxDGxcZE9cSRURh0y1SmJQnE7kXmIkJI9zRKOAS/KSa8kWaK7SrNMrNmszWyxYyIB +jlA7SzimrFGG0ZkoCoLr2rVrVRIlC7Br4Omnn3bVIXMBgkAEVuhX2lnQLBC/p7XIMXEjs12APXE4 +p1yBlAEiKrkwA4waDHRolTimXLiRcUosS0lH0XKuWaRG/EaLIZksl46xYXSbM2eOC/mKzIEjIoKF +5Urwga0pM2fOdNIApXa0s+CKpEb8JmrCWjpKmblXgvA06Q+qSJhZyPkh8JBLWzlwRgze7B0lyhhC +3fvAQfz+3xY5JkQ9NsDm4sm2aAMsT4msiMxAmgbZ97R5Li17WJ5SSI7EYy3nrsgpazOSckxMRxnV +yNEYMWJE5EXvhiDBj5wmdoqLzLQ3AQe2cvDvXFrysP+S70a0EXFfFVObJHnxm9kEjdy9e3eXp5GL +0StGOTYjs7tdtXXSDzlLDAQsoXMR5AG0Jkrvaj9mkyB+/yNpx8R6GdGbgwZycXrKiM1SlTQICn+J +9MEMAsdEtvfRo0dz8jvimMrLy92MUFtUmqRl4jciXq7XNkb8ZoSjQ4n0QfQTcRjxO1fFYQZvZt5K +trwiiN9/T9gx4fnJXaKkQ64LedwknCTMwZgcWKCKhOmB5TIVRPOhiD8rDTb45lIUO8UkJ37jjNgT +x8GDuRiNqw/5NGSCo39oY2/qIZROOVoOGohCxdOWgDxApVSkAaUNNAqOaXpCjokbk+kos6UVK1bk +hddHD2ATJks6RVRSD8tkyoPkwxIHx0TQiB0FRLXVlxok8aUcjgiHxEGR3LD5kMXKd+S7Is6yUTnk +U1+i1q4sjQku0Kfy5Sw2nBH1wHHI6ksNkrj4zYwJvSWKpXNbAlFIkuMI99bW1qrrpOgG5Yh29CXy +lqJU8bSlxCK9is41SGIzJmZL3JyMbgjC+ZTBikPm5qEUB9+ff4uWQ7oJ7XnhwoW8+t4cUoBuSeqA +Zk2XkZjGhLbEUiafQ+dslSgqKnI1dkTyIPyyqRWtJV9Lz6I1vfDCCzpO/HISi8qhB6CzMGvKR5g1 +EUFiKZsP0ch0whaNqVOnuuhuvqZgVFdXuyUdq498mzFegcQ28dKBSDjMJy2gPjgnRnfaQakDycPy +hT2IpAjka9ic/kM+E7WaqNmkcih1NF/8RqgkXE6aQL7DrClWYF4kTuw0HbK9mXnmc1XHPXv2uPw4 +ajbJMdXR/L1yRBB69uzpNrXmM7FDGBnl5JgShwAKkU36ErJAvkNCKdtwVArlEhquLsA0mxAuwiTG +HqbevXu789by/Sz2WE1wonOM+EodaBxuOAImGDW7OEWHbSc4JfSlfIvsNgbSAMc85bNEUo9LDyM4 +cOBABcsUom533nmnueuuu5zdd999LhqXq+UokoGb6tlnn3X5XLkkhBMhYlaDGGv7gyvcxs9EbevW +raakpMS1D4YzKigoMA888ICrpa4yMv/i4MGDZuTIkS6okouZ4Ex0cL70KQarxvoUNd6Z+NjB6lLx +u3PnzhXdunUzAwcONBMmTDDjx493Nn36dJd3If4F9acmTZrk6lFl68CCWOZ0DP6NU0nWKF8zf/58 +l1dEKPvBBx90joSfiRjP6dq1qxkyZIjp37+/s9GjRzvj9ZlxiktvXFYj9CVm4SE4J4R5tD9mtAR6 +2C6UTJ+i7hT+AwmInK0BAwY02qc6dOjgDjW5ePHipeK3HdUqnnzySacncbYaSYSYliuXQ+dBuEQE +Z1mXDcil4kaPLYeYmeAEGFgGDRqUkPGcwYMH1z0fY/mejD3++OPOCVGxkYBJZWWl+6w4cxVIuxwc +AHswi4uLXfsxKGRzicu9T/Ins15KS48dO9b07ds3qT7Fz379+tX9m9dpqM/06tXLOWZ2AtgB9tJT +UqqrqyuYTilHp/mwpEM7SVVdcLQGdBjqQ5N815ghvo8ZM6ZO++NvLJc6derklt78TMR4Dk6JaBnv +T1VSStskY7QF4W9mcOQryRk1D5Z0OPRUDHQEZji1iCUzS2euZ1P9KWb0JRKIme1SMnvUqFHmoYce +Mh07dky4TzEDsqswNzAxayL6SHpEQ32GnC76Pp/XOmWm1FNTchJvvsJskijTsGHD3MZMnFSyxhLK +XgfTvn1706ZNmzqNryFDrxk6dKhZsGCB6dKli7n77rvdxmoSFhlxEzGm2Bh1gpiyoyUygovMg/5C +3S+CT7F+QRJm7BADZp783lQ/oh9yYCgDF9ow/amwsLDJ/hSzO+64w/To0aPOQfE69MtYvfJEDM2M +57EUZBaWQPnt1JzEm8/Q6NzUzDbatm3rHAo/E7WYI2LExMEQgGCZ2JjNmjXLTbNj1R4WLlyorQ05 +AucZ0hfoEwShcCosj9etW+eWVfzeWD/j8cxUmOnw2D59+rjXu1J/ihmPZXCjvDGzXlZQWUgkRvy+ +UY6pBcRKorAenzx5sjt6CK0gUeN5PJ/pN9ELlkFNGdnSscRE9C5V1swdmGXQJyjEyE+CLOPGjXPO +ieUVvzfWj5jlICDTj1giMWgxC75Sf4rvVzFHRN/OktaVmgMvxe9lUVgCMWUl6pSo8Tyer20uAkcS +3zfoF8xeWF4xAPJ7U30JeSEWVYtoIUfE75vkmISIAGg0ebJ9R+K3ECI4EL9nyzEJIUIC8fsGOSYh +REhI/BZCBIfEbyFEcCB+l8oxCSFCAvF7jhyTECIkEL+vl2MSQoSExG8hRHDst3azHJMQIiROWpsi +xySECAmOJp4nxySECAmJ30KI4JD4LYQIDsTvW+SYhBAhgfhdIsckhAgJid9CiODYY+06OSYhREgg +fu+UYxJChATi9z/lmIQQISHxWwgRHIjfZXJMQoiQkPgthAiO80bitxAiMCR+CyGC44S1yXJMQoiQ +qLVWLsckhAgJxO9r5ZiEECEh8VsIERyI37fKMQkhQgLxu1iOSQgREojfC+WYhBAhgfj9VzkmIURI +SPwWQgTHAWsFckxCiJA4bq1IjkkIERKI34vlmIQQISHxWwgRHBK/hRDBgfh9uxyTECIkEL8nyDEJ +IUJC4rcQIjgQv6+RYxJChATid5UckxAiJBC/C+WYhBAhIfFbCBEcZ6xVyDEJIUIC8ftqOSYhREhI +/BZCBIfEbyFEcCB+j5djEkKEBOL3EjkmIURI7DYSv4UQgSHxWwgRHDXW2sQ7pjfUJkKILHPM2lgc +0h+t/cPaFGufWPvM2hZrZ62t9T+3+L/jzT60dtraOmtfW9voX4zEqI+snbS2wf/femunrO20ts/a +F9Y2Wzvnn4/Qtc3aIWuHrX3g/7bWP+Z9a0fM78cGV1n7Ku59eQ9O7fzY24m4913nH1vln3vEv9Y5 +/9pn/Hsd9u+9zf9tnX/MZv9Z9/nPfsp/l9j7nvTfdY//7hvj3ve0b6OaK7Qlbb29XltuimvLXda+ +jHvf9f53/r7X2lH/+Fhb1vrX43U/tbY1ri3P+u//eRPXkGgI6/vqBtryVFxbxl/D+LY85K3+NYy1 +ZewanmrgGlb79z5ery25hjvM7yHk2DU8G/e+W/13PeivYW3cNdzk22jvFdoydg031buG2zNwPxyt +dz/U+u9x0H+vKN0PjV3DRO+H73htHNO/+Yt3r7Xx1qZau9k/oZW/+DdZm4Yns3aPtTXW/t13mmus +LbL2hLWu1l639t++Ef7T2lvWOlt70tpcazf4D9Daf4FCa8XWSqwV+EZo5Rvnb9ZmWhturaO1Vdb+ +w7/2X6wttdbD21L/tyr/mFX+OcP9a/zNv2Yr/x4F/j2L/WfY6D/Tdv8Z5/rPfL+1t/134bX/x3/H +rv47L/JtsMO3yRrfRmN9m93k27CVb9ObfRvT1u38BWrtn3+ttXJr/a09bG25tT/79/0vayusdbE2 +0FqZtev8RW/tO0pbaxOslVq7xXe+Vr7TMfhMtzbGX+vVcdfwKvP7Ur6XtcetVfrvyfv+ydpKa52s +DbM229qNvp1a+Rv6dmuTvd3u/9bKP+ZG/5xh/jVW+teMtWWlf89e/jNc5T8T1/A9a+2tjbQ2w9rf +/XeJXcNb/YBaZO1O3watfZtc59tooG+zFb4Nq3ybLvdt3N+3+bX+fVv7a9IuzffDYGvzrV0fdw03 ++O9R5L9XVO6HZda6WetjbbG1q+Puh9W+vzX3fqhiBff/XdW/o9X+a2QAAAAASUVORK5CYIJ= -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image017.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -35837,118 +36938,117 @@ 3jQemjC1Ei+iyr9pVEsXmg8+Hz7/+w9L2bC3cc6/t+uf88/5t/cOZbF3Hr/+8f6vMzz97z+d40U6 d9njPwAAAABJRU5ErkJggk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image018.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAASYAAACkCAYAAAA6y4O9AAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABarSURBVHja -7Z2Hd5VFt4e/u+5/w/Le7xZUpImhSpMlovQiVZqI9C7Su9IFPggl0gWS0EESlE7oEAhNSEJIKCEU -RcC58wxzcg9RJJW8yfk9a+11Us4573nn3WfPnt/smfcfX3311T9kMpksSKZGkMlkwQ1MxpiPrI2Q -yWSyUrR2eQNTnBFCiNIlw1qb8MC0Tm0ihChlfrN2LDwwrVabCCFKmVPWKiowCSGCxG1r6xSYhBBB -ItXaaAUmIUSQOG/tAwUmIUSQeGDtiAKTECJIIH6/qcAkhAgSiN9rFJiEEEGiZMXvP/744wUTorj8 -SpRrEL8blUhgwnmuX79udu3aZeLj450dOHDA3Lp1S80uCsXNmzdz/enHH3809+7dU6OUTxC/j5ZI -YHry5InZvHmzadKkialUqZKpXLmyad26tVm5cqU5d+6cuXDhgrl7964ugfgTv/76q+vUfvvtt9y/ -PX782MTExJi6deuad955xzRq1Mhs2rTJ+RH+dObMGXPjxg01XvmgeMRvghBBhh4tMzPTWUZGhjlx -4oRZv369+f77782aNWvM3LlzTbdu3UydOnXMRx99ZBYuXGiuXr3qXstreL2Clbh8+bIZNmyYy4wI -Nvfv3zfR0dGmZ8+ezmfwJX7v2LGjqV+/vmnQoIGpV6+eGT9+vPM7svI7d+5oyFd2KR7xm+AyadIk -06FDB9O9e3fTtWtX88UXX7igFA4Otnr1ajNkyBAzdOhQ069fP9OjRw/3GqxTp07Gfg6TlJRkHj58 -+EKPKSKHrKwsM2/ePPPZZ5+ZLl26uM6Mn/GdcJYsWWIGDx7sgtiIESNM//793fN4Pr+npKSYp0+f -mkePHjl/4pFOVASeNGtjihyYLl686JyDYVvLli1Ns2bNXJBavHixefDgwV++ht6MVBzHI3vidR9/ -/LH59NNPzciRI83UqVPNjBkznC6FQ/F8nCpkOJwof4SynN9//91MmzbNtGjRwg3bli9f/srX7tu3 -z7Rq1cr5Ef43ZswYM3PmTDN9+vRcfyILy+tPz549U8MHi2RrDYscmAgS9Eg5OTm5RnZExvN36TSv -I3CFXoPDoBeQUdWsWdO89957LrMidd+yZYuJjY11tnHjRqdfkbYrXS9fMJw/dOiQG4qhNeFHiNwE -qvz4Ic/HF9Ge6PTQNmvVqmWioqJM7dq1XUZFJh/yJzrHHTt2mCtXrqjxg0PJid9FITU11QWoU6dO -mcmTJzsdoWrVqqZGjRrOqlSp4pzsu+++M4cPHzbZ2dnOedPS0nRJyzhkyAzJuK5FBa0KnfP06dPm -7Nmzzp+WLl3qBHR8CF+qVq2a06jIpk6ePOmec+3aNWXkpUvwK78vXbrkejZm88icQsbvvXv3dkI6 -AYoZG1J39CkCG9mUUvSyB2J3YmKieyyJbPj27dtmw4YNuf60du1aN0wcOHCgC1iNGzc2o0aNcgEK -rYvOLuRP0jxfG4jfq4sUmEixGYKVRg+DXoDIidDet29f51yI6Z07d3YzOPS+DBVDwwGGizyS6gsR -TkJCQq6IPmjQIOdHTOIgpDP7RxYXFxfnhpj4FH5Eps5wUxQ7DH3GFTowEYySk5PNunXrSnWMjk5A -xnT06FE3S4NgSs0UjoboOWXKFDckxCZOnOgyLHpBPj/1MZiyKxHi+PHjrrNjUgY/at68uWnfvr0Z -MGCAm33Gp/AlyhNWrFjhfJ8OOuRLosggftcvdGBiRoOeBoH6yJEjpXYWBBg+C6k/6TYZHL0ZKXlI -9GTIxyNCaNOmTc38+fNdD4gQSmqPFpF3CY2W0kQuZEJkRqEyg/T0dFeHh96JT+FPTM60adPGzfwx -IRPypfPnz+cGKPlSoSia+E1Dk9IiFr6sLKA0QaegIhjhEzGdR2zv3r2mXbt2bsamevXqzsHIrsi4 -0KgQXjFmh+g9Kf4UgmEcIwR8KuRPzO4x04cfMUFD0ELr3LNnjzl27FiuLx08eND9jqwgXslpa29F -5O4CZHqrVq1y4idD0XHjxrnZGaxhw4bO6B2piVm0aJELcgRfhnxUp6tQTwBDuP37978wMUOmzjDw -/fffz/UlKtP5G0WiTOiw5IZHrR39S2iUldr2xEIvSGEnRvU5hoPx2KdPH/P555+7SmMCGik9mVWo -/kopevHAMJyMoqwHfTJvikOHDx+e60v4Ff6EdoWozgRN27Ztnf7JygmyMS3HygXxe4IC0ysgs6Ki -uFevXk5cZ8aP2ZsJEyaYOXPmOEdU3UvRYdhMBsvERHkltMYvtNKBYeDo0aPN2LFjnaDOEFHZuBO/ -31dgegUEHWpaEDaZ1du5c6crT6BIj7oXekTqXpQ5FQ30GjILtJvyCkEHYR1BHUN7YsaPlQ4M95AU -CE4R7kvBrPwObGs9eOCWTDDkQPCnUnjbtm0ue2LRaX6WTYiXw1CGduULGykQqNCakBIQxxnqsRtH -hJcdIH6/rcBUBOjZcCqClIZzoqggC1BuEOG+hPgdo8AkhAgS6dYmKTAJIYKExG8hgga1chG+RArx -O0mBSYgAwawcmy9GsM6E+F1JgUmIAMHeUOxtHkmzk3nIsraiUIGJ2SgWOFJSH+nT5Ez5smaQ6W7t -UiCKCvuPUSsXwSUDhRe/aTSmNtn2IdJvm8PmY1u3bnXbrwRxMbMQZYxz1uoWKjBRvcqXkapntjCN -ZNgSY8GCBW77C7ZbEUIUicKL36FV9lTqRvoufmSPbL1KgNI6JyGKDOL3OxK/hRBBovDitxBClBCI -31MUmIQQQQLxu44CkxAiSHAbI1V+CyEChcRvIUTgQPxepsAkSh1KT9iET/dlExYqtqcpMIlSZ/fu -3e6mpZG+ikA4EL9rKzCJUoebEHBnEe4YIiIeid8iGLAYPC0tze2nLiIeid9CiMCB+B2twCRE0L6Z -WVlOb4vQzeIQGmcoMAkRMOLi4txtnNjzLAKR+C1EEGGfs9mzZ7tbpkcgRONjCkxCBIzMzEy3jU6E -DuXOWKuswCSECBKZ1hYrMAkhgoTE7+KCHT3RA9haV7tYClEkzlqrqcBUDHATgr1795r4+Hh3cwIh -RKGR+F1csAiV6V3uCZaamqoGEaLwIH5XKXBg4j5yV65cMcePH9cSAg+r4lNSUszJkyd1Cychikbh -xG++eNyUb+rUqebOnTtqRiFEcZJh7dsCByZuXbxnzx6zZMkSdwdaIYQoRhC/owocmLg9OMM57ifH -z0IIUYxI/BZCBI7Cid9CCFGCIH7/S4FJCBEkEL9nKTAJIYIE4vd7CkxCiCAh8VsIETgQv6sqMAkh -ggTi90IFJiFEkED8nqPAJEoVljWxI4O2ixEexO8aCkyiVNm0aZNZt26duzOIEEbitwgC0dHRZsGC -Bbo9uAiB+F1NgUmUKhcuXDDJyclucbgQlpvWvlNgEkIECQLTPAUmIUSQkPgthAgcEr+FEIED8ftd -BSYhAggTAgkJCebhw4eRdurSmIQIKnFxcWbChAmRWN+lwCREUDly5IgrPM3JyYm0U9dQToigwr76 -3B4tAvfVl/gthAgcKhcQQgQOaUxCiMChJSnFybNnz8zdu3dNRkaGu+eeEKJQFHwRL0Lc48ePnSgn -XoRgtH37drNo0SJz6dIlNYgQhaPg4vf9+/fdl2/9+vXa2CsPBKYtW7aY+fPnm4sXL6pBhCgcBRe/ -s7OzzfLly82sWbPcdKb4f54+fWrS09NNSkqKC+BCiEJR8K11GcKdPn3aFX+hqQghRDGjmxEIIQKH -bt8khAgcqvwWQgQO3SJcCBE4EL9nKTAJIYIE4ve/FJiEEEFC4rcoPVhFQPkJKwkicGsP8XIkfovS -g4C0atUqEx8f79YYCuFB/I5SYBKlAkt45s6da2JiYsytW7fUICIE4ve3CkyiVGCtJasIuBOvdmMQ -YUj8FkIEDsTvKgpMQoggIfFbCBE4EL9rKjAJIYKExG8hROBA/F6swCSECBKI35UVmIQQQULitxAi -cJyzVluBSYiAkpycbNasWRNplfE3rM1QYBIioCQmJprhw4ebX375JZJOO8tadL4DE3dFuXHjhrl5 -86Y8RojXQGpqqvnpp59MTk5OJJ32aWvv5DswZWZmmjlz5pjFixfLY4R4DXAnIhKCCNsWBvE7Kd+B -ia0puNFlbGysPEYIUVIUTPxmNXhWVpa2qBBClCSI39MkfhcjpNxXrlwxhw8fdnqcEKLAIH4vU2Aq -RtAE4uLizJgxY8yBAwfUIEIUnIKJ3+LVkDGdPXvW7Ny5M9KmeIUoLgomfov8wQb7Dx8+dLMpQogC -g/hdR4FJvHbu3btnfvjhB7N//34XxIUII93aFAUm8dqhSHf8+PFuuUV2drYaRISD+L1CgUm8drj5 -wPHjx90MJrdxEiIMid+idGCS4OnTp24WU4g8PDASv4UQAQPxu64CkxAiSCB+T1JgEkIEifyL3wiU -169fd2vlhBCiBEH8rpSvwERQGjdunFm1apWaTQhRkuRf/GZHgbVr17pNq4QQogRJtvZ+vgITU7sU -wqlKVxSV9PR0s3LlSrcDA8t3hMjrIkbit3jdpKSkmNGjR7tdGNTRvRp2jk1ISIgkfZcN32LyFZjY -e1hLB0RxwIaDFy5ccPtVkYmLv+fYsWOmT58+5sSJE5Fyyojfb/8pMFGN++jRo1w7ffq0GTp0qNvK -Q+QPvnDMZEbyF49zZ+lJuC9h/I3gFGH7WBca1hXu2rXLZU4RAuL30T8FJhqhRYsWplWrVqZ169am -bdu2ZsKECeby5cvyknzy888/m5kzZ5q9e/dG5PkTeNCROnXq5HwJH8KaNWtmBgwYYE6dOiUnySck -CmhxEbR858/iN4Jk3759TceOHU3nzp2dkS3JkQoGu1fOmzfP7Nu3r9yeI0F3x44d7mdmbRcuXOh8 -ZcSIEWbkyJHOj7p27ep8qEuXLs4ITtwn7eTJk8qYxAscPXrU7TZx//79NPvrhNzAtHTp0tVsCTtj -xgy3+yJ29epVk5GRIS2ggDx48MCl3raRy8050WMfPHjQxMTEGOsrZtCgQebLL790P8+aNcsFnXr1 -6pm6deu6LGnZsmVO6EafxI8wdhNIS0tzQzoRmbCBIh0a5Uchoz5y2LBh5ptvvkHLRvxemRuYoqKi -Vs+dO1d3QYlwmP1BV2SL4HPnzrnH0HbBPXv2NBUrVjRNmjQxU6dONbNnz3Y/kxVt2rTJbWVCtohQ -y+2+hCCecKtzfOjMmTNmy5Yt5pNPPjHvvvuusxo1aphKlSq5bJvn2g4Q8fut3MAUGxu7WkEpcmAo -xXR9yMhimCkj4OAwtWvXNnXq1HGPtWrVclkQPdrGjRvNtm3bXPZDNsTPDFnZlRLIrDRMiyxCE2Z5 -/YkRAyOwBg0amJo1a5pq1aqZNm3amCVLlrgdTEPGEI7O0POi+P3kyRPVMUUQDMsYftF7McnRsmVL -0759ezdEmzZtmpk8eXKuTZw40URHR7uhGcN6xO0Q/Kx9lSKbUDYd8iWMjowgxGTHpEmTzJQpU8zY -sWPNihUrXDbNkA5j9jrPZoGI3/VVYFnOYUaHW7sPHjzYidKjRo1yjwMHDjTdunVzAjWPDMf69evn -xv/0djk5OS4LCj1KFxLMzJMhk90QjNCk0Ybwm+7du+f6EsaMLH/DnwhEZE/UQlIu8goQv8cpMJUz -KF5ETFy9erUTFpkto/QDYbphw4amfv36plGjRm5RNouzmdxAkGZYRs2MlokIijrxH4xhVsjIfJht -/frrr10wwo/wK4b46Ej4D74U8ieWH4Vn1/nkNrFIgakMwqwfQiLT7iGjF+NvBBzG8tWrV3ePzZs3 -N9u3b3dCNqI0AjXPx3FE2YDOgmwlpOMVJ2QyBBR8KqQZEnTwn5A4zWPlypXdLOz8+fNdSQhZOOVF -lBIVc/Hni+K3AlPxQk9B2loc95fjfRhS4UQMqTZs2OB6q6ioKCcqIk7zyO84zebNm91aNGbK9uzZ -45xOlF0otejfv78r3C0O8En8iGyZ7JpMCJ/iGAjV6EHx8fHOYmNjnfF/OjYmSOjkCGIlpC3+deW3 -KB6SkpLMt99+m1uEWFjoJbnVEeI02U/Tpk1Nr169nPMw4zF9+vRcY0bt0KFDzmFCIrWE6bIPs+UM -pZgJLSrMmDErhh8xxGcdHhMe+NSQIUPM8uXL3bAs3IfyTniUMIjfDRWYSghSXC4ywyjSY0RnBGiy -mZddZFaRM3OBg1AhjbBIfUfv3r2dkIh16NDBFTWGhMTwdWg4nabqyx8ECbJeZkYJIuG+gS/81TVn -mIUfhXwJI5vmNehEVOLzyNIh/I734TUBAPF7jAJTCUG6jAhIT0dAYeiF+EwPtWDBAicsfv/9985I -p5lGZabsww8/dM9r3LixqyMiELFBH4WPt2/fdnpAPmY2RDmDrIVZMCrr8SWMiQz8gyHXnTt33FCP -AEOHSCDCj0K+hPH8du3auUkRZsp4DfoVgQ2fCsgKD4nfJQ0pMRkQtUCMz6kDYpaMNBoxEWERq1q1 -qtOHGJoxBDx//ryrlCXrunjxopYECZc1Xbt2zU1w4Bv4FhMedHw9evRw2RQdIJX56I34Hc8JVV2H -Kq/xp5IQ0YsRFua+qcBUgjDcwnlYdxhKtxEPWQBLL7d161ZnDO8Y7uF4GoqJgkCQIdumWJY1i7t3 -73byAWUjZRSJ3yXN3wUZ/pfXhCgMiOMsFQptTVTGfem8tUYKTEKUA8pRxybxWwgROBC/1ygwCSGC -hMRvIUTgQPw+osAkhAgSiN8fKDAJIYIEq8tHKzAJIYIE4vc6BSYhRJBA/K6owCSECBISv4UQgeNP -4vdGtYkQopS5bm0UAenfrL1r7RtrKdauWDtg7Y61Pf5xv7Wr1lgZeMRalv9ftrWfzPMy8jPWTljL -sJbo/5dg7aa1Y9bO+YOyDd9d/3qErkPWLlpjoc/BsOPyHG5le8083zwqyVqmf0/em/tv3zDPx6Sn -/M97w46b6V+T7N9jX9hx7/hjXfbHPuQ/S+i4P/vPes5/9vDjJvpzPOHPOc23QbZ/fZZvowu+zfbn -acsDvo1T/PNu5WnL1Je0ZaJvy+PWzvrn/Rx2XN7n8Euu4V3/OX4xz3uko3muIe2Wbp5va3rSt2Vi -Ptvytm/LS94O5mnLv7uGif5YJ/2x0/Ncwyz/Wc/7z/531/Bw2HGzfduk+rY67tsu8SXXMDXPNbzl -r83r+j5kh7Xl4TL4fQi/hmlhx90Tdg3z+33gLrEJBKZ/9yfSzNpYa7Ot1fZvUMG/sJa1uda+9s/b -Ze0//AErWVtp7Qtrnc3zzOt/fSP8l7XN1tpZG2Qt2lo13/hv+JNqZG2ytenW6vsLW8E3Tg1rC62N -sNbS2nZr/+nf+01r66z18LbO/y3JP2e7f81w/x41/HtW8Meo74852X+GRP+ZDvvPyGcdYq2NtS3+ -XHjviv4cO/tzXunb4Khvk12+jb72bVbLt2EF36a1fRvT1k2t7fbH5fWVrcVY+9JaR2ux1v7HH/e/ -rcVZ62Ctv7Xl1qr4i/mGv9BNrI23NtNaXe9QFbzTRVmbb+0rax9b2xl2Dd+ytsZaL2ufWVvvz5Pj -/tPaVmutrQ219i9r1X07VfBO2MDaVG8N/N8q+OdU968Z5t9jq3/PUFuu98fs5T/DW/4zcQ3Z/rO5 -tZHWFlh7z59L6BrW8x3qRGsf+C/LG75Nqvg26u/bLM63YZJv01jfxl/6Nq/sj/uGvyZNS/j7MNDa -MmtVw65hgj+Pif68ysr3YYO1rtY+t7bK2tth34ed3t/y+31IYgT3fzA6Ibuo/fzQAAAAAElFTkSu -QmCC +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABagSURBVHja +7Z2HV1XXtsbvG++fceS++9o1ufbYexlGo7HFeu3Gbuya2LAhGkvsooKKYsFuFEFiB7EAgmCJioIo +olhi1My3vunavAPXRDhwPBv29xtjjoN4Cnvteeaa61tzrfWX77777i80Go3mJmMj0Gg09wYmEelk +bDqNRqMF0XqVDEz7hBBCgkuusZ6+gWkH24QQEmReGkv2DUxRbBNCSJC5YuzvDEyEEDeRb2wXAxMh +xE3cNTadgYkQ4iYyjLVjYCKEuIlnxhIZmAghbgLid3UGJkKIm3hkLJqBiRDiJiB+fx+wwPT7778X +2du3b9ncpML8ilRpAid+w3nu3LkjR48elb1796qdPn1aHj58yGYnfpGbm1vkT7GxsfLkyRM2StUk +cOL369evZf/+/dKuXTupUaOG1KpVS7p16yZbtmyRtLQ0ycjIkMePH/MWkH/h5cuXcvv2bX10+PXX +XyUiIkKaNWsmNWvWlDZt2khMTIz6EfwpJSVF7t27x8arGkD8/rTcgQlBCEEGPdqDBw/UcnJy5NKl +S7Jz504NRtu3b5cVK1bIwIEDpWnTptKxY0dZs2aN3Lp1S1+L1+D1DFbk5s2bMnnyZO3Y7t+/L4WF +hRIeHi5Dhw5Vn4Ev4d99+/aVli1bSqtWraRFixYyZ84c9Ttk5fn5+RzyVV4gfm8vd2CCI82dO1d6 +9eolgwYNkn/+858yfPhwiY6OLvY8OBicCk4HGzNmjAwePFhfA+vTp49Mnz5dLly4IM+ePSvWYxLv +kJeXJytXrtRODL40YMAA9Q/4ji8bN26USZMmydSpU2XatGkybtw4fQ2eP2XKFLl27Zq8efNGnj9/ +rvbixQvtRInrqRjxOysrSx2hffv2Olzr1KmT9O7dWzZs2KAB5n2gN4NWACf68ssv9XWdO3fWXhCO +Nn/+fFm0aJGcOXNGHQriOZzKMTgcqXo4WQ7uMe5/165dpW3btjqM+xCnTp2S7t27qx+hk5sxY4Ys +XrxYQkNDZcGCBfp++/btU3/C5zi+xIkZ1wHxu025AxNuLG42MiLHEJCgC/wZTm/mvAYZUnp6uvaC +jRo1koYNG8rEiRMlKipK03poCrBdu3bpv5G2M12vWuCenj17Vodi8B/40dOnT0uV6cAP8Xz4YmZm +pnZ6tWvXlsaNG6svNWnSRDMvZPIHDhxQX9q9e7ccOXJEbty4wcZ3D8hmklxXx3T37l25evWqXLly +RTMn6Ah16tSR+vXrq+FnONmqVavk/PnzUlBQoDM02dnZvKWVHGTIY8eO1ftaXhBsoHNCGIdADn/C +8A8COgIWfKlu3bqqUYWFhcnly5f1OZhNZkYeVFKkIsTvQALnQvqNrAk9nWPbtm2Tb775RgMU9IjI +yEhN3aFPIbBBNGWKXvnAfUtISAhYNvzo0SPZs2dPMX/CMHH8+PEasDDbB70KQQpaF3wJgQp/z4dG +AKTibpOUV/z+7bffgiYqHjx4UMXykSNHqsG5IKb369dPhgwZonVTzlAAGZVjGD4S4suJEydUJ0VQ +mjBhgvpR//791aBXjR49WjtIDDHhU/AjZOqcoAkIGPrM9DswId2FJrRjxw6dmQsWENFRkoBsCZoU +BNMePXqoVoWh4Lx589QwcxgSEqIZFnpC/P2vXr1SY3ZFHDD8GzVqlE7KwI+6dOmikzmY9YP/OD41 +a9Ys2bx5s2b16KAdXyLlJt1Ya78DE7Kk+Ph4DQCJiYlBuwoEFUcTgGOgF0OPZq5JRXQM95Cmo34K +QmiHDh3kxx9/VPETqTxqrS5evFhsCY2zjIbiujfBsA0jARj8CUNM+Az0KPgU/AmCOgLXkiVLVESH +L2FiBkWfToCiL/lF+cRvNDSGSRiD/1FZQDCBLgARHVmdr508eVJ69uyp1ej16tWTBg0aFAXXpKQk +OXfunBpmh5KTk7XwkxAM4xB0HJ/C46FDh3SmD36ESRkEK2idx48f1wze8SWI+vg3vi/kg7hf/A4U +0BQcARQZ0+zZs3X2D9a6dWs1VBOjJmvt2rXaYyL4IjNDdToL9QjAEA5Bx3diBronVjY0b968yJeQ +YSFTx6QN6v6w5AaPXDv6XiB+R3HbEwOmkzH0g1Ohx4Ph399//72MGDFChg0bJuvXr5e4uDhZvny5 +Zlfo/WBM0SsGDJ9Qz1bZgz4yIxRzolDY8SX4leNLENQxQYNiUOhVWJaF2UIuxyoC4vdsBqYPgMwK +ToTghJk/PGIGB1nWsmXLNEgxgyo/0Pmw7AQTE1UV1FEhKDkrHTAMRAc4c+ZMFdTRQdKXVPxuycD0 +ATB8Q8qN+hc4z7Fjx3SdHzQFLJeAY6HuhZlT+YBeg+l6fDmrsi8hM4SgDoP+hDWmmJSBdICZPly/ +x33JnZXfbgX1T9gFAY6FXh0VxVjOgJQdOydAbyD+g7ogTKRgJswrIFCh3ADBCBkj6vFQ+uLxsgOI +358xMJUD9GypqamaMbEWipQX6FPOrggeBjMCWxmYCCFuAuJ3CAMTIcRNUPwmxG1AEvC4LADx+wID +EyEuAhXl2E/KwzoTxO9/MDAR4iJQnLl69WpPzU6WAOJ3pF+BCbNRmD5HfY/Xp8lREIepblTucmaO +lBdsr4JaOQ+XDPgvfqPRUPGMbR+wjszLYDkB9oZCAaYbFzMTUsmA+N3Cr8CEqtXDhw/r+h+v75eM +88yQei9dulQzJ0JIufBf/MaQBUMXVOp6fctRZI/YGgWZI/eKJqTcQPyuQfGbEOIm/Be/CSEkQOCs +93kMTIQQN3HVWDMGJkKIm2DlNyHEdVD8JoS4DojfEQxMJOig9ASn2vBcNiLvxO8FDEwk6MTGxuox +7yhWJZ4H4ndTBiYSdHCac2hoqJ4YQjwPxW/iDrAYPDs72/OrCIgC8bsmAxMhxE3kGdvEwESIy8Bp +PB5ee4ntSkIZmAhxGdiTCZMB2PPMg1D8JsSNRERE6CnPODLdgyAaU/wmxG3k5eV5eShH8ZsQ4jog +foczMBFC3ATF74oCO3pCD8DWujicgBDiNxC/mzAwVQA4hCAhIUFnU3A4ASHEbyB+JzMwVQBYhLpl +yxYJCwvTCmZCiN+kGqtV5sCEc+Ru3rwpycnJXEJgwar4rKwsuXLlCo9wIqR8+Cd+44sXExMjCxcu +lPz8fDYjIaQigfgdVubAhKOL4+LiJDw8XJ48ecJmJIRUJBC/G5U5MOF4cAznMIzDz4QQUoFQ/CaE +uA7/xG9CCAkgD4ytZ2AihLiJHGNLGJgIIW4izVhDBiZCiJug+E0IcR0Qv2szMBFC3ATE73UMTIQQ +NwHxeykDEwkqWNaEHRm4XQyxQPxuwMBEggrWXUZHR+t2soQIxW/iBrDmcs2aNbrHNSHyTvyuw8BE +gkpmZqZkZGTo4nBC5J34vYaBiRDiJiB+L2dgIoS4CYrfhBDXQfGbEOI6IH7XZWAixIWkp6dLfHy8 +PH/+3GuXnmtsFQMTIS4ER4GFhIR4sb6L4jchbiUxMVF27twpT58+9dqlQ/yuz8BEiAvBEh2P7qtP +8ZsQ4jogfn/OwEQIcRMUvwkhrgOB6UcGpgri7du3uoVHTk6OvHz5kg1CiH+UfSgHIe7Vq1cqypHi +IBgdPnxYV8pfv36dDUKIf5Rd/C4sLJQjR47o/jnc2Ks4CEyHDh2SlStXSlZWFhuEEP8oe8ZUUFAg +mzdvlqVLl+ox4eT/efPmjdy7d0+DEgI4IcQvyq4xYQiXmpoqSUlJqqkQQkgFw1k5Qojr4CJeQojr +YOU3IcR1cK0cIcR1cHcBQojr4GEEhBDXQfGbBA+sIkBRqke39iB/DMVvEjywtGnr1q26U+Pjx4/Z +IMSBp6SQ4IFsacWKFRIZGSkPHz5kgxAHiN9LGZhIUMBay5SUFD2Jl4vCiQ8UvwkhrgPidx0GJkKI +m6D4TQhxHRC/GzIwEULcBMVvQojrgPi9joGJEOImIH7XZmAihLgJit+EENdB8ZsQN3P16lWJioqS +vLw8L102xO8lDEyEuJSEhASZMmWK/PLLL166bIjf60sdmHAqCk4BwYGOhJDAc/fuXTl58qQ8ffrU +S5cN8btWqQPTgwcPZPny5bJu3Tp6DCEfAZxEhDWFHtsWpmziN7am2Llzp25TQQghAeKqsUalDkyI +3BDhuEUFISSA3DcWRvG7AkHKfePGDTl37pzcv3+fDUJI2cEUZDgDUwUCTQBD3ZkzZ8qZM2fYIISU +nbKJ3+TDIGNKS0uTY8eOeW2Kl5CKgpXfgQB7WT9//lzLKwghZQbidxMGJvLRKSgo0BneU6dOaRAn +xAeIs6EMTOSjg5q4kJAQ2b59uzx58oQNQnyh+E2CA05IuXTpkty8eVOHvoT4kGKsJgMT+ehgkuDN +mzc6i0lICTC2v8DARAhxExC/mzIwEULcBMVvQojrgPi9qVSBCSel3r5922sbVhFCPj6lF7/v3Lkj +s2bNkm3btrHZCCGB5JmUVvzGjgLR0dG6aRUhhASQ0ovfmNpFIRyrdEl5wS6oW7Zs0R0YIBEQUtJF +jC2g+E0+KpmZmTJjxgzdhYEd3YdBlXxcXJyX9F1s+BZRao0JO1gSUl6w4SCCE/arQiZO/pzk5GQZ +MWKEVsp7BIjfNf4lMKEaFz2ZY1euXJGJEyfK0aNH6SVl+PJhmIJHL7fBixcvivkSDL/z4D7WfpOb +myuxsbGaOXmE94vfCEBfffWVdO3aVbp16yY9evTQBZfYmZGUDkwSLFmyRI/f8SIIPNCR+vbtq74E +H4J17NhRxo4dq50dKR1IFNDJeWj5DsTvZsUCEwTJUaNGSb9+/WTAgAHSv39/mTx5Mh2pjJw9e1ZW +rlwpp0+frrLXeOLECTly5Ij+DP1j9erVmlnDX6ZOnap+NHDgQPUjPMJ69eql/wd/YsZEfElKStLD +PQsLCyF+zysKTOHh4VHYEnbx4sW6+yIKKvGIVJKLLcvGs2fP9MuKx6oCfADbBW/evFk2bNgg48eP +l9GjR+vPP/zwg/Ts2VNatGghzZs312wbz8vKytKz0eBHsFu3bkl2drYO54g3wW4S6NAQhGDY+mbr +1q0yadIkjT0FBQUQvyOLAlPDhg2jVqxYIY8ePWLreRhoGchoUlNTixmcaejQoVK9enVp3769hIaG +6jmD+BmZ9d69e1WgRdZ9+fJl3QyOEHTQOOo8JSVF/erAgQPSuXNn+fzzz9Xq168vNWrU0Ewbscd0 +gBC//1EUmPbt2xfFo5m8A4ZSyOgcgzCNGqOFCxdKvXr1pHHjxtK0aVNp0qSJNGrUSLp06aI9WkxM +jAYpJ/vBzxiyOqfF4n05TPMWzoRZSX+CTyxatEhatWolJvGRunXrqtZoRmeyZ88etd27d8uOHTu0 +87MUF79fv37NOiYPgWEZnKRTp046yYHAg+EYhmhwJgQo2IIFC2T+/PmyadMmHZphit93thE/c6jv +bTBhNmTIkCJfgmHSo3v37vLtt9+qDyHDnj17tkRGRmo2jT3xYRjaldgsMN1YCxZYVnEwo7Ns2TIN +OFOmTFHxGQaHGTx4sIrSgwYNUpEaM2Y//fSTakCFhYXa4zmGXSeJt7l+/bocOnRIh2TwExTKQhsa +M2aMBib4kWMY3uN3CFqogUQWhdUjpfCjbGMhDExVjIyMDJ2qx4JriIurVq3SngvCdOvWrTWtbtu2 +rcyZM0eFaUxuYAiHYRl+5jIRcuHCBRWkYY4f4XHu3LkycuRIDUiY+IAfwa9QGgO/gz4JX4LBt/Do +RxEt9KStDEyVEGQzEBMvXryogjMMgjN+h10gMJaHToRHpNTo3dLT0/U5eC56PAQiUjlAZ4FsJRAT +CsiGc3JyNKPBCg9kRMiAfMVpWK1atfT36OimTZsmmChLTExUn6vg5TLFxW8GpooF42cMiSrifDm8 +D9JgOBFExV27dmn2A0ERwrRjDRo0UKc5ePCg7N+/X2fK4uPjuSatkoOJBgSFitrdwzn7EMuCnEwI +PoXPQEYNTQg+hBk0+BEMQjU6NrwGnRwyogBpi6Xf9oSUHRSMYRYL2Up5QECCaAhxGtOsX3zxhQwb +NkyFaaTQYWFh+jl4hGh9/vx5dRik0Nzwv2qAaXRsO4RTZcr9rTeZ0fr169WPUG+GdXgTJkxQn4Je +BHEawzJfHyo54RFgIH63ZGAKEEhxIyIidDod6TEyGQjO6H3+KIvCKnIUuUKoRl0HKqnhNMOHD9ca +IoiJWOaBGTKk9RASkU35Gql6OKUdKGaFDyGAOL6Bqff3lWegngz/D4MvOYbXOVX5yJCQMaGKHz6F +Ts0FQGOYzcAUIBB8oONAOMTsF4TCNm3aaA+FcTqERQQuGMRqVEqPGzdOOnTooM9r166dNGvWTPr0 +6aMpPGrM0HNSoPYmyFqgH6KyHr4Ew7Crd+/euoVMfn6+/Pzzzxq8Nm7cqDOw8CPHl2B4PkpCkH1h +pgyGYR0CG3zKJbs9UPwONEiJ4UwYs2N8jjqgtWvX6mLW2rVrFwmLderUUb0IwzFsc3Ht2rWialmI +ntwehGBoBXE6LS1NfQO+haJEFCcik0ZAwjQ9KvNRHIvhP54Dw/NheC38ySmGdSkQvz9jYAogGG7B +ebDu0Em3MQOCng3C4uHDh9Uw1IMWBcdj1TQpC9AgoQt9/fXXWq92/PhxrR3CnleVFIjfSQxMAeTP +goyzdMPXCPEHDPGxVKiKbE0E8bs1AxMhVYAq1LFR/CaEuA5sbxLFwEQIcRMQvz9lYCKEuAmK34QQ +10HxmxDiOiB+z2RgIoS4CYjf2xmYCCFuAkcyUfwmhLgKit+EENeRYayNb2DawzYhhASZu8a+R0D6 +N2P1jYUZu2bsprFTxvKNxdrHU/b3iGbYtAV7aR43hr0+T9g3Q2HURWP3jcXb/4szlmvsgrE0Y7eN +/WzssX09hK6zxrKMXTd2xv4u1j4HW/b9Iu+ODU4y9sDnc/EZOLXzsrV7Pp973D43yb72F/tej+17 +P7Kfdd1+9ln7u+P2OT/bvzXN/u259lqcz71vrzXFXvsJn8/Ns22U8YG2RFufK9GWCT5tmWwsx+dz +4+y/8XucdXPHPt9py4f2/fC+WDR12qct8+313/qTe4jZEIzvL72nLXN92tL3Hvq2ZZa1kvfQaUvn +Hua+5x5esp+dXaItcQ8T5d0UsnMP830+97S91kx7Dx/63MME20apH2hL5x4mlLiH5z7C9+FOie/D +Q3sdmfa6KtP34Y/uYVm/D4V4bwSmf7c3r6OxmcaWGmtkX1DN3vyGxpYjkhnrYOyYsb9ap/nM2BZj +I4z1M7bb2P/YRvhPY/uN9TA23tgGY7XtH/CJvYDWxuYZCzXWwjZCNds49YytMTbJ2FfGcCb1f9j3 +/ruxHcYGW9thf5dkn3PEvmaSfY969j2r2c9oYT9znv0bTti/6Zz9GzfYv7mbsQP2WvDe/2tsl73W +EfbaP7Nt8VfbNh1sWy23bXfafu4p27ZLbVu3tzfoE/v6GsYijI0y1ttYjLH/tp/7X8b2GutpbIyx +TcZq2pv+iXWUdsZmG1tirIl1vmrW6dD5/Ghsur3XR33uYXV5N5QfamygsWh7nfjcvxk7aKyrsYnG +1hmrY9upmv1CtzS2wFpL+7tq9jl17Gsm2vc4aN/Tacto+5lD7d9Q3f5NuIfY/rOTsSnGVhn73F6L +cw+b2Q41xFhb+yX5xLZJTdtGY2yb7bVtmGTbNMa28Sjb5jXs535i70n7AH8fxhnbaKyWzz2Mt9cR +Yq+rMn0f+hv7xthWY5/6fB+OWn8r7fchCSO4/wPc3iI3gSZ9AAAAAABJRU5ErkJggk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image019.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -35965,99 +37065,100 @@ FB2jOG6ku4tJK8Os1fdmVZyXyJg1wdgwTsYkxWlO/ek+8u7GUIbsgzH9pvtgvjbEd7m3/5+lDMqg DMqgDMqgDMqgDMqgDOcxfH4fjF/uRX0OYFcM4QAAAABJRU5ErkJggk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image020.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAATkAAACaCAYAAADSHj8FAAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABKmSURBVHja -7Z35dxVFHsU987d4/JExjsM46oiySiCIwAhCBGNABATEHERZAgwGBAyrCDiHiBDAIA6CBIhssu8I -CVsI+77vuGtN3aKa04QX0vVe+r3qevdzzveckDT9qu+rrq6uuvWtR4YPH/4Ig8FguBoUgcFgpEcj -J4ToLKOYwWAwHIkSGUv9jVyRIIQQd7giI9/fyE2iJoQQh9grI5uNHCHEVa7KWM1GjhDiKltlPMFG -jhDiKjdl7GQjRwhxlZ0yXmAjRwhxlXMyZrORI4S4yhFBCwkhxGFoISGEOA0tJIQQp6GFhBDiNLSQ -EEKchhYSQojT0EJCCHEaWkgIIU5DCwkhxGloISGEOA0tJIQQp6GFhBDiNLSQEEKchhYSQojT0EJC -CHEaWkgIIU5DCwkhxGloISGEOA0tJIQQp6GFhBDiNLSQEEKchhYSQojT0EJCCHEaWkgIIU5DCwkh -xGloISGEOA0tJIQQp6GFhBDiNLSQEEKSw+3bt8UXX3whTp8+ncyPjaaF5MyZM2Lp0qUqysrKxNWr -V1mDAvDTTz+J5cuX39Pu+PHjFMWA3bt3K90OHjxIMQw4efKk0m3SpEnixRdfFDt27Ejmx0fLQgKx -UME+/PBD8eijj6qoV6+e2LlzJ2tSLVy/fl3MmzdPPPbYY/e0KykpoTC18Mcff4jKykpV7zp06KB0 -69q1qzh27Jj4/fffKdBDOHHihNItPz9f6da8eXNx7ty5ZBcjOhaSS5cuiZdeekmJ9f777yvxEIcO -HRK//vora1QN/Pzzz+o1YcyYMaqSlZeX39MOFQ5/J7FBA7d+/fp7D4Xi4mKlW0FBgcjKylIPDhKb -s2fPql4bdEMjB93Q6Hl1Mon3bDQsJHiSZmZminHjxqluL56iJBjdunUTGRkZqvexbdu2+/42ePBg -8d5771GkGkCjhht1/vz5qt7hYQHwcNiwYQMfrjWwZ88epdvkyZOVbngD87hy5Ypo165dMt8i7LeQ -bN68WbRq1Up8/PHHMZ+cqHhvv/22+Oqrr1i7fGCc8o033hB5eXli4sSJDzRwwBubIw/yySefqHrH -emXGmjVrVC8X42937tx54O/4HR4eaAiThN0WEjxB27dvLz766KMaj/nxxx/V31esWMEapjl8+LDq -wfXq1eveKwIJDm7QNm3aiNmzZ1MMAxYtWqR6aWPHjrWpWHZbSNDiDxkyhLXHgI0bN6rGDY2c93pF -gjNz5kzRsmVLsWDBgoceh5lpHHv+/HmKJoFebdu2FSNGjLCtaPZaSNCdPXDgAGuPITNmzFCvqcQM -NFbouTVq1Ei9ctXGpk2b1GvZ3r17KZ6kZ8+eYvz48TYWzU4LCV6xXn75Zfbi4rhR0cPgjKk5mEVt -2LCh2LVrV6DjYR/BUAlmYNMd1DnUPUstNfZZSE6dOqVmZkaOHJkKT01kQcOWm5ur7DXEDDRUFy9e -FEePHqUYBqBRO3LkiGjSpIn49ttvbS2mXRaS/fv3qwYO3V744kgwYGXo1KmT6N27t3LlEzOw1KhL -ly4UwpAtW7aoBu7TTz9VnRNLscdCAisDpuzRwN26dYs1KCBVVVWqgXv33XeVn5CYAXsNTOZYDULf -mxmwdGEcE8sFLcYeCwkGcEePHs2aYwhmULHMDQ7zeMDDBRacX375Ja10Q4OGVSCwisydO5cVyRCs -P03EKgKbTpJ0t8NCgtms0tLShM6xePFitVg/ncDs85w5cxI6Bypanz59bH8ahwJWeyxcuDDtrjtR -4EnNyclRM6o3b96M6xyDBg1SDV0SsMNCMmHCBPHWW28ldI533nkn7WZj8XDALDSyspDgYDhk7dq1 -aolRImB2dd26dclOHZRyRo0ape63iJB6CwnWtSES7Ung/6eTdQKzgZjZwjKZP//8M61uskRBo9S0 -adOEV8ngPM2aNRNff/112mgHexcmGSI0vJF6C8nrr7+uxpSIGRgPyc7OphCG/Pbbb+LatWtq6Vu8 -r1oeGNeD7SSdspF07NhRFBYWRqnIqbeQIBccXePm4CbFFD57cWasWrVKveJfuHCBYsQBEj1EbCVS -6iwkMGAiewic5sSMoUOHimnTplGIOEDjBl/c5cuXKYYBWNHw5ptviu3bt0et6KmxkCDRZd++fdWr -Khs5c3CTMkOGOUuWLFGTXCQ+MOGwdevWqBU7NRYSTDQgS4Y/mR6pHUyswDKChwQxB6mAMCvItb1m -IEntrFmzoqpb8i0kmJ1BOiBiDsbfsLoBKaiIGVgyWFFRQSHiAEsFsRoJGkaQ5FtIkGm1RYsWaoaL -BAcWGVhGMJbE5UfBwdgvsmRgVjBC3i5rgJcQk1zwFkY040pyLSTw1iCzCLq/mMonwdm3b5/KdRbB -gd+UgjqHpVsDBw6kaToOioqK1Gx0hJf9JddCAj8cJhyIOXDXw6XP7CzmYJ8QNnDxAeNvxDftSa6F -BB4lrhU057vvvhM9evQI7WmKRgDZhF3bbBrXgxx7ER1LSjnYPCrMpBlTp04VAwYMCPsy7N7IhtwF -5kvkzk90rWVNINX8sGHDnOvt4HpwXUncGcopsFwtTD8mNqpCqquQSZ6FBIJ9//33oV4NutUQzqWU -1FgAbnHWVeIguH9wHzmSgDV5FhLsIBV2vjg8FWAwdmlSY8qUKcpTSMxAfj2MYcba+7Muga0Hy+tc -eyVGnUtSKqSwCd9CggYHi5ixLCTsGRqc36W8aBj0ha8wHXO9JQoyjCA1d9j7NqDX8+qrr4qCggIn -dMM9BM2w/M2RRKrhW0gwG9i8eXPxzTff8M4zBE/T/Px8ChHHjYqbFL7CZNyoeBC5suDfSx+FyS5H -CN9Cgu48xsq4hMscbI9XXl5OIQzBxipdu3alEHGANy+sJ483nb6FhGsh+eGHH1Rlc0iwpHDjxg1l -GVm9ejXFiAM8GEpKSmzdB9RaMLaIt4ewZvFTRLgWEmSvleflfpZxgKSYQXZyJ/czffp01cARc/Bw -gOXG4u0F4yE8Cwl6caxs5qDXi1RKiWatTVfCNrCSyBGehQQrG9q3b59WqaHrAgyWYx9QbPlGgoOx -JGS34dhvfODhCv1c8phqwrGQYBE+XlGx3pLpuYPj7T2AMTmOJ5kBvbAQ/7PPPqMYhqCBw053rVu3 -Vvv4OkY4FpLBgwcnvMVgOoI0VJmZmUxDZQh6H7g58YBgWnNz+vXrp966sNbXwZ5cOBYSrLVkSiBz -YJjGMi6af82orKxUr/iw3BBzsLbX4c2k6tZCgqdA//79E97VPR1BRgbMbKUKzKjB7oOlUFEDaYCw -5wXyFJJosXz5ctG9e/cwU4jVvYUEN2s6bbZbV2BBdCrXCqIXCbsPtoiMEtgiD3kKOYZpDh4OY8aM -EYsXL05ZGTDZge8vxCGaurOQwPKAzS6wLCSV4NUF5eC4VnqARhkG1lSniVq5cmUkly4inxssSw5T -dxYSNHLt2rVLeVqgZcuWqVmiKFkJ0BtBenNixsGDB5V2NoBeMPYRjgqYqMHQhGOrG2JRNxYSb2br -6tWrKU9zhM+HdSVKs0QYC8MUPjEDe6giA4gNNiVs1xelCSP0fJs2berSQvyaqBsLCWYEsckK9wM1 -AzcnGmQvFRUJDhoULxUVvZhmYPwSJn10TNJgZU3dWEjgTULWX65uMAM9X7ziY2d3Yga2F3Qlh1uy -wZpoDOm4kh6qFpK7kQ15kC+//JJ7EMQBxn7LysooRBwgcQYmG9LEOJ24hQTv9Hiihp1m2jWqqqqU -Ly4NBn6JReCtYfz48el0yYlbSPA0xf4N2GGbBMcz39rkNMdYDTLHbNq0yVrdMMA/d+7cyPn5bGHR -okVq72ObJkmwdnbmzJlhOSISs5Ag/5SNO/pggTumx20dc8BgObIl2wZShXfp0kVtnmMrmGTIzs5W -NwUxA+nPKioqrCsXhmuysrLE1q1bwzh9YhaSQYMGqQy2toGdkzDbi82sbcRbiG/jqyqe8DZvYIKZ -aCwBsnFHd0wkYWmZrasv8vLy1ISNbcDuBZdBSLrFZyFBgeCNQ/cy1SscYoFXGuRls/EVGj4+LxWV -S1snhg16cHjSN2zY0BoDcHUwmN+iRQvV2Nl4TyDLiGsbiAfA3EKCV8FWrVqJjIwMZv6NA6wVxJgI -MQMLudE7x3hciIu5EwKNCDaBse3hhQdE586d1XLHNCS4hQSGX2wtiHdnzKZiI2dmrw1Oz549lX65 -ubkqa7LNIH34iBEjrCkPstq0bNlSFBcXsyIZgBl81LnGjRuLDz74QG1UYyvoPOEewRrgOia2hQQ+ -mj59+qjxNi9QAMyiDhw4MBIZHzBmM27cuPuuAdG7d2/jCQk06Js3b1bjLd55sE4RPQr8vvpn1BTQ -Dw8L2/n8889Vg4IxQ/Q6/deAbClBQTYabCzjgcYzqFb+QC8E2W2iAHpzSEJZ/RqC7juB+oHjqz9k -MFFlqhvuWa+u4p62GQwtjRw5Uk18+a8BWsbz+o/6q98077eQyJt5Em5o+LcwtoCngBfTpk2LRCXz -wOA5ctv5rwGBAX9s2IzrDBLwFGF9JAZs0cD7zwOd8Pvqn1E9OnToYOU4zcNA4lNkeK5+LbC9BNUO -2UFee+019XNhYaF45ZVXatUqVsAwHRWwtLFt27YPXAOuHZvs1KYZOhc4HithsGOb93s8bEx1Gzp0 -aKTqHEC6Mf81oAeP5AdB65wXuOf0g/F+C0lGRsYkjLUhiZ3L4PpwnUGifv36KkMIhMPslB/vhneR -oqIi0alTpwd67aWlpYG1Q54yZIjGz88++2xaJ7XEtTdo0KBWzbzOBLxjGIP0fm+zrSdMMPOak5MT -uM554RsSut9CcufOnUmYNcVMjMvg+nCdQcJbyYHB5OrWCpzH1RlSvO7HMoxiEDuodl4mGL+O6Qw0 -qE0z/0PFf3w6z8Sb3K9e+JI2hLORDSGEWEI4G9kQQoglMAsJIcRp6n4jG0IIsYi628iGEEIspO42 -siGEEAupm41sCCHEUmghIYQ4DS0khBCnoYWEEOI0tJAQQpyGFhJCiNPQQkIIcRpaSAghTkMLCSHE -aWghIYQ4DS0khBCnoYWEEOI0tJAQQpyGFhJCiNPQQkIIcRpaSAghTkMLCSHEaWghIYQ4DS0khBCn -oYWEEOI0tJAQQpyGFhJCiNPQQkIIcRpaSAghTkMLCSHEaWghIYQ4DS0khBCnoYWEEOI0tJAQQpyG -FhJCiNPQQkIIcRpaSAghTkMLCSHEaWghIYQ4DS0khBCnoYWEEOI0tJAQQpyGFhJCiNPQQkIIcRpa -SAghTkMLCSHEaWghIYQ4DS0khBCnoYWEEOI0tJAQQpyGFhJCiNPQQkIIcZoHLCQTqAkhxCEqRDUL -yVRxt3sHb8ltGbvF3SnYozIOy7iuf3dLxn4Zp2Vc0CfC8XtkXJFxXMYhGTf08Rj8OyjjpIxLMsr1 -8eX63yf132/q4/H/KmWckHFZn/e2/pwL+nMP6HLs1uWqknFMl9c7HtdxXsZZGft8x18Td1v4I/pn -75r26WP9GuzR5zymP8OvwYEaNLisy26iwakYGhzSWppocDSGBucMNNivjz1fTYMrcWpQWU2Dyho0 -uFiLBldiaHBGl9evwWGfBrtr0OC2TwOvblfX4ExADW5qDU7pa/Bfk1+Dm9U08Op2LA0OVNOgKoYG -Xt0OqoFXt6tr8LD7+4zWeW+A+/thGpyspsGNGjSoqEED//0dRAOvbh/Vx+3wN3LzZEyR0UjcHbDL -kLFERl8ZuTJWyviruDst20LGaBkzZDwnY5eMJ2X8T8YAtJ4y1sqoJ2OjjDYyhsuYI+Of+vin9GcO -k9FWH4fj18noIGOgjAUy6uvj/yVjpoxRMrJkbNHlWSWji4x+MhbL+Jsu/wsypssYL6OZjO0yHpex -XEZ3GT30z4/rv+GYibqxf0Gf4wl9Tpw7R3+Wp0GWLkuRLhvK+HddZpS9o74WTwNc41CtwVM+DfDv -/8TQoKPWcoE+r6dBkdY+S5fD0yBHf1dLdLl36u9yqr6uJj4Nlunrhw5lPg1e1HpBt+f1OaDnIq0B -dF6tPxP6t9Ia4Ht5RpcR39d8rQG+x/U+Df6tNcD3/g99POpDsdYA9WSTPn6trkf9db16UpfnOV3v -xup66GmwUtfT3loD1N8dWgPU68lagx36b9Cgl4xuWgOcY5vWoFDGf2NokCejs9YAZdysNSiQMUvG -0/qacG0lMgbLaC9jgz5+g/73EP13T4On9f8fIaO1Pi+OX6M/Dxos9NXtBrp80CBTlxvlX6GvBxqU -+jRorK9/sv7Z06BUH5ur/69XtzP1uWfoz9qp9V+oy5Kty1ZPf1+tddmLY2iQr793T4P1WoNBup54 -GjyjNSjQmnoarNYa5OnvwNPgeV1PC/V3tl2Xv0zX6166jv7l/5m+1HcNiFX6AAAAAElFTkSuQmCC +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABLCSURBVHja +7Z35dxTFHsX9Y/T4k8iD9x7qeTwREAwEkEUgyhKjiIBsJ8ADYwAR2WWJCMgRECEgiAQwbLLJvoYt +EHYIa1jDKu7Wq1tUc4bJQLpnpmd6vnM/53yPmHR6uu5Ud9dy61tPffzxx08xGAyG1KAIDAYjPR5y +SqnOOuYxGAyGkFioY1XoQ26mIoQQOVzXkR/6kCugJoQQQRzW0YkPOUKIVCp1rONDjhAilZ06avEh +RwiRyh0de/mQI4RIpURHPT7kCCFSqdBRyIccIUQqpxQtJIQQwdBCQggRDS0khBDR0EJCCBENLSSE +ENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR +0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBC +QggRDS0khBDR0EJCCBENLSSEkMRw7949NWvWLHX+/PlEfmxqWkguXLigiouLTaxatUpVVlayBrng +l19+UStWrHioXXl5OUXxwN69e41uR44coRgeOHv2rNFt0qRJKiMjQ+3ZsyeRH59aFhKIVVZWpj75 +5BP1zDPPmKhRo4YqKSlhTaqGW7duqXnz5qlnn332oXYLFy6kMNXw119/mYca6l379u2Nbu+++646 +ffq0+vPPPynQEzhz5ozRLT8/3+jWpEkTdfny5URfRupYSK5evaqaN2+unn76aTVo0CB1/PhxEydO +nFC///47a9RjQOvt7t27avTo0eYtWlpa+lC7iooK83sSGTzgNm3aZOocorCw0Og2YsQI1axZM/Pi +IJG5ePGiaty4sdFtyJAhRrdz5849rJMJvGdTw0Jy9OhR8xaYMGGC6Z6ym+UetDpq1qypunTponbv +3v3I7z766CPVv39/ivQY5syZo1577TW1aNEiU+8wpgTQGtm2bRtfro9h//79RrcvvvjC6BY6Bnfj +xg3Vpk0btWDBgkRdTvAtJKhMeGuOHz9e3b59u8rv0Urp0aOH+u6771i7QkBlevvtt1W/fv3U559/ +XuUBB1auXGmCVAWaoefw/fffUwwPrF+/XmVmZhr97t+/X+X3P//8sxk2OXjwYKIuKdgWEjzt27Zt +a7pajwNC4vdr165lDbOcPHnStOA++OCDh10E4p6JEyeq1q1bq7lz51IMDxQVFZlW2rhx44J0WcG2 +kGAMBP154p4tW7ao7t27q/fee8+8NYk3YHFAS6S6FhyGTGbOnJmMgfRAgp5Uq1at1PDhw4N2acG1 +kOzbt49T9VEwY8YM9c4771AIj+BhNXv2bNWgQQP1008/VXs8hlHQnT106BDF02DICC3gABJMCwne +kq+//roaPHgwa48HMFsK7X777TeK4ZHNmzebBxwGzd0A+whmCTEDm+7AKoKXREAtNcGzkGAMCTMz +I0eOZFfAA7jhcnJy1MCBAymGR3BzwqLEWXvvumH899VXX1XLli0L6mUGy0Jy+PBh461Bs/fatWus +RS5By+3NN99UvXr1SuSslRi+/vpr1blzZwrhkR07dqiGDRuqqVOnmlVIASU4FhIsN4JVBEs/YAsh +7oAZGg+43NxcY7gk3sALtUWLFmYmn743b8AMjcnBX3/9NciXGRwLCQZwx44dy5rjERhUP/30U3Xp +0qWo/n758uXGwR/wihp38EAbNWqUsYrMnz+fFckj8F0+ydpVHWjM4AGZAIJhIYGBEAt4Y2Hp0qXG +XZ1OYF0gXPmxAGNm796903J5F8YvlyxZknbljpXVq1er7Oxs48O8c+dOVOfAahsYhhNAMCwkeKq/ +//77MZ2jb9++aTcbu2HDBuNNwjpB4h4Mh0A7rAqJBRjRYTdJcOqgpIMWMO63FCH5FhJkFkHE2l3C +36eTdeLKlStmZgs32t9//51WN1msYJC8UaNGas2aNTGfBxNlixcvThvtMAONh3oKjV8m30KCZi9S +JxFvYPyyQ4cOFMIjf/zxh7p586Y6depUzBNcuNHhEYu0ploqmOQK2LKt6ki+hQSJCGEdId7ATbpz +50624jyybt06YzSnBzM6kPASWYFSiORZSGAkxBpL5Osi3sDYI7xJxDsw/WKyJtbxuHQDLwUkfdi1 +a1eqXXpyLCTwc8G4Coc+FpQTb3zzzTfMkBEFP/zwg8lJSKIDEw4p+JBLjoUES7e6du2adrNSsYLJ +FbRCaPqNDiw9wqxgunkCYwXjjlgVkqK6Jd5CgtkZLIYm3sH4GyYb2IrzDszmXPIWHUhagFUh8GWm +IIm3kCDvVNOmTc0MF3EPzLpYwnX9+nUzQ0jcgSwh2HQmKytL9enTh4J4BPUN9Q4ra1I040piLSRo +7jrpgLjTkTcwA41UQJHSmJPHA5sHDNN5eXlRL31LZ5AYtGXLlqnsQU2shQRZQ7GEiHgHpl908/Fm +Jd6A1YYPuOjAuDkShKZw7yGxFhIspcEaU+INrBXEsje/3qaoxNj0BgPMkkB5MINPH2Z0wHCOGVW/ +mDJlihowYIDfxQj2RjbkAUgDj1awX94uDMgPGzZMXGsH5UG5OOEQHViuNn36dN/Oj60eCwp8f+Qk +zkKCCQe05PwE3blvv/1WVEpqLAAPcNZVIhDcP7iPsM+KABJnIUFaljFjxvhaGrwV0O2SNPuIJj12 +3iLeQCsOLwi/dyyDrQfdfWldYtS5BKVC8hv/LSR44GCdJZaF+J25AOeXZPSEaToeGVrSEezDi70H +YB/xE7R6sGgdiUslgHFfZLdBlhshmZL9t5Bgr4aMjAwmJ4yCLl26cMeyKG9U3KSYeEiE9QEzkFgT +KwEnDRUmu4Tgv4UEb7qtW7dyCVcUwGnOfT29gy4+956NDvS8sJ5c0CSUvxYSDFyistGj5A3kJ4Nl +BGmBiHdKS0vNRBcN597Yvn27yTQizIvpr4UETXgkxPR7XEQiSEzoZid38ihIQYWZQeIdvBxguQnw +9oLR4J+FBMkwWdm8g1YvMj5Eu0FIuvPZZ5/5PotPUgr/LCRFRUWqXbt2Zm9G4h7MRCNzLTKwEvdg +LAk+ScxGE+/g5YqxOIFdfH8sJBAMXVRkzmB6bvcgMwsyPqAVJ8nQnAhwc2Ihvp8OfalgtzdsEYiX +K7KNCMMfCwkEQ1JM4g0Mljdp0oRpqDyCFwI2pUErmGnNvYNEou3btzetYIEvV38sJNjooqSkhLXH +IzBMo8uQjhs9x8KxY8dU8+bNWeeiBGt7BScxiK+FBG+Bfv36MXNtFMDbNWTIkKR9PnyMsPuk4owu +nPmFhYXisqikAytXrjSmdywa8In4W0i+/PLLtNpsN14gI8PkyZOT9vlYIQC7T6q1hpArDrYHeuK8 +g5fD6NGjk5r+DOt+sSTOxyGa+FlIYGCdPXt20lc2oKsMCwbHtdIDPJSxmDzZhvMff/zROApSjYED +B5rd3wQTPwsJBn7feOMNVVxcnNQSofmLdM1Y3J4qoDUCIybxBvLsQbsggNZkz549U0Y7zKIi9Vka +TNTEx0ICwWB9QOsp2WmO0G1JNesKxiTy8/OlV7a4M2nSJJMBJAjfNRIBpFK2GLR8sRAfLVDhxMdC +smnTJrPJCvcD9QZuTuQ7w4A5xsSIe/Aiw9AIWuz0YnoDDRE0SGC5QQ9MOPGxkKDJiwcdxuWIeyor +K1Xr1q3Nzu7EG9gQCSnhiXfQTcU+qrAspQGJ3ciGVGXBggXcgyAKMPa7Zs0aChEFsGvMmTMnXYzT +sVtIkFwPb1S/00xLA2OYSIjJLQZJIkGvYfz48elU5NgtJBi4xP4NadC3jytIZ4MJhyAlxcSkDTLH +IMlpUMHgPszmTGAQHdgUqU+fPoFaVYNJEGxi7VNyhdgsJMhcG8QdfTA2iHGHoI45lJeXm4wZQQMz +hNnZ2Wb1RZDp2LGj8WQSb8BTGMShkQMHDphleT7ZgWKzkOTl5alu3boFTrSysjIz2xvUzLpYiN+0 +adNAjomgpRTkDUywyQq6+EHckQ3fJ2Ysg7r6Ijc317TiggaWg6Jl6ZNu0VlIMP6G7imm75GmJYg3 +KmwZQUwbgwdIRUWFac1J2jrRb2AT2bFjh3rllVcCYwAOB61LvLwwax408BBBnUvDrQi8W0iQBLNZ +s2aqZs2aZmaQeANrBWF/IN7ASpb69eubMUMfF3PHBB4iGM8M2ssLLaU07uK7t5Bs3LhRNW7c2Lyp +Ro4caTaeZWob96BbD/2wUUgyF0S7YdSoUWaZUlDARENmZqaaN28eK5IHYM5HncPQDYaWgtoCBs7m +TT7YgiJbSLABDWZMkfjSie7du5u1eRArFTI+oFuIzWBCy4Do0aOH5wmJCRMmmGwJ6AKH6oEWBX4e +/hnhgS/P0S+IEw7h4I2PBwrGvnDNoWXx0npHZhVsLOOAl2N1WkWKTp06mew2qQBacxj3Ci8DXhxu +QGMCx4e/ZJBn0KtueLGivvfq1SuwrV8HDC1Bo86dOz9SBvR6oun+wwdo95h51EKib+YC3NDIa4Y3 +J7LUOpEqlcwBM4UDBgx4pAwIlAvrRFFON4GNUbA+EhX3ww8/fHgetGjhc8PPwz8jPLKyslIuKwom +b0LL6wRaom61g0UG3ST8e+zYsWbPj+q0ihSpNCyC1lObNm2qlAFlxwY71WmGBxKOR7ILDG04P8fN +7lW3oUOHplSdA+ghhpYBs654Hrmtc04g0/G0adNwykctJLVr1y6oVauWaXlIBuVDOd1EnTp1zA1f +UFBgEoKGgpsYP5fIrFmzVIcOHaqkw16xYoVr7WA8hZ8N/65bt65p5aQr6AXUq1evWs2cxgQmpxo2 +bPjw50G39fgFJpxycnJc1zknlixZ4pziUQvJ/fv3CzBzilaQZFA+lNNNaE3M36CLHm6twHmkJmtE +WSNl1XCSCrgJZ+F8qI7pDDSoTrPQ+oQZ0Ug/Tze83K/hdU/5tZENIYQEBH82siGEkIDALCSEENHE +fyMbQggJEPHbyIYQQgJI/DayIYSQABKfjWwIISSg0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggR +DS0khBDR0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR0EJCCBENLSSEENHQQkIIEQ0t +JIQQ0dBCQggRDS0khBDR0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR0EJCCBENLSSE +ENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR +0EJCCBENLSSEENHQQkIIEQ0tJIQQ0dBCQggRDS0khBDR0EJCCBENLSSEENHQQkIIEQ0tJIQQ0VSx +kEykJoQQQRxSYRaSqTou6SjVcVfHPvVgCva0jhM6btqf4Xfwn5zXcVnHQR33dOzXcV3HGR3HdNzW +sVc9GPw7ouOcjqs6Dtjj8d9rOs7a39+xx+Pvjuoot+fbb4/H51yxn1tmj8f13NJx3F7njZBrRDnQ +XL1oC+uUCeU4aSO0TIfssRVhGtyw5z5uP8s5vuwJGpQ/RoOzETS4arUJ1+CY1TJcg8shGtyNoEGl +Cw0q46DBnRANroSUab/9Xsvt9xhJg2shZfKiwd0QDS7Yehj6vaKenoqgwSUb4RqcilC3D9lzX46g +wZkQDfZGoYFTtyNpcMVqUBaigfO9Ohrsi4MGpS40OByiwUH7s/D7O1yD8Pt7f9j9fTvC/R1Jg/NP +0CDS/X3hMff3KXvePaEPufk6Juuorx4M2NXUUayjt44cHWt11FAPpmWb6Bil4ysdde3F1NaxWMf/ +dLylY6OO53Rs1dFSx1AdhTpesMfXsZ85WEcrexyO36SjnY5BOhbp+Jc9/j86ZukYoSNTxw57Pevw +tNbRV8cyHbXs9dfTMU3HeB2NdOzW8byOVTq66uhm//28/R2OQWt2iv1bnOMf9pw4d7b9LEeDTHst +M+y14Rr/aa8Z155ly+Jo0MqWtdCW3dGg0GoTrkGW1XKRPa+jwQyrfaa9DkeDbPtdFdvrLrHf5RRb +roYhGqy05YcOq0M0aGz1gm4v23NAz6VWA+i83n4m9G9mNcD38pK9RnxfC60G+B43h2jQxmqA7/3f +9njUh7k6htl6ss0ev9HWo/62XtW211PX1rsxth46Gqy19bSn1QD1d4/VAPW6wGqwx/4OGvTQ0cVq +gHPsshqM0zE9gga5OjpaDXCN260Gw3XM1vGiLRPKtkBHno62OrbY47fY/8+3v3c0eNH+PTRoYc+L +4zfYz4MGRSF1+7/2+qBBhr1uXP8aWx5osDxEgwa2/AX2344Gy+2xOfZvnbqdYc/9lf2sEqt/kb2W +t+y1PWe/rxb22udG0CDffu+OBputBnm2njgavGQ1GG41dTRYbzXItd+Bo8HLtp6Os9/Zbnv9q229 +xne7Hc+2/wOgjNPikX6hVwAAAABJRU5ErkJggk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image021.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -36101,96 +37202,96 @@ GO3/tP/bbc4i6qX+T/0fcTrZD4r6P/V/+81aNMXU/6n/o00mOwJR/6f+b8d5i6SZ+j/1f6SpZE8Y 6v/U/+05c1FUU/9PY47++w1+zF6eEmSNjgAAAABJRU5ErkJggk== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image022.png Content-Transfer-Encoding: base64 Content-Type: image/png iVBORw0KGgoAAAANSUhEUgAAASYAAACkCAYAAAA6y4O9AAAAAXNSR0ICQMB9xQAAAAlwSFlzAAAS -dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABHLSURBVHja -7Z2Hd5VFGsbds/8Nx+323lhXBVHBtYG4KrYVG6JrQwREugg2BINIC0HUQFSCoBCkh4TeoqBA6E0F -UVBn32eYy7lG0HALd757f79znnMxJrfMN/f9Zp55551TnnvuuVMQQigm0QgIoXgDk3OunakbQggV -UB2bBqYqBwBQWLaZOqQHpkm0CQAUmO9N9emBqYI2AYACs9x0GoEJAGJit2kSgQkAYmKzqQeBCQBi -Yq2pDYEJAGJiv6mWwAQAMSHz+3QCEwDEhMzviQQmAIgJzG8AiA6Z360JTAAQEzK/FxOYACAmML8B -IDowvwEgOhpNvQhMABATa0ytCEwAEBOY3wAQHZjfABAdMr8rCEwAEBMyv3sTmAAgJmR+X0lgAoCY -wPwGgOhYYTqDwAQAMbHLVE5gAoCYkPndh8AEADEh8/sKAhMAxATmNwBEh8zvMwlMABATMr/HEZgA -ICa2mPoRmAAgJjC/ASA6ZH7XEZgAICZkfp9FYAKAmNhpGktgAoCYwPwGgOhYbbqcwAQAMYH5DQDR -IfP7bAITAMQE5jcARIfM7wEEJgCICZnf/yy6wPTzzz+7w4cPu59++olLDJA8DrhiNL+/++47t2DB -Ardp0yYuMUDyKE7ze/v27e6ZZ55xH3/8sR89AWTD/v373YYNG/yNjlH4SUHm9+iiC0xff/21q6io -cGvWrOESQ9Zs3brVjR071k2YMMF9//33NMhJaHLToKI1vzWlkwAy5dChQ66hocGNGTPGVVZWeu8S -8o7M75ZFFZjSje/q6mpXW1vLdA4yZvPmzW769Olu4cKF/ianvkV/yjvFZ37v3bvXzZ07161fv971 -6NHDT+nwBSBTli1b5l566SU/Ylq9erVbsWKFO3jwIA2TX4rP/F63bp03vhWctDKnYTh3OMiUXbt2 -ufr6ejdr1iz3xhtveO3evZuGyS8yv0cVVWCSUSmTUiMmgFyhadyMGTP8tO7bb7+lQfL8NTYNLurM -72+++cZ3JEZNAImh+MzvdOQtTZs2zc2cOdP9+OOPXG6AZCDzu76oA5OMy+HDh/tlXwBIBCtN5xRt -YNL0benSpW7lypWszEHW6OYm71KJuwcOHKBB8scO00iqCwA0A+0oKC8vd8OGDfP5TZA3it/8FjK/ -9+3bx6gJskILKVVVVT43TmkEkDdWmS4t+sAk8/vDDz/EAIeskDXwww8/+E292jPHjS5vFLf5nWLU -qFHutdde43JDTpDHNGfOHLdjxw4aIz/I/D636AOTOpIS45TBy3YCaC4KPEuWLHEbN248+jONlDSV -e/XVV30pFMhP07tiMr9lTn7xxRd+qN0U3eGUOsB0DppLXV2de/HFF30uXApt4tXG8Pfff98tXrzY -e5eQc7aZhhRNYFq+fLkbOnToMbejfPbZZ66srIx9TtBsVq1a5UaPHu33XTZl0aJFfuvT2rVraag8 -NL3pkqIJTJqq9evXz33++ee/+n/KQdHu8HHjxvkVFYxL+D00utbU/1jJudo3p8Rd3Qwh5xSX+a0p -nPJLjucjyS+45557/A5xjEvIBpXXUfWKTz/9lJym3FMa5neKbdu2eePy0Ucf/YWhCZAJ8qBUYkfe -Jf0pp2jUUFZSmd8aliunSXc7/CbIBlkGffv2dV26dHHz58+nQXKHzO+XS25LivY5Ka9J1QkBsuHL -L7907733nqupqWF3Qe6Q+X1xyQUmHe+k4bdWViguD9ny1Vdf+eA0b948qljkhtLI/G6KkuQaGxvd -5MmT/UoeQDYoPUUbez/55BMCU26Q+X1eSVYX0FJw0+Q5gEzQCp08Jh2wygpdTpD5PaIkA5MqDmib -ikTqAGSLdh0or0kJvmxVyRqZ36+WdD0m1dcZOXKkL2kBkCkyvt9++213xx13+H10Sk2BjJH5fVHJ -BiaVsNA2lbvvvttNmjTJr9bpZwAnikqiaEqn8+fuvPNO36/27NlDw2RGaZrfKeQz6binESNGuHvv -vdcNGDDAr65wPj1kioKRdhZ06tTJBylK8GaEzO/zS760robdGn63atXK3X777e6DDz5gdQWy6k+D -Bw92DzzwgH9UoUL60wmx3fQGNb9DZ3rzzTd9Jq861NSpU+lMkDHKbZIRfv3117u77rrLffTRR4zE -m48C0+sEpjS0QtenTx/34IMP+hU7ZfYqT0WP1N6BE0F9Rgsr2pv58MMP+5ud6oVp1U7bWehPx6W0 -ze/joVwUBSf5To888ogPUo8//rhfwZOHIN8gXapqoCOkKUIHTdEoqaGhwQ0cONDdd999vi+pT+nf -6k9aDU7vQ0L9SP9dwiP20ja/fwsNxXv27OmH4u3bt3cdO3Z0Dz30kA9YqvmUrt69e7shQ4b4LQkc -RQ7HQsFJfee2225zt9xyi5f6k6yDVB/SNiltl1KypvqeEjZLFJnfFx4NTOPHj6945513nD2esLQC -oV37athiYd26db4g2OzZs/0mzbfeesvddNNN7uqrr3bXXXfdUV1zzTXuhhtucE899ZTPZcmk/dB4 -P+1RO6dQoC9E8qtuLrox6brrMVdoGqdKqrNmzfLPrdVg3fCuvfZa16ZNG9+H5Es9/fTTvo9pCqjC -hqVw7fU5VS1U7XP48OFfekxt27atuPXWW127du1OWGpc5W+osVNf5JS0KqEypfncMLtlyxa3YsWK -vC7PqkzKxIkT/akrY8eOPapUoz7//PM+cKktMmnDUpdWReXFaM9ZdXW1e+yxx/yCRHpfUmG2fO9v -VGDSF0QjGdWKzxe6iVdWVvqbuvqR+pUWXjSyUp/SaErByr6XRX/t9Rlbt27tq34cPHjwl4HJLkSF -hpNNpyrN1QsvvOCHp5r6dOjQwUv/vvnmm1337t19x5Lpp6JauT6tRCMbzeMLuVdJR5FrSqcAlWkb -lrrUT3SDU9qG9Unvx2hUkepTN954ox9J6CakRQlJIxFtMcplYFIZZuW06fFkjtSmTJlytI64Ruza -z3ks+6DYpCCs741Gk4cOHfrlVM5GGxVNjd0TkWppq0Jk586d/Z1PnSolFdPSz1TaVkNVjaK0vyhX -hrHubBqtKWGyUKhjycCUcZlNO5aydNRWr169fIDSF1SnkWjhQTe89P6kvqTRhXT//ff7ShFq91xl -7mt0L+P6ZJfF0ftP+ZR6TBnjpXDtU4a/fe7cm99aAk0tiaZLhl5qqqM7ou56GmFp2peL1QetbigX -iS0lyUZfRo16N23adPS6plI2Un1JJW0VrDSC0mgqtTDx7LPP+pNLIPGc3HQBDU0ViOQh9O/f369M -dO3a1Wdd64gcEhqhueh0EvlAGimr72gKLY9KW0G0JUTeDYebJpbCJVjKa9LG2dQoStM/GZuMeCAT -tHqm1R2tammVVL6mPCLZC5A4Cr8lRaMoLcPLK9AqjIIT5W4hU7RyquDUrVs372tS1z2RFH4TrzwF -Dbl15pvygOQVqBY3iYqQKTJSlf+k5Xcd480BAcm7hC6WzG8FooULF/pRk4xxTjiFbNFiiKZ36leQ -KOLaK6c7mwxNjZqefPJJn/nLjmzIFPUd5cg88cQTJzUfCbImvtK6ymvSHiEFJyWbsVIHmaIpnSpE -aOOscqOUdgCJIM7DCJRopQRMpRZQnhSyRcFJSZhKUdHWJTyn6In3+CYl1mlDrDJ/ZWTSmSBTtMqr -Qm3adaCcOVJSoifesiea0mljo6r/ade5loEBMu7pNq2TNaBNwQSm6In3iHCNkLQNQRsYtfta0zuA -bNA+RlkEClA60QSiReb3y1EXilNwUuUA5aNQihSypba21u9kZwQeNTK/y6KvYCmfSfufGhsbuWSQ -MfKatNNAIybVB+NopWiJ1/xOR6tz6kxKmKOuNmSKkniVfqJtKoMGDTpa96jp7yiAaTcCfa1gJKPm -t1botOSrVTqVVAHIBo2ahg8ffsy8JhnjCxYs8Ps3tdEcCoLM70sScRiBRk06PFBDcIBM0YhIXqW8 -JtW3V2G6dBSYVJZH1VBVkRQKgszvIYkITCocpnKqx9qiojugNgGnjr8B+D1UJkWFCrVKl45Wg1Wo -Tv0Jg7xgJMP8TiGzUp1G9Z3Tqw+ocLvucMV0QgvkD/UdlWBWiZ1C1oiH46Kh6rmJCUwqqaptBXpM -NyZT5jjpBNBcNDKSwa2bHfsxoyNZB15qNWXYsGF+SpcemDSCUlBiFQVOBKWfaEFFIyeIChnJlyYm -MGl1Tt5A06kcQCZo1U3HlemgVoiK5JjfALlGNzrVaaIueHTI/B6ZqMAkX0ArdDqTjlETZIv8JRnh -hTiKHI6LzO9zEhWYlBrQu3fvnJ1HB6WNVuV0LLUqWUA0JMv8FvKYlLWrlTlOU4Fs0WipvLzcVVVV -0RjxoDrILRM3laMKIeQKJexqD+bOnTtpjHjYahqcOPNbIyUd8aSSKABQdOguMSpxgUkZ3t27d3eT -J0/2m3p1x2NaB5kir1IjJk3rVGNe+U2czlNQVpjOTlxg0jKvajhrQ+8rr7ziysrKqEgIGaOApPPn -Xn/9dZ/TpNymjRs30jCFQ+Z3XeICk/wlldrVo0xLScEKIBOUxzRt2jRfkFBnGU6YMMGPwqFgJM/8 -TqEcJgWk6upqP7VjOwpkiqZy2tKkm536kaZ1HFhQUGR+D0pkYFKJE9VurqysxF+CrFEfamhocPX1 -9dzkCo/M79GJDEzqPDqgQAmXJFpCtqj2kjb06lQeDlktOMk0v1PoLqcAxdYUyBaVP1EKiqpXUnCw -8JfDJdH8Bsg16fWZuNEVHJnf/yQwAUBMbDENIDABQEzI/B5LYAKAmEi2+Q0ARcl+h/kNAJEh8/ty -AhMAxITM734EJgCICcxvAIgOmd9nEZgAICYwvwEgOtaYriAwAUBMYH4DQHToBNJxBCYAiAmZ32cS -mAAgJmR+LyYwAUBMYH4DQHQ0mvoQmAAgJmR+lxOYACAmZH6fQWACgJjA/AaA6JD5fSWBCQBiQuZ3 -bwITAMTEblMFgQkAYgLzGwCiA/MbAKJD5ncrAhMAxITM714EJgCICcxvAIiO5abTCUwAEBOY3wAQ -HWtNrQlMABATmN8AEB0yvycSmAAgJjC/ASA6ZH7XEpgAICZkfrchMAFATGw29SAwAUBMyPyeRGAC -gJiQ+X0agQkAYgLzGwCi41fmdyVtAgAFZpOpuwLSH0wXml4yNZg2mOab9phmhsd5pi9N60y1pp3h -/+0zfeaOpJGvNC01bTPVhP83y7TdVG9aHV50jmlv+HsZXQtNn5vWmxakva5+Z65poztSPKrOtCM8 -p557tmmrOzInXR7+PTvtdXeEv1kTnmNu2uvuCa+1Prz2wvBeUq87J7zX1eG9p79uTfiMS8Nnbgxt -sC/8/c7QRutCm81r0pbzQxs3hN/b1aQtNx+nLWtCWy4xrQq/NyftdfU8i45zDfeG9/GVO3JHWtzk -GqrdtrgjZU2XhbasaWZb7g5t+UXQgiZt+VvXsCa81rLw2luaXMOd4b2uDe/9t67horTX3RfaZnNo -qyWh7WqOcw03N7mGu8K1OVnfh31pbbkogd+H9GvYmPa6M9OuYXO/D9/quRWY/hg+yPWm502vmFqG -J2gR/vAy02umnuH3Zpj+FF7wLFO56WFTJ3dk5PWP0Ah/NX1o6mj6n2mU6fzQ+KeGD9Xa1N/0ounK -cGFbhMa5yDTC1M10s2ma6c/huU83TTL9N2hS+Fld+J1p4W+eCc9xUXjOFuE1rgyv2T+8h5rwnhaF -96j3+qSpg+mj8Fn03KeFz9gpfOby0AaLQ5vMCG3UM7TZZaENW4Q2bRnaWG3d1vRJeF39/TmmcaYu -pjtMU0x/D6/7N1OV6T+mrqYxpnPDxTw1XOhrTC+YhpouDx2qReh0l5iGmZ4z/ds0Pe0anmGaaOps -utf0bvicet2/mKaa2pueMpWZLgjt1CJ0wqtMA4OuCj9rEX7ngvA3T4fnmBqeM9WW74bX7Bzewxnh -Pekafmy60fSsabjp4vBZUtfwX+GG2tfUJnxZTg1tcm5oo66hzapCG9aFNp0S2rhLaPNzwuueGq5J -2zx/Hx43jTadl3YNZ4XP0Td8rqR8H9433W160DTBdGba92F66G/N/T7UaQb3f7BnsUEorFCqAAAA -AElFTkSuQmCC +dAAAEnQB3mYfeAAAABl0RVh0U29mdHdhcmUATWljcm9zb2Z0IE9mZmljZX/tNXEAABHXSURBVHja +7Z2Jc1TFFsZ99f4aCt+qiKKW21MLC8QFn+KKiBuKiIhKgRgjYkRERBBQRBADGJBFFgkgEEAIMQk7 +AiEqBhICIVFBELd+/Wt6UkNelGQWpu/M96v6imfeZCbTt++5fb8+59zzXnzxxfMkSZJCkgZBkqRw +A5Mx5r9WeZIkSRlU75aBabERQojMUm91X3xg+lhjIoTIMD9ZbY4PTEUaEyFEhtludYECkxAiJBqt +5iswCSFC4oBVngKTECIk9lj1UGASQoTEcatyBSYhREhgfl+owCSECImjVh8rMAkhQgLzO1+BSQgR +EjK/hRDBIfNbCBEcmN+dFJiEECGB+T1HgUkIERIyv4UQwYH53V2BSQgREpjfFQpMQoiQ2GFkfgsh +AgPzu0iBSQgREgetRigwCSFCYrdVNwUmIURIyPwWQgQH5vdFCkxCiJCQ+S2ECA7M75EKTEKIkMD8 +vkGBSQgREpjflQpMQoiQkPkthAiOBqvZCkxCiJDA/C5QYBJChATmd1cFJiFESMj8FkIEB+Z3ZwUm +IURIYH7PVGASQoRErdUoBSYhREjI/BZCBIfMbyFEcGB+X6zAJIQICczvQgUmIURIyPwWQgTHl1bX +Z11g+v33380vv/xifvvtNx1iIaJHdprfJ06cMJs2bTI1NTU6xEJED8zvS7IuMNXX15vnn3/erFix +wq2ehEiG48ePm6+++spd6LQKPydkp/n9/fffmzlz5pjdu3frEIukqaurMx9++KGZPXu2+emnnzQg +6Qfze3TWmt/c0iEhEuXnn382VVVVLjAtXLjQeZci7WB+X5dVgSne+C4uLjbl5eW6nRMJc+DAAbNy +5UpTVlbmLnLMLc2ntPOjyTbzu7Gx0WzYsMFUV1eb/Px8U1RUJF9AJMy2bdvMm2++6VZMu3btMtu3 +bzcnT57UwKSX7DO/9+7da4YNG+aCE1e5ffv26QonEqahocFs2bLFlJSUmMmTJzsdPXpUA5NejljN +yKrAhFHJKoldFCFSBbdxq1atMp999pnbpRPpPY2txmR15vcPP/xgjh07plWTENEB8/varA1MMQN8 +zZo15tdff9XhFiIaZJ/5HQ+BCePy3Xffddu+QohIkJ2Z3zG4fWNXhd0U7cyJZOHihndJ4q58prSC ++T1d3QWEaANUFJD9zc4c+U0ibWB+j836wIT53dTUpFWTSHoeLV682JU7kUYg0kZ2m98xML+XLFki +A1wkBdbAqVOnzI8//uhq5nShSxuY35uzPjBNnz7dTJw4UYdbpIQvv/zSfP755+bw4cMajPSw06pL +1gcmzErqnTZv3qxyAtFmCDxkfX/77bfNP2OlRAIvF7qvv/5ag5Smobd6P2sCE+YkJSit7ZhwhSN1 +QMtv0VYqKyvNG2+8YZYvX978M4p4KyoqXKcB/v3uu+80UKknu8xvCizHjRvXajnK+vXrzdSpU1Xn +JNoMt2wU71J32ZIvvvjC7dDt2bNHA5WGobe6JmsCE7dqr732mls1tYQcFCZaYWGhOXLkiFZO4qyw +WcKtW2s9mKibI3GXi6FIOdllfnMLR37JH/lI+AWPPPKIeeedd2RciqQg/YS+8qtXr1ZOU+rB/L40 +ZxIs6QU+d+5cM3jw4DMMTSESAQ+KFjtjx47VfEot2WV+twVu6T799FN3tZPfJJKBZoSjRo0ygwYN +MqWlpRqQ1HHIalzOlaSQIPf222+7OjohkuGbb74x8+fPN2vXrnU7dPIuU8Iuq6tzLjBxS8fuHTsr +6jogkmX//v0uOG3cuFHzKTXkRuZ3SygrqK2tdbko7OQJkQykp1DYy06dAlNKyC3zOx62gkme46GY +QiRDbIeOuaQdupSA+T01JwMTleL0b6ZUhVs7IZKBqgPymrAIVKqSNJjf43O6HxPZu2SE0xtciETB ++J4xY4Z54IEHzIQJE8yhQ4c0KImD+X1VzgYmvCaC0sMPP+zym0jQ5GdCtBdaohCcKGHp27evyp+S +IzfN7xj4TFzZ3nvvPZcRTjnLvHnz1IFAJAx+E7d0Dz74oAtSpKaIdoP5fVnOt9bFY6KNRbdu3Uyf +Pn1cUzntrohE4WJHNvgTTzzhNlgoW9F8aheY31PU89ucDk4sv8nkZUKRHa7JJBKFEpXx48eb2267 +zTz00ENm6dKlWom3HQy6txWY4qDzwKuvvmoGDBjgtn/ZYaH0gAxflulCtBXmzLRp01xt5sCBA93F +js4X5D1VVVVpPv0xuW1+/xHkohCcHn30UTehWEE9++yzZtasWaaxsdGZ5PEi9QAvQT3FRUvYTCEY +jRkzxvTr18/NJeYUc4sdYXaD4+cQMI/47xxesee2+f1nsBQfMWKEW4rfc8895r777jNPPvmkeeWV +V1zQitfLL7/sumPSUEy1UqI1CE7Mld69e5u77rrLiflUUFDQPIfwpbAUKG3Jz88/o3NmjoH5fXlz +YCosLCzi0TQ0U2uvyOHANM6m/A2W25QZ0P1y3bp17qEGvXr1MjfeeKO59dZbm3XTTTeZO+64wwwd +OtS9ZubMmQmNYa4Lj6+kpKR5/An0meibxdY/t2EU5lIHlyqwBPhOvC9zit1gLng333yzm0O33367 +S9CklUqPHj3M008/7cYlV+bTBx984M4zu2Ik4/md5sBkT7Kie++91/Ts2bPdYnDJ35gyZYp7cwY/ +Jh6fxNNwW+sEmCqofduxY0dat2fJSyFwE3ziB5SJQ2DmqkfgYiwSGcNcF7ui3OZwMSguLjbPPPOM +O3nj5xI7XOmubyQwETjYCKFXfLpgdUS9JmkFzCPmFbd6rKKYU6ykCFZc/LL92PMdu3fv7nbHT548 +SWCa1ByY7IEoImKTz5OIGFCWpyxT7777bncLxL+crC+88IK7GrIK4SqU6h0KyktGjx6d0Volgu9b +b71lRo4cmfAY5rrsPHTZ0/fff7/730899ZThYhmbT5yo9D+ipS0rEMRtEp5MKgMTbZgXLFjgnrBz +LldqPFAz1kd879697vaOAJXtx52LAOcNF59Tp05hfl/ZHJhOnDhRZOVWHYkotqIg6jOhmEAxsSzl +akiWNUtVrnzUF6VqFcUSmdVaXV1dxgITEyuZ8ct1MXaclKw88/Ly3InJiuK5555zcyd+PnEB7N+/ +v9Njjz3mXkdwokd3Koj1+z7XGxoY3syjXJ1P/vun3vwm2LAdyj16vKi+JiLeeeed7paPQBWLkKnY +fWB3g6Wxco+iDSfjwYMHm1e+BBtW2KRtxOYSt3LskrKSwqPBUCZQDR8+3O10iciD+X3FOduV4wqI +/4SHwPKNWz5yPOgmyYpHQUW0FW7l8IHwgJg7WBB4VJSC8LAJVlBKaIwsZ3pM5hymC+ALUJfGqgkP +6vHHH3cBS0W0IhFI72C1RLY1O1yszJlfDQ0NGpzokbnAFINVFLsRZFqzC0NwSufunchu8DkJTmy2 +4EWpr3skObe3cq2Bp4DJuHXrVpcHRIAqKytrNgCFaC8YxpQWkRdTXl6upNfoEU7mN4GIgMSqCWNc +TzgVyUKyL2VEzCsRKc5MFzAZLknhyoahydbwkCFDXGp+qrZ/Re7B3CE/hnQD8pJEZMi8x9QS8kbo +w832L8lm2qkTiUJeDIm3zKWXXnpJvbijw5klKSaQIl6qrckSJ7WAan4hkoHgxK4vKSrkSMlzCp4z +i3hNQN0FSJjEvKQsgEJOTSaRKOzy0guJ9snkzCklJXjCbXvCLR2FjXT/U2N3kfRMt7d1WAMUBSsw +BU9Y5nc8rJAobaGAkeprbu+ESAbSCOh08cknn8giCJvwW+tiWOIRVFRUuMfjCJEM5DVxsVNgCppo +PIwAn4n6J4xLIRIFr4lKg0WLFrkWNXq0UrBE4/FN5DYxmWhpor7aIhlIP6FM5fXXX2/ue9TaaygA +1lzLGNHo+c0OHblN7NJR/CtEMrBqoncXLVRagjFOix6ebqK5ljGi85QUcppo+M8SXIhEiT3KG8+S +poYtO1QSmCgk56kmO3fu1IBlBszv8ZEITDU1Na6nd2slKlwBt2zZ4nZdhGgLNJ/jiTfs0sXDbjBN +6phPMsgzBub31Mg8vgmzkgBFV8P47gM0bsczoIOlEGeDuUOBL0FJGypBEg3zOwbLb4oyKysrzzAm +Y+Y4bX2FaAusjDC4yY9TPWZwROuBl+ymkDbAvX98iQqTC99AuyiiPbBaokkhD8YQQYGRfHVkAhO7 +c3gDLW/lhEgEdt3YUFm6dKkGIywwv8fpEeEiJ+FCx66c6jCDI1rmN+AL0HieWzetmkSy4C+RuKuN +k6DA/L40UoGJ1IBUPo9O5DakBvBYajpZiGCIlvkNeExk7fLQQz1NRSQLq6WPPvrILFmyRIMRDvRB +viZyt3K1tbVqHCdSAgm75DTxVBURDNE0v1kp8eSL6upqHUIhsg/M7/cjF5gwKvPy8lzDL4ITVzzd +1olEwaukfTMrcXboyG/S03kyCuZ3l8gFJrZ5ly1b5gp6eeoqrXebmpp0OEVC4DNR1jRp0iSX00Ru +E16myBjRM78Bf4lsb/7FtEQEKyESoaGhwaxYscIsXLjQlJaWmqKiIrcKFxkjeuZ3DHKYaC5fXFzs +bu1UjiIShVs58uK42DGPCFR6YEFGqbMaG8nARIsTejdzlZO/JJKF4ESOXMsCcZER2CKdHsnAxORh +ElVVVSnRUiQNpjcdUgsKCtSHKfPssLoksrVyBCek0hSRLPT64gkqdK9Uw8HMHw4TRfNbiFQT689E +gNKFLuNgfl+rwCSECAnM7zEKTEKIkMD8nqHAJIQIiWib30KIrATzu1KBSQgREpjf1ykwCSFCotZq +tAKTECIkZH4LIYJD5rcQIjiOG5nfQojAwPy+XoFJCBESMr+FEMHRYFWowCSECAnM74sVmIQQISHz +WwgRHDK/hRDBgfk9SoFJCBESmN8zFZiEECGB+d1ZgUkIERIyv4UQwbHbqqsCkxAiJA5aFSgwCSFC +AvN7tgKTECIkZH4LIYJD5rcQIjgwv29QYBJChATm90gFJiFESBy1KlJgEkKEBOb3RQpMQoiQwPyu +UGASQoQE5nc3BSYhREhgfo9QYBJChITMbyFEcGB+d1JgEkKEhMxvIURw7LHqrsAkhAgJmd9CiODA +/J6jwCSECIntRua3ECIwML/LFZiEECHxf+b3Qo2JECLDHLDKJyD9xepKq7FWe62+ttpg1Wi1yv+7 +wf+caPaF1RGr1VbfWa31b0Zi1BarOqsS//+tsaq3qrTaZfWt1XqrJv/7GF2brPZZVVuV+p+t8q/5 +3Gq/Of3Y4Aqrw3Gfy2fw1M5tXrVxn7vav7bC/+5+/15N/r2P+s+q9p+9yf9stX/Nev+37vJ/e73/ +LrHPrfPfdYf/7mvjPveIH6M9ZxlLxrqsxViuixvLzVaH4j53jf9vfr7Tqsa/PjaWDf79eN+vrDbG +jWWj//7f/MkxZDeE+/utrYxlfdxYxh/D+LHc59XyGMbGMnYM61s5hlv9Zx9sMZYcw3Jzun4qdgwb +4z53o/+uVf4YNsQdw3V+jHaeZSxjx3Bdi2NYdg7Oh5oW50OD/x5V/ntF6Xz4o2PY3vPhGO9NYPqr +H8SeViOsJlj9x/9CB3/wr7GaSCTzr/vM6nw/aTpbzbIaaNXXnF55/dsPwj+sllrdazXEaprVZf4P +6Oi/QHerUVZvWHX1g9DBD84VVlOshln1slpu9Tf/3hdazbXq5zXX/6zCv2a5/51h/j2u8O/ZwX9G +V/+Zo/zfsNb/TWX+b5zm/+a7rT7134X3vsBqgf+uA/137+zH4nw/Nj39WE30Y7fRf+4GP7YT/Fjf +4g9QR//7l1gVWg2y6mO1yOpf/nP/abXYqrfVYKsZVl38Qe/oJ8pNViOt3rK6zk++Dn7SXWU12SrP +6jarlXHHsJM5fSvf3+oRq3n+e/K5f7daZnWX1VCrqVaX+3Hq4E/oG6xe97rB/6yDf83l/neG+vdY +5t8zNpbz/Gf2939DJ/83cQxXWN1uNdzqXX8BLY07htdbvWlVYNXDnyQd/Zh08WM02I/ZYj+GFX5M +F/kxHuTH/BL/uR39MbklzefDs1YfWF0adwxL/Pco8N8rSufDQ1YDrGZbXRR3Pqz0862t50MFd3D/ +A+onsGMGB9Y9AAAAAElFTkSuQmCC -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image023.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg @@ -36326,170 +37427,130 @@ 16ZRRRRRRRRRRRRRRRRRRRRRRRTJIYpShkjRyjbl3KDtPqPQ0+iiiiiiiiiiiiiiiiiiiiiiiiii iiiiiiiiiiiiiiv/2S== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image024.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg -/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAgGBgcGBQgHBwcJCQgKDBQNDAsLDBkSEw8UHRofHh0a -HBwgJC4nICIsIxwcKDcpLDAxNDQ0Hyc5PTgyPC4zNDL/wAALCADwAYUBAREA/8QAHwAAAQUBAQEB +/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAoHBwkHBgoJCAkLCwoMDxkQDw4ODx4WFxIZJCAmJSMg +IyIoLTkwKCo2KyIjMkQyNjs9QEBAJjBGS0U+Sjk/QD3/wAALCADEAT8BAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG -x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APf6KKKKKKKKKKKQjNZG -seILTRZ7KGc5lvJRFGv8zWt9egp1FFFFFFJVTULxrG1e4EJlSMbmwecVk6X4mbWIGmstPmeNTt3E -gc1ZttftZ70WMwktbrtHMMbvoehrZHSiiiiiiikckIxC7iBwPWuC/wCFlI/imTw1Hol5Jqcf3kUj -AHXOc9MVfPj6xstXg0vW7S40u5n/ANS04BST6MK61en9c9adRRRRRRRRRRRRRRRRRRRRRRUc80dv -A80rBY4wWYnsBXlHjSGeW2svE8oKyG6HloT9yH+Hj1PWvVLeUS20Mg5DopH4ip6KKKKKKSuf8aX4 -sfDFyc4aUeWB9ak8KWP2Hw3axlcM6+Yw9zWd8QbZG0EXa/LcQSL5bjgjmtvQL17/AEOzuZPvvGN3 -ua06KKKKKKZLIsMTyyHCIpZj6Ada8X+GV1a6p478SeJL26iQtIYot7gHGcflgCnfE2ZfHGu6NoWg -KbqWCffPcRLlIR7mvYrOFrezhgZtxjjVC3rgYzU9FFFFFFFFFFFFFFFFFMkkSJC8jqijqzHAFNiu -IZ13RSo69MowI/SpaKTNcz4imu9Tu00XTvLZgBLclz8oUHhT9awvHFtrs/hS4W6jsVt4trgRE7hj -04rqPCd39s8J6bPnloQOfUVuUUUE4qhdazp9jcx211dxRTSfcRjyav0Uh7e9cN40c3+t6TpCchn8 -x8emf/rV26qI0VBgBRgCuI8eXbXrWmiWgL3ErhnUdhXX6ZZDT9Mt7Qf8skC/jVumyOsUbO5wqjJN -Y1x4v8P2jQrcarbxtM22MM3LHOMfnW0DkZpaKRjhfSuU+I2sf2H4F1S73hXaPy0+rcVwWj/D+C8+ -CZXyANUnha6Wbo27sM/Sun+D+rQ6r4JhjEaJdWR+zz7VwSR0Jr0EfzpaKKTNZi+ItIfVjpS6hAb8 -HmAN81adLRRRRRRmiiiiisHxlosfiDwnqGnSTSQrJET5kZ5BHP8ASvP/ANn1nPhTUkaR3CXpUFzk -9K9eoqC7+0LbyNbIrzbfkVjgZrB8LaVqen/bJNVET3VzKZGmjbqOy49qu+IrS9v9FubG0ijZ54yh -aRsAZrP8F6TqmhaOum36xMsbEpJG/Y11FFFJnJwDzXlPxFUHxzoeQOq8/wDAq9WzS01twUlRk44F -cb/YeuHxV/bTR2zADasRbkD61uP/AG5OrIi21tkffJ3Gk0rw7Bps73byPc3sn355ev4elbFGcVV1 -LB0y6H/TJv5V8xeNQPtvhc4AP2o5/wC/gr6kiz5SH/YFSUUV5z8TPC3iTxhaQabpv2aKzjfzHaV+ -ZD6YrtNEguINHtra7gjhkhjWMqjAqcDGa4nw74N17wp421W8sDbS6JqEm8wl8Mh9a9HAwMUtFJmk -PX2rwvTlUftHXB2gH5un+6K91Ge9LRRRRRUVxPHbQPNK21EGSaWGRJoVljOUcbgakoooqrqX/IMu -/wDrg/8A6Ca8L+FGl6tq3gu/i0jUTYyR6mzO4/jGK7P/AIQ3xt/0NLf99H/Cj/hDfGv/AENLf99H -/Cj/AIQ3xt/0NLf99H/Cj/hDvGv/AENLf99H/Cj/AIQ3xr/0NLf99H/Cj/hDfG3/AENLf99H/Cj/ -AIQ3xr/0NLf99H/Cj/hDfGv/AENLf99H/Cq2o6P488O6fNq1tq51Ga2XctoRu83tiuv8DaprOs+G -be916z+yXzlg0W3bwDwcVx3xE/5HnQyfVf8A0Kun1bQPEN5qU09prJgt2xsiz92qf/CMeKv+hgP5 -0f8ACL+Kv+hgNJ/wi/in/oYD+dL/AMIv4q/6GA0f8Iv4q/6GA0f8Iv4q6f8ACQED61ialqnjvwzr -+l6bb266pZ3ci+dOULeUN2Dz245r0vUf+QZdD0ib+VfMfjX/AI/fC/8A19/+1BXrHibwZ421bX57 -3R/FDWNjIi+XbgkbcAe1ZP8Awrv4jnn/AITdv++j/hR/wrv4j/8AQ8N+Z/wo/wCFd/Ef/od2/M/4 -Uf8ACu/iR/0O7fmf8KP+Fd/Ej/od2/M/4Uf8K7+I/wD0PDfmf8KP+FdfEj/od3/M/wCFH/Cu/iP/ -ANDu35n/AAo/4V18R/8AoeH/ADP+FQXejfEvwbaSaymtnWXT5BZkFgc8Zx7V6p4ZvL/UPDdhd6ra -/Zb6WIGaHGNrd+K8i0//AJONuPTLY/75Fe60UUUUUlYWtMb61uYliaSFIz8yngtVaLUDH4JSdW2y -hNiY/vZwBTEuLtL6ONrlisFoXufZiOPxqPz759I0yIXMgubqb5XPZPetHRZZ5r7UB5zS20bhEZv7 -w649q07i6+zzQx+VI/mtjco4X61najqmNG1CX7LORGjpgLy3ynkV5t8CJBa+EdUkKM4a5abao5Hb -afevVl1APJCnkSjzY/MBx09jTV1IPHBILeb96+zBH3fc0j6oEhnk+yznypBHhV5b3HtT3vwJ5Y/I -lPlxeZnbw3sPekjvw7Ww+zzAzKWyV+77GkGpDZG/2eX95L5eMdPekfUwkdy/2ac+Q20gLy/uPUU5 -tQAnaL7PL8sPm7scH2+tJFqIc2wFvMPPyeR9z60o1INF5gt5eJfKxjn6/SvMPiHdqfG+lnY4EG0n -I+9zXqH9oBpvLEEpBi80Nt4Pt9aZHqe9bU/ZZh57Ecj7n1obU18qST7PMRHJswB973+lOkvxGbj9 -xK3kKHOB97PYUC+Bmij8ib54/Mzjp7H3piaoGjt3+yzDznKYI5T3NDamFjmf7POfJk2kBfv+49qk -a/Ecs0fkTHyoxJkLw3sPeq15eCSyeIQzAy27PuYfd46H3r5q8cTiO98OExsRFcknH8WHFfS9vqqy -2dhILaYfaQABjlPrTn1RUjnk+yz/ALmTYQF5b3HtUkl+I2nHkTEQoH4X72ewpVv8zRx+RMN8fmbi -vC+31qNdU3RQSfZZgZZCm3HK+5pH1MJHcP8AZpz5D7cAct7j2qR9QVJZYxbyny4hJkDg57D3pUvw -ZbeMQS5lTfkj7v1qNdVDwRyC1mw8nl429Pf6UPqYRLlvs9wRbsFIC8vn0qX7d/pBi8iXiLzN235f -pn1psWorKbb9xMpnBPzL9z614hYXgX9oWaUxSHLsu3Ht1r22TUxH9rJtpm+zAdF+/n0qSO933ccH -kyjcm8ORwPY+9XaKKKQjIIPcVXjsoIrU2yJiM5yPr1qFNIsktVtlhHlK+8L2B9ae+m2khnLQg+eN -sn+0Ky59LY6lE/lFLG1hYJtbkmq0V9d29uiwxJHHsHy7Ocsen5Vbj1aaW8jjyqR7yrbl5AA9aeLq -e5sNREjqRGki4C4yMHBrzv8AZ9/5FfVsjrftn8q9ewPSjA9KWikwKXA9KKKTA9KjmkSGJpGICivG -/HEz3XjDTZDyWI2j8a9Ct764sZNhyVz8yt2+lb1teQ3agxtz/dPWrHbpS4FGKMD0owKKqal/yC7r -/rk38q+Y/Gv/AB++F/8Ar7/9qCvqSP8A1Uf+6KfRRijFGB6UYHpRgUmB6UYFLSd68L0/j9o64/4F -/wCgivdaMUUUUUmKWikxRjjFN8tfQdcjikMMZOSi89eOtQX6KumXZAGTA+Tjr8pryv8AZ9/5FfVv -+v8Ab+Vev0UUUUUUUVz2r3nny+TGfkQ847mvPfGNu1v4v0Pd1kKkD05FesXlhDdr8ww/ZhWFPaXN -jNvGfZ1rQstZVsJcjaf7/Y1rK24AjBB6GnUUUVV1P/kF3X/XJv5V8xeNf+P3wv8A9ff/ALUFfUkf -+qj/AN0U+iiiiiiiiiivCtP/AOTjrj/gX/oIr3WiiiiiiiiiimvIkaF3YKo5JPaq8Oo2txAZopla -IHBcdM0agc6Xd/8AXF//AEE15V+z5/yK+rf9f7fyr1+iiiiiiiqOp3n2W3wv+sbp7VlaVZ/abgyN -ny0Ocn+I1xfxEH/FcaJ9V/D5q9WpGUOpVlBB7Vj3ujnmS3/GM9KpWt9PYybGzt7o39K37a8huk3R -tz3U9anzS0VV1P8A5Bd1/wBcm/lXzF41/wCP3wv/ANff/tQV9SR/6qP/AHRT6KKKKKKKKKK8K0// -AJOOuP8AgX/oIr3Wiiiiiiiiiiql7C81lLFFtLt0DdDXNrba5Kslp9jtrS3lfdJIjcAewro7xdmj -3K5JxAwye/ymvLP2fP8AkV9W/wCv9v5V6/RRRRRRTJJFjRnYgAc1zMryahe/Ln5jgD0FdHbQLbQL -EnRR+deX/ET/AJHnQ/qv/oVerUUVUu7CG6U7hh+zAVgz2lxYSh+R6MvStGy1gOFS5+Uno3rWuCCM -ggg+lLVTUv8AkF3X/XJv5V8x+Nf+P3wv/wBff/tQV9SR/wCqj/3RT6KKKKKKKKKK8K0//k464/4F -/wCgivdaKKKKKKKKKK5fWtEybjUJL65jwdwWNjhR0rJez0yKETJr0srghtgckt7Yrsbw50a4ODzb -t1/3TXlv7Pn/ACK+rf8AX+38q9fooooopKw9YvA7fZ0bgfePvVnSLPyovPdcSN0HpWnXlXxE/wCR -50P6r/6FXq1FFFNdFddrqGX3rGvdG6vbj3Kn+lU7W/nsX2MGKA8q3UVvW15DdLmNhn+6etN1L/kG -XX/XJv5V8x+Nf+P3wv8A9ff/ALUFfUkf+qj/AN0U+iiiiiiiiiivCtP/AOTjrj/gX/oIr3Wiiiii -iiiiiqWpTG30+aVYPPIGPL/vVyccyQXKzx+GCj5++ei+9dbff8gq7PrA5/8AHTXln7Pn/Ir6t/1/ -t/KvX6KKKKKpahd/ZbYkffPCisfTbU3dzufmNTlie5rpBwMelLXlPxE/5HnQ/qv/AKFXq1FFFFFV -Luwhuwdww46OOorBuLS40+UMCQM8Ovf61Yk1hX0y5S54by2wR34r558acXnhYZ/5e8/+RBX1JH/q -o/8AdH8qfRRRRRRRRRRXhWn/APJx1x/wL/0EV7rRRRRRRRRRRVPUPOaxlW3mWGYgbHboDmsJ9O10 -pi61qMQnG/CAHHtW7egLo9yoOQLdsH1+U15Z+z5/yK+rf9f7fyr1+iiiims4RGZjgKMmuYuZn1C8 -G3PJwg9q6GztltbZYx1xyfU1Yoryn4if8jzof1X/ANCr1aiiikJwCa8w0/406ff+MF8OrpVwszXB -gMvmDaCDjPSug8e+PrXwHZ21zdWUt0s7lMRsBtq34U8U23jDwyusQ2zRROWHlOcnivNLX4nWXiTX -JtFstImhkYSLveQEfL1xXn/j2drefQ5+WFvIZAD3wwOP0r6I8OeLYtc8Hx62sBjYQGRoScngf/Wr -mPBXxih8ZeJP7HTR5bVtjP5jShhx7Yqf4h/FVfAWpWto2ltd+fEZN4lC45x0rp4/E4fwL/wkv2c4 -Nr9o8nP6Zrlvh58Vx481a4sBpRtDDF5hcyhs846V6VRRRRRRXhWn/wDJx1x/wL/0EV7rRRRRRRRR -RRXOa1Dp2omUPftBJbYMpVug96yBa6CzqG12eTLAhCx59q669AGkXQHQQMB9Npryz9nz/kV9W/6/ -2/lXr9FFFFYms3v/AC7xnjq5H8qfo9lsX7Q4+Y/dz2rXHSloryn4if8AI86H9V/9Cr1aiiijtXF2 -nws8LWXiAa3DaSi+WUzB/NOAxOScVqeKPBmjeMIIYdYheVIG3RhHK4NWtC8O6f4c0hdL02Mx2ikk -IWzjPXmuci+GnhfQ7i41exsGS8VHYOZCeT14rw3x7ELh/DsBbia4aNj7FwK9ytdBi8P6TDplvGVt -BHsGD1B60nhTwb4W0XVPt2m6f9mv9pXcZCcg9a19f8EeH/FNzHcaxp63MsS7ELMeBnNaQ0SwGh/2 -N5A+weV5PlZ/h9KzdA8C+HvC9291pGnpbzSJsZgf4fSujoooooorwrT/APk464/4F/6CK91ooooo -ooooormL+9Ed7dQx6FJcbiBJKo4eqhuVBQN4ZkRSeXKfd966e+/5BV1/1wbj/gJryv8AZ8/5FfVv -+v8Ab+Vev0UUVWvrpbW3Z8jd/CPWsCytnvrsMxJAO5z/AErp1AUBQMAUtFFeU/ET/kedD+q/+hV6 -tRRRRRRRVXU/+QXdf9cm/lXzF40/4/fC/wD1+f8AtQV9QGFJrZUcZBUVgXthJZSeYuTF2YdVq/p2 -qhwsVwcN2fsa1qWiiiiiiivCtP8A+Tjrj/gX/oIr3WiiiiiiiiiiqWpTTQWErQFVlC/KzdBz3rnV -t9WtALsa7FOeGaJmGG9hW9d3McumXSq6GQ27FgrZx8przH9nz/kV9W/6/wBv5V6/RRSMQqlieAOa -5m+uXvroKv3QcKK3bG0W1twv8R5Y1aoooryn4if8jzof1X/0KvVqKKKKKKKq6n/yC7r/AK5N/Kvm -Lxr/AMfvhf8A6+//AGoK+pI/9VH/ALopWVXBVgCp6g1g6jpbQ5lgBKE5KjqKLHVjDiG4yyZwG9K3 -lIKgqQQemKdRRRRRRXhWn/8AJx1x/wAC/wDQRXutFFFFFFFFFFUNWS2k0udbxyluR85HWuNRPCbO -qAXZJbAGD1ro49Es9L0++ltd/wC8gYncc/wmvPv2ff8AkV9W/wCv9v5V6/RSZrI1m82J9nQ5Zvv4 -7Cm6NZ5/0mQeyA1tUUUUV5T8RP8AkedD+q/+hV6tRRRRRRRVXU/+QXdf9cm/lXzF41/4/fC//X3/ -AO1BX1JH/qo/90U+kP5+1YupaUMGe3HTqlVbDUZLV9koJjPY9Vroo5EljDodwPcU+iiiiivCtP8A -+Tjrj/gX/oIr3Wiiiiiiiiiis/WGC6VOxhM2AMJ6nNYcdxrxKA6JbquevHArob/nSrvp/qHz/wB8 -mvK/2fP+RX1b/r/b+Vev0VXu7hbWBpGPQcCuetoZL+9+bJycsfaunRBGoRRhQMCnUUUUV5T8RP8A -kedD+q/+hV6tRRRRRRRVXU/+QXdf9cm/lXzF41/4/fC//X3/AO1BX1JH/qo/90U+ikxWbqGlrcfv -IsLL/Osm3u59OnKkHGcNGa6O3uY7mPfG31HpU1FFFFeFaf8A8nHXH/Av/QRXutFFFFFFFFFFVNRt -5Lywlgik8t3GAxHSsA+H7232NJrswRSOGPX2rfvxjSrrnP7h/wD0E15X+z5/yK+rf9f7fyr1+kyP -0zXN6ldNd3OxOVU7VHrWzp1oLW3A/jblqt4paKKKK8p+In/I86H9V/8AQq9Woooooooqrqf/ACC7 -r/rk38q+YvGv/H74X/6+/wD2oK+pI/8AVR/7op9FFJiqd7YJdoTgCUdGrDVrjTbnGCp9D0at6yvY -7tMqcP8AxLnpVuiiivCtP/5OOuP+Bf8AoIr3Wiiiiiiiiiiuf1jVNUtZhFZ2BZW4EzHgVQt/D95q -N15mqakXZcOEib5RXSX4A0q6A7QOP/HTXlf7Pv8AyK+rf9f7fyr1+srV70wxeTGf3jDn2FVtHs98 -n2hx8o+7nv71uiloooooryn4if8AI86H9V/9Cr1aiiiiiiiqup/8gu6/65N/KvmLxr/x++F/+vv/ -ANqCvqSP/VR/7op9FFFJUFzaR3UZWReex7iuemt59NmBBIx9xu341s2GpJcqFchZu49av5FLRXhW -n/8AJx1x/wAC/wDQRXutFFFFFFFFFFMxkYPOetU5tNickxs0LN1MZ4NSX/8AyCrv/rg//oJryv8A -Z9/5FbVv+v8Ab+VetXE628LSueBXNxpJqN51+825vYV00caxRhFGFUYpwpaKKKKK8p+In/I86H9V -/wDQq9Woooooooqrqf8AyC7r/rk38q+YvGv/AB++F/8Ar7/9qCvqSP8A1Uf+6KfRRRRRUc0KToUk -UFa5y80+Wyk3oSUJyHH8NaOnaoJdsU5w/RW/vVrUV4Vp/wDycdcf8C/9BFe60UUUUUUUUUUUVW1H -/kF3f/XF/wD0E15T+z7/AMitq3/X+38q7zVb03E5ij+6hwfetTS7P7NBuYfO/Jq/RRRRRRRXlPxE -/wCR50P6r/6FXq1FFFFFFFVdT/5Bd1/1yb+VfMXjX/j98L/9ff8A7UFfUkf+qj/3RT6KKKKKKa6h -0KsMqeorBv8ATGg/ewAtH3HpTtP1Xy8RXDZXOFf09q3QcgEcg968L0//AJONnH+9/wCgivdaKKKK -KKKKKKKKq6j/AMgy7/64v/6Ca8b+CV39m8H6tGn+se/YfQYr03SLPzpfOkHyRnAB7muhoooooooo -ryj4i/8AI86J9V/9Cr1eiiiiiiiqup/8gu6/65N/KvmLxr/x++F/+vv/ANqCvqSP/VR/7op9FFFF -FFFIRx0rF1HSc7poB7slV9P1F7WQRSZMZPOeq15ZpbrL+0VO6NuU7uf+AivdqKKKKKKKKKKY0iop -ZyFA7k8UiTxS58uRHx12sDUV8ry2FzGi5d4mVQO5IOK+Y/CXiu/8CQ6hpl14cvp5HumclVYYxx6V -3EXx3eGJUTwZqQAHv/8AE1J/wvyb/oTtT/X/AOJo/wCF+Tf9Cdqf6/8AxNH/AAvyb/oTtT/X/wCJ -o/4X5N/0J2p/r/8AE0f8L8m/6E7U/wBf/iaP+F+Tf9Cdqf6//E0f8L8m/wChO1P9f/iaP+F+Tf8A -Qnan+v8A8TR/wvyX/oTtT/X/AOJrA1nx23ifVbXVm0e5s2ssHyJc7pMHPHFb/wDwvyb/AKE3U/1/ -+Jo/4X5N/wBCdqf6/wDxNH/C/Jv+hO1P9f8A4mj/AIX5N/0J2p/r/wDE0f8AC/Jv+hO1P9f/AImj -/hfk3/Qnan+v/wATR/wvyb/oTtT/AF/+Jo/4X5N/0J2p/r/8TQfjjLfg2h8JahGJhsLtnC57n5a8 -x8eam0OqaNH9mc/ZJPODdpPmBwK9JX4+3IRR/wAIZqBwB3P/AMTS/wDC/rr/AKEzUPzP/wATR/wv -66/6EzUPzP8A8TR/wv66/wChM1D8z/8AE0f8L+uv+hM1D8z/APE0f8L+uv8AoTNQ/M//ABNH/C/r -r/oTNQ/M/wDxNH/C/rr/AKEvUfzP/wATT1+PsxHPg3UgfTn/AOJpf+F+S/8AQnan+v8A8TR/wvuX -/oTdT/X/AOJqjd/Gc3DCRfBmpJJ3bBwf0ri9O8aPYfENvEx0i4d2J/0Jc7xkfSvQv+F9y5/5E3U/ -1/8AiaP+F+Tf9CdqX6//ABNdV4T+LGj+JLiGwuIZtO1SZyqWc6ncR2Ocd67zfzjFPFFFFFFMllSG -F5ZGCoilmJ7AV86aj4j8SfGDxnLomgXb2GjwZ3OpIyoONzY657Cue8Saf4k+D/iuykt9ZkuEkUSo -dx2uM4Ksvevp/SL0ano9nfqu0XEKyY78iqieJLOTxW3h+KOSS4SDzpJFXKJ7E+tbWB6CjA9BRgeg -owPQUYHoKMD0FGB6CjA9BRgegoKgjGB+VYereEtM1nUrW/ulk862IKbGwD9RW3tHoPypcD0FGB6C -jA9BRgegowPQUYHoKMD0FRzwpPA8Uigo42sPUV5t4z8H+D9MsLPU9VhupDaTgwRxSnfIxYYGO/Ne -jwMslvFIEKBlBCsOVHpUoVcfdH5Uu0f3R+VG0f3R+VG0f3R+VG0f3R+VG0f3R+VJtX+6Pypdo9BR -gegowPQUhUf3V/KuZh8B6ND4ufxMscn9oPnOX+TnjpXTBRnoPypSBjoKyLvRdHGpLr11ZxG9t4zi -425ZVHpXk+qfFq28Q+ONN0bTLqaz0qCffeXWwhpdv8OOoGete2wyJNEskZyjjKn1FPoooorhvi1r -B0X4barMhIkmUW6sOxauJ/Zz0kQ6BqerEfPcTCJD7L1/WuW+P942o+PNO0yLDeVCq4HXczf/AKq+ -h9Dsxp+hWFmM/uYEX9K4TwJu/wCFneNjxt82MDnOOK9MoooooooooooooooooopG6V5j8Wsi98Hl -SR/xN0FemHGefxFOHSlooooooooooooPSqGrX0Wm6Pd3szARQQs7HGcYFeIfAXT/AO2tb8Q+JLuG -OQyv5Y3IMBmO4kCvfEwBgAADpinUUUUh6V5J+0Isx8BQGPPlC6Xfj9Kg+DPinQNN+G6RXWo2tvNb -SSPOkjBW68EDvXBeGref4m/GqXVtrGxt5/OZscBE4UfjivfLzxE9p4vt9IVIzbm3aaaTnchyAqgD -rnNYOi22n+HfGHiTVrnVYHS9IdokBJiCjndXa2eoWuoBmtJRKqNhj6cZ/rV2iiiiiiiiiiiiiiii -isLxfrEmheGbu+hdFuFwsO8cFycAVy3jK0h1238PXN3qUFk1lercMXUkTbRyF9q7CHxDpN1eC1iu -g85fZsAOQdobn8CDWqOlLRRRRRRRRRRRSHpXDfFtdRb4calFpsMk00oCMkYJOw9cAVzHwSt9XtvD -FvbHTpbC2ileS4lnXDXJPQKp6ADvXr6fd6U6iiikPSs7W9FsfEGkz6bqMIltpx8ynt715E37OWjG -43prF0ICfu7QTj0zXqXhfwlpHhDShYaTbiNM5eQ8vIfUmqNz4OWXxYPEP26RboSJsU/dCKCCmPfO -fwqlF4X/ALC0y/s443v/AO0GlUS7MugkJJ3H0BNdFoGkjRNFtbAyGWSNAHkIwXPrWrn86UdKKKKK -KKKKKKKKKKKQ57VkeINAs/EVpHa6g0n2eOUSlFOAxHTP51ht4HSK80i5F5JcLplu0AhnXeJEzkf8 -C4xmrGi6I3/CR3niOVHt/tMaolpIgHl7eC59yK6oHvnNKPelooooooooooopMDOaMD0paKKKKKTF -MkdIY3lkICIpZj7Cuaj+IPh2SGWZbxhHFF5zboyMx527h6jIqw/jHRUSAvcuGmPyR7Dvx/eK9QKk -PijSVt/P+0fuTMIUcDhnzt259c1JceJtLtb6KymuNtxIQPLxnZnOM+nQ1mWnir+1/FsOnaYyyWS2 -xnnlKnn5sAD611Y6dMUtFFFFFFFFFFFFFJnmuXfx5pCT3qv5qQWb+VNcFfkEnZQe5NU7rxebi/0c -2b/Z7SQyz3hmXGIkA5/HNXovHOhyWk1x57rHGQFyhzLkZynqMA/Srdt4o0e/kiW2uvMaWITjCkYQ -nG4+2RVaLx34euILuaG9EsVsyo5RCdzHoF9elO8H63d+ILK7vp1Rbc3LJbBRg7F4yffNdHRRRRRR -RRRRRRRRRRRRRRWN4nF83hq+i06FpbuWIxRqOvzcE/lWDF8PLSexg+1zymbZAJMAABY8HywPQnJN -bH/CLW48TTa2shE0sCwlSoITaMKR+dVG8DWT2d5aGaQQXcvnSJ2EgH319Dnnik/4Qe2+2atcfaZC -2pRCORjyUwMZU9v/AK9TeG/CEXhyeaZLqW4aSKOIb8fIEGOK6QZxzj8KWiiiiiiiiiiiiimEEluO -o6g1zk3gfSp9CbSW83yWuvtZfPzeZu3ZPrzUl/4PsNSllkuWlLS2hs3wQAUJznHY1na18PLbV7Ww -gXULm2+xxPCrRgAsrDB7cVZHgazMNrHJcTH7NaNZxuh2t5ZXBBx19aoy/Da0bQ9O0yG9mtlsZd6y -QqAz8EfN6nmuk8PaJD4d0S30uCRpI4QcM/U5OcmtSiiiiiiiiiiiiiiiv//Z +x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APZqKKKKKKKKKY7Kis7E +BVGST2FZeg67FryXUkC4jhmMSk/xADrWsKWiiiikNY+vazNo4hZYFmEz7FG7BzU095fWsJmks0lR +Rllif5lH0I5qzp99BqFotxbSb427+h9DVqiiiiiqGt30umaRc3sSRubdDIVdtoIAyefWue0fxTrG +r+HRrUOkQeSQzCHzyHZV6kcY7Vs+GvEVp4n0pL+y3hSSjo/DIw6g1r0UUUUUUUUUUUUUUUUUVkat +MtzcxaaJFRZBvnYtjEY7fiePzrG8KlLXxVrtnGV2M6zIFOQAfT8668dKWiiiikNcxqedS8ZWNp1j +tV85x79q6YkBSTjHeuW8FMzPqZT/AFBnJT9f/rV1VLRRRSVxfxT1FrTwe9rDzPfSLboo6nJ5/lj8 +ap2mt3dtBD4P07Tvs2pR2YAe5kAQLjBYY6nnpXReDvDMfhTQ0sVk86VmMk0uMB3PU4rfoooooooo +oooooori/FPjLUfD3inSrBdOjksb51j+0M5BDFsEAewxXZilqpqN/FplnLcz52RjOAMkn0ArL0ew +ttSshf30EU1xcnzGLLnYOy89MDFYsbWul/EUC2VUt5rfY2xcIrenHHau4XpS0VWv5Xt7C4lj+/HG +zKSOMgVkeC9Xutc0IXd6UMpkZflGBgYroKZI6xIXdtqqMkmuT8P3sD6zqd/cv5bSMFQOpHy1p6lf +XF/C1rpMbs8ow07LtRB65PWrujaXFpGnpbQ845Zv7x7mr9ZXiS/m0zRpbm3IEikYyMjrXLeC/F+p +654w1vTb0xG3s1Bi2Jg9e9d6KWkPSvNvFF7Dq/xK0KwLEWlkxlkfadm/qBnp2FXPiLbS6bqGj+J7 +SN2ksphHOI1yWibr0/Gu3s7uG+to7i3bdFIMqanopDXIfEfxJf8AhnRLe60xoxLJOEPmLu4IrqbO +QzWcEjkF3jVjj3FT0UUUVG0iq6oWAZvuj1qSiiivO/ij/wAhnwjn/oJD/wBlrVk1PxmsjhNJsyoY +7T5nUfnTf7U8af8AQHs/+/n/ANekOp+Mz10eyP1f/wCvQNT8ZgYGj2YH/XT/AOvSf2j4y/6Atl/3 +3/8AXp39qeNP+gPZ/wDfz/69H9qeNP8AoD2f/fz/AOvVW/8AGuueHRFLrmiF4p3EUf2VssG9+vFd +bqLbtEuWxjMLHB7cVx/gybUYfB0R0u3jnkNw24O2ABgVr/b/ABT/ANA22/77oN/4pPXTLX/vum/b +PE//AECrT/vunC/8Ujpplt/33R9v8U/9Ay2/77qnc+MtR0fUrCy1bSJGe+lEcclucqnIBLfmK1fG +X/Itz/7y/wA6838FS3sPjDxhJpcKTXqwqYY3OFZt3euj/tr4j4/5F/T/APv7/wDXo/tr4j/9C/p/ +/f7/AOvR/bXxH/6F/T/+/wB/9em/2t8RP+hc03/v5/8AXpx1n4jEYPh/TiP+uv8A9egaz8RlGF8P +acB6CX/69H9tfEf/AKF/T/8Av9/9ej+2viP/ANC/p+P+uv8A9erejeM9YTXrXRfEWjNBeXWWSW3O +6NVwev4iqXxn/wCRXtf+vpf5Gu803/kGWv8A1yX+QqzRRRTJJFiRndgqqMknsKwryV11TT7mRSoa +QoCW42kccUt9f3AuL0QTFVTZFGB/z0NNmvrlY78rOSUZIY8Y4c9fwq7pks8l1dB5TJFHtVWI6tj5 +se1W5rl4nkVbeRwqbgR/EfSvPviXK0uu+E0aF4wt8HDN0J+U7fr2rv3u3QyAW0rbCuMfxZ9PpStd +OC2LeQ7XCfUetH2qTIH2aXBl8snjp/e+lILmRiubaUbnKEnHAHf6GlS6dgn+jSruLZz2x/jSLdyM +EP2WUbkLc9iO31pPtcmAfssvMXmEe/8Ad+tI1yxU7rSRtqB+cHJ9PrUGr3TLpN8fIkwsBP1yP6Vz +vw7ujH4YKLE8jLOc7cZwcc/hXVm6kBYfZZeJAnbkf3vpS/anJx9nlx5mzPt/e+lILlyUH2eQbmZS +T/DjufrSJdSN5ebaVd4YnP8ADjt+NC3cjBCbaVdyFj0+Ujt9aDcMQGNpISI/MAIHB/u/WsfxbKz+ +H7lTFIoARtx789K4P4a3LL8S/EC+RIRKqgsOiYPevVEu5H8vNrKu9iDnHy47/jQl1I6qTbSruViQ +ccY7fjQLuTGfssufL3446/3frQ15IAx+yzHEYfjHX+79aHu5FEh+yynagYYxznsPpStdOm//AEeU +7WCjH8We/wCFDXb5YC2lO2QJn1H976UC6kLAfZpeZNnOOn976ULcMzITayAlymSBkAd/oa8/+MM7 +SeFrImF03XPQ9sA13Gn3cn9nWYFtLg2wb6EDp+NWobh5JEVoJEDJuJbsf7p96s0UU10WRSrAFT2N +NeGOTbvRW29MjpR5MfPyLycnjvVW605Ll4j8qoknmOoX7596qC1v1ICvtXP3VbgfNn+X86cqagyy +794dkwuH4DZP/wBauO+JQZdS8Hb87jqIJDc8/LXpFFFFFFFJWF4ju82FxAh6RncfwrnPA9tN/wAI +2LiLtK3TqOldbaaqDhLjg/3v8a01IYAggg9xS0UUVg+M/wDkW5/95f51wvwy/wCSj+J/9xP516uK +Wiiiiiikrzz40f8AIr2n/X0v8jXd6d/yDbX/AK5L/IVZooooooopKWvO/ij/AMhnwh/2Eh/7LXol +FFFFFFVr25FtAWH3zwo96xWt/O029nlBKiJvxOKqfDX/AJFT385v6V0F3psc+WT5H9uhqgk1zp0m +xs7fQ9D9K1ba7juVyhw3dT1FWKKKwfGf/Itz/wC8v864T4Zf8lH8Tf7i/wA69YFLRRRRRRSV558a +P+RXtP8Ar6X+Rru9O/5Blr/1yX+QqzRRRRRRRVW/d47ORkLgjugyQPUCs3TtTkuZYIIRPJs3GaSV +NoI5x+PSuX+KP/IZ8If9hIf+y16JRRRRRTWIUEngDrWJK76jehV+7nA9h3q/qEaw6JcogwqwsB+V +YHw1/wCRUH/XZ/6V1lMliSZNsihhWTc6fLbN5luzFQc8dRUtpqucJc8HpuH9a1FIYAqQQe4pawfG +f/Itz/7y/wA64T4Zf8lH8Tf7i/zr1gUtFFFFFFJXnnxo/wCRXtP+vpf5Gu707/kGWv8A1yX+QqzR +RRRRRRWLq0d7G0lymoGCFSAF2AgdsmoLCeQajAraut2rZ/dqB6dTiuc+KP8AyGfCH/YSH/steiUU +UUUlZmq3eB5EZ+Y/ex/Kp9OtfIh3sPnf9KXVf+QTd/8AXFv5Vz3w1/5FUf8AXZ/6V1tFJVK702Of +LJ8j+3Q1nxzXGmybGBKf3T0/Cta2vIrlfkPzf3T1rJ8Z/wDItz/7y/zrhPhl/wAlH8Tf7i/zr1gU +tFFFFFFJXnnxo/5Fe0/6+l/ka7vTv+QZa/8AXJf5CrNFFFFFFFVb/wA37I/2eOOSQ4AWT7p571la +dHc218gltbGCOXILQn5icVzXxR/5DHhD/sJD/wBlr0SiiiioLu4FtCznr0H1rL063N1OZpeVByc9 +zW1VXVf+QTd/9cW/lXO/DX/kVR/12f8ApXW0UUVHLEkybZFDD3rJudOkt28y3JIHPHUVleI9Qabw +9NDMDvLKAw+tcr8Mjn4j+J/9xf516wKWiiiiiikrzz40f8ivaf8AX0v8jXd6d/yDLX/rkv8AIVZo +oooooorK1u5t47cQ3UM0kcpA/dg+vqKoaV/Zq6jF9jsrgOc/PIDhfzrn/ij/AMhnwh/2Eh/7LXol +FFFITgZPAFYdzK1/eBI/u5wv07mtmCFYIlRBwKkqpqv/ACCbv/ri38q534a/8iqP+uz/ANK62iiu +M+JF/wCJLDTrN/C8crztKRKI4w+Fx6H3qS4vPES/DVbmOOY675AJURAvvz/d6dKb4DvfEV54dupP +EcciXwkYRh4wpxt4wPrXF6c/i27g1GTxRFcRwRqpiMkQQE7vb2rJ8KXOoWPxVuhYl/LaQi6CjI2d +s/jXYeM9R8YSeILP/hGBcmx2L5wijVgDu5yTz0rX+IbeJ10ez/4Rfz/tZk/e+UFJ27e+fepLlvEf +/CswYhN/b5gGQAN+/dz7dKf8O28Qtocp8U+d9s847PNCg7MD09811tFFFJXnnxo/5Fe0/wCvpf5G +u707/kGWv/XJf5CrNFFFFFFFY99cPHNOn9o28JIXy0cDKHuajsJ53vkWTVYLlcHMSKATxXL/ABR/ +5DPhD/sJD/2WvRKKKKzdVu9i+Sh+ZvvY7CnaXaeTF5rj536ewq/S1U1X/kE3f/XFv5Vzvw1/5FUf +9dn/AKV1tFFFFFYPjP8A5Fuf/eX+dcD8N4km+InidZFBBRf5139xbS6fKJI2OzPBH9a0bK+S5G04 +WQdV9at0tFFFFJXnnxo/5Fe0/wCvpf5Gu707/kGWv/XJf5CrNFFFFFFFZupW1usUk/2OKec4ADjr +26/jVPT4Z7e9jN1p1pFuyFkg6rx39q5j4oENq/hDByP7S6j/AIDXotFFQ3M628LO3boPWsqyga9u +mll5UHJ9/atqloqpqv8AyCbv/ri38q534a/8iqP+uz/0rraKKKKKwfGf/Itz/wC8v864T4Zf8lH8 +T/7i/wA69XZQ6lWAIPUGse809rY+bb52jnA6rVmy1ISYimO2ToD2NaApaKKKSvPPjR/yK9p/19L/ +ACNd3p3/ACDLX/rkv8hVmiiiiiiiqGsCA6bILrzPKyMiP7x54ArCtLDTry4WFbfUYyQcNISAKwfi +Pbraah4NhjJKpqIAJOT/AA16VRSE1iXs7Xt2IouVBwPrWvbwLbwrGvbqfU1LRRVTVf8AkE3f/XFv +5Vzvw1/5FUf9dn/pXW0UUUUVg+M/+Rbn/wB5f51wnwy/5KP4m/3F/nXrFBrKv9Nx+9txz3QUWOol +SIrg+wY/yNaoOeR0paKKSvPPjR/yK9p/19L/ACNd3p3/ACDLX/rkv8hVmiiiiiiiqGru0WnSOsix +kEfOwzt561naXcF75F/tpbvg/ugmM8VzfxR/5DHhD/sJD/2WvRKKz9Uu/JjMaH526+wpul2nlp5z +j5m+77Voilooqpqv/IJu/wDri38q534a/wDIqj/rs/8ASutooooorB8Z/wDItz/7y/zrhPhl/wAl +H8Tf7i/zr1gUtJVG905Z8vH8snp2aqdpfSWjmKcHYDjnqtbKOrqGU5B6GnUUleefGj/kV7T/AK+l +/ka7vTv+QZa/9cl/kKs0UUUUUUVSv7qyhhK30kYTrtfv+FZ+nX8F3qCfYtO2QgHM5Tb+Vcx8Uf8A +kM+EP+wkP/Za9EqKeZYImkc8Csi2ia/uzJJnaDuP+FbYGAB2paKKKqar/wAgm7/64t/Kud+Gv/Iq +j/rs/wDSutooooorB8Z/8i3P/vL/ADrhPhl/yUfxN/uL/OvWBS0UhGarXlkl0pP3X7NWZFPPp05j +dSV9PX6VsQTpcRh42ypqWkrzz40f8ivaf9fS/wAjXd6d/wAgy1/65L/IVZoooooooqlc6Za3cyyy +wqZV6P3FOiSeGTadjRMSSQMEcelcN8Uf+Qx4Q/7CQ/8AZa9DzWNqE7XVwIYuVBwMdzWna2620IRe +vc+9T0UUUVU1X/kE3f8A1xb+Vc78Nf8AkVR/12f+ldbRRRRRWD4z/wCRbn/3l/nXCfDL/ko/ib/c +X+desCloopKiubZLmMq4+h9KxyLjTJ+OVPT0ata1u47pNynBHVfSp688+NH/ACK9p/19L/I13enf +8gy1/wCuS/yFWaKKKKKKKKTFeefFH/kM+EP+wkP/AGWu21K7+zxBEP7x+B7VDpNrgee45P3a06Wi +iiiqmq/8gm7/AOuLfyrnfhr/AMiqP+uz/wBK62iiiiisHxn/AMi3P/vL/OuE+GX/ACUfxN/uL/Ov +WBS0UUUUyWJJYyjqCprGuLaXT5RJETt7N6fWtCyv0uhtPyyAcj1+lcP8Z/8AkV7T/r6X+Rru9O/5 +Blr/ANcl/kKs0UUUUUUUUV5z8VHEereEnbouo5/9BrqY431G+Zn+5nn2A6CtxQFAAGAKWiiiiiqe +rf8AIJu/+uLfyrnfhp/yKg/67P8A0rrqKKKKKwfGf/Itz/7y/wA64T4Zf8lH8Tf7i/zr1iiiiiii +kZQykEAg9QayLzTmtz5tvkqOcDqtcN8Vr43Pha0SQfOLkcjoeK9J07/kG2v/AFyX+QqzRRRRRRRX +MeK/H2j+EWSK+laS6kGVt4RufHv6fjVXwr8S9G8WXjWdsZbe7AJEMwwX+hp/jvwfc+LbewNlfC0u +bKbzY3Zcjt+vArDi8GePogQnjGEZOT+5/wDrVJ/wiXxA/wChyh/78f8A1qP+ES+IH/Q5Q/8Afj/6 +1H/CJfED/ocof+/H/wBaj/hEviB/0OUP/fj/AOtR/wAIl8QP+hyh/wC/H/1qP+ES+IH/AEOUP/fj +/wCtR/wiXxA/6HKH/vx/9ao5/Cfj1YJPM8WxzJtO6IQcuO46d6p6N4W8ZS2AbTdb/siDcf8ARpYc +nPdvxrQ/4RL4gf8AQ5Q/9+P/AK1H/CJfED/ocof+/H/1qP8AhEviB/0OUP8A34/+tR/wiXxA/wCh +yh/78f8A1qP+ES+IH/Q5Q/8Afj/61H/CJfED/ocof+/H/wBaorjwx4yggZ9T19dTth962jhwW9/w +rmPD/g7xmfFWryWd/LpbHG65liO2YZ4A47V1H/CH/EH/AKHSP/vz/wDWpf8AhDviD/0Okf8A35/+ +tR/wh3xB/wCh0j/78/8A1qP+EO+IP/Q6R/8Afn/61H/CHfEH/odI/wDvz/8AWo/4Q74g/wDQ6J/3 +5/8ArU5fCPxBH/M5xH6w/wD1qX/hEviB/wBDlD/34/8ArUf8Il8QP+hyh/78f/Wrm/F/gzxglhG9 +7qZ1lTKMQwwkFT/eNdBb+EvH/wBniC+L4oxtGEMHKjHTpUn/AAiXxA/6HKH/AL8f/Wrf8Kt4nhmu +LPxEsEsUChYbuM8znuSO1bGn6vaaldXkFrL5r2biOYgfKGxnGe59av0UUVXvrtLGxuLqQgJDGzkn +2Ga8g+EtiPFPibWPEuqxi4cPiLzBuCsx7Z9AMVUv4Yj+0Jbx6dGseyZDIEGBnZlv0r0z4gajPpfh +G6ltnKSOyQ7wcFQzAEj3wa37KIQWUEQZ3CRqu5zljx1J9anoooooopKWiiiiiimmuT0m8nv/AIja +1FLLIItPgijijVsId43EketdYKdRRRRSUtJRijFB6VyPxP1CTS/A17cQ3k1rKMKjRYyxJxj6VU+F +Wh3Gk+E7Wea7lcXimdoWUYVmPXPUkgCu6ooorl/iPJJF8P8AWGi+8bcqfYHrXKfBrUNO03wHdTT3 +MUTx3DvMGcAqABjisr4W20viTx/q/iiRMW6M/llh/Ex4H4L/ADrs/EsreLPCM8VjshkW6XHnSBQw +STG7PocHFdTb39rLsijuYnkwBtVgT0z/ACq2OlLRRRRRRRRRRRRRWZq+rrpktlGYw7XU3l43Y2gK +SW98Y/WsPSoV0/xrr2oXVzbJBeJB5YMo3DauDkduSPzrqoJo54xJDIJEPRlORUtFFFFFFFFFIele +U/G+aZ7XR7DypWt5Z98rRqW6cY4+teiaHKz6XADbNbxKoWGN+H8sAAFh2PtWnRRRUF1aw3trLbXC +CSGVSjoe4PWvN3+BmhPdtIl7epCzZ8kEdPTPpXfaZolnoukpp+mRC3gRSq7euT/ET3NYsXge3gsY +rNLyYwxTrOFkGQxC7SD6g5J9jV/S9IMOrXWpSxCF5VWNYRghQoxuBHcjH5VtZpRS0UUUUUUUUUUU +hrI1jw/bazcW09xJMstscwtG2NrZBz/47j86zbrwp+41GGMmY6nKzPO4XdbgnPGeoBHA+ldDZWy2 +dnFbxk7YlC5xyff61YFLRRRRRRRRRTSit1UHnPNLgUtFFFJVPVb9dM0q6vWAYQRs+CcA47ZrnbPx +bqN3eRWi6fCJndwW807AqqCzA47Mdv1p8Hi6e4tYbz7B5drcSqkbM2XUE43Mo7Zx9M06XxbMn7lL +LddyXbW0Ue7CkgZDFumCMfnUFl4hn17xPa2sOYILfzXmVWOX2/Lz7bs12A6UtFFFFFFFFFFIa5TU +vE2o2kuoSw2aSWlrOlsmchndsZbPQKuaqXOt6ha6ubiWLfKkUVt5aMRFvkJYuT6AAVJH43nbWf7L ++xwtdRrIso83A3qoJ2kjoSQBnmnjxtK9xDHFp5KC2+13TsxXyk54AIyW4p/g/WrnxHqV/fu5W2RI +4o4VYlQSNxPTr0FdbRRRRRRRRRRRRRRRRVPU9Ng1Wya1ut5iZgWCnGcHOPpVkRRrghFBAxwKQQxq +MLGoGc4AoMERxmNODkcdKFgiRiyxorHqQMGngY6UtFFFFFFFFFFJjJzRtGMYpNo9KabeEsWMSbj1 +O0ZNKYYyQTGuQMDjt6URxRxDEaKg9FGKfRRRRRRRRRRRRX//2X== -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image025.png Content-Transfer-Encoding: base64 Content-Type: image/png @@ -36734,207 +37795,147 @@ xm2njqY6rfDV5l3z995clZm8T12yvzKy/2Yl+32LmWc+wc3TyhmZuXRzPWK6m6nHmf1LPJGxj7kG Nw3+97//B/9qrJQ5EIe7AAAAAElFTkSuQmCC -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/image026.jpg Content-Transfer-Encoding: base64 Content-Type: image/jpeg -/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAgGBgcGBQgHBwcJCQgKDBQNDAsLDBkSEw8UHRofHh0a -HBwgJC4nICIsIxwcKDcpLDAxNDQ0Hyc5PTgyPC4zNDL/wAALCADwAcsBAREA/8QAHwAAAQUBAQEB +/9j/4AAQSkZJRgABAQEAeAB4AAD/2wBDAAoHBwkHBgoJCAkLCwoMDxkQDw4ODx4WFxIZJCAmJSMg +IyIoLTkwKCo2KyIjMkQyNjs9QEBAJjBGS0U+Sjk/QD3/wAALCADEAXgBAREA/8QAHwAAAQUBAQEB AQEAAAAAAAAAAAECAwQFBgcICQoL/8QAtRAAAgEDAwIEAwUFBAQAAAF9AQIDAAQRBRIhMUEGE1Fh ByJxFDKBkaEII0KxwRVS0fAkM2JyggkKFhcYGRolJicoKSo0NTY3ODk6Q0RFRkdISUpTVFVWV1hZ WmNkZWZnaGlqc3R1dnd4eXqDhIWGh4iJipKTlJWWl5iZmqKjpKWmp6ipqrKztLW2t7i5usLDxMXG -x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APf6KKKKKKKKKKKOlY3i -DxDbeH7WGW4PzTSrEidySetbAOenT1paKKKKKKKhuZXhhZ0iLlRnA71z+m+Lv7Wmmis9OuHeDiTl -QAatjxJbQ3SWt/FLaSPwpkX5SfrWyGyRyORmnUUUUUUUUUUUUUUUU0k9ueMiuF1b4kpo/iiPw/No -95LfygeSIipVweh6+xq3f+PoNCuoY9f06606KdtqXD4ePPoSvQ11sMqTRLIjq6sMqVPBFSUUUUUU -UUUUUUUUUUUUUUUUUyRlRWZm2qoySegryvxxHJq2gyeI23rHBcKtpHj+AHliPfFekaPcC60iyuMg -mWFTx9Kv0UUUUUUVn63eDT9Gurr+JIzisH4fWZg0FrlseZdSGTPfFaXiyzgvfDl4sqgtGm9W7qR3 -FU/At9NqHhqIzMS8bFN5PJrqKKKKKKKKKKKKKKKO1M6EKSRnpivFdAubbxH8ddT1aa5jW205DHDv -YL8w4GM/U1ofFrVYPEenW/hfRVOoalNcK7LbjcsQU9WPQV6P4a02XSPDun6fNJ5stvAqO/vWtRRR -RRRRRRRRRRRRRRTHkWJWeRgiKMlmOAKbDcRXA3QyJIo6lGBxUtFNBYntg9K5vxNPc3jroWn7Dc3C -75i2cJEOuSO56VleJrLxBN4UvLWSKwW3SHgRFtwA9M8ZrS+Ht4bzwXYMd2UDRnd14NdTRRSE4IHc -9Ko6hrFlpRj+3XMcPmHClj1q4kgdVcEFWAIPrmn0mfTketcb8RLo/wBmWthG2HupguB1xXT6fbCy -0y2tkACpGF/HFc/461P7Pov2KHLXN0diIOuPXFaPhXTDpXh63hkH7wje4x0JrbpM4Jz0AqpbapZ3 -lxJbwXCSSx/eVe1Wg2evGe3enUUUUUUVl6v4i0rQFjbVb2G0WU4jMjY3H2rRikWaJZEIKMMqR3Hr -T6KO3FZHiLUxpPhvUNRYgfZ4GYH1YD/GvLPht4KtNe+HOpXd/bRyXmqPIY5GTlR/Dg/WtH4I3sce -lX+gTxRx3+nTlXIUBnXPUnvzXq6ggj24p1FFISM474zWVeeJdH07UotPvNQghu5seXE7YZs1q59u -9LRRRRRSEgdaMjOPSlooorI8TaOmveHL/TJZnhS4hKmRPvL34ry/9nwFNO8Qw+Y7rFehFLnJ4Fez -Z9j1pain8wRMYUVpByoY4BPvXO+G9K1azv8AUbzVvIkuLpwVdGJ2oOidOla2rQ3U2nTW9pDE7yoy -fvGwBkdawPAmg6t4asJLC+EDxFy6yRuSR7YxXX54PB4o74xRu9jTdxYEjgDuRXmPxgVWXSM84lP8 -xXo9hxp1r3HlJxj2FWc0hGe3Tp71x2s6DrWqa9a34FssNsQUjLnn9K3XbWpAVjgtYWPRzIWx+GOa -r2XhqOK//tK/na+vsYV5Pux/7o7Vu7euTnnI9qN3Xg8UnqOa4DwSB/wlutcDOTgj616AOuOfqaWi -iiiiivD/ANoof8S/w/nBP2lu3sK9i0bA0Sx/690PA/2RV7NJn6/lRn/OK4v4i6F4g8TaC+j6QbaG -OYqZZpZCCQOcAAdK0/BmlXuh+GbLSryGGN7WIR7oWyrH1rmpPBWt6X8TZvE2itaNZXaBbm3lcqSc -YJGB1r0RM7RkbRjpTs/X8qM/5xQTjsaaeTz68V4X8S1B+NPhwlQcGLnv96vdQBnJ+8eadRRRRQSA -MmoLmeO2heeWTZGi5YntToJlniWVCSrjcM+lS5wMmkyOeenWjcPWlqK4wbeXnojfyrwL4VaXqera -H4ig0nUPscy6puaT+8MHiu1/4Qrxn38UEE8Z5oPgjxn/ANDU/wCtA8E+M8/8jQQPUZzQfBHjTt4q -f9aX/hCfGn/Q0n680f8ACE+NMH/iqj+GaQ+CfGnQeKmOO/PNH/CE+NOf+Kpbn68VFc+FvG+mWst7 -D4hNzLbqZBAQx8wjt+NdD8Otc8S69oc9z4l00WF0su2JNhXcuOuD71g/F44XSCTx5p/mK3tQ0PXN -Qe2msNVNrAYEBT3wKqf8Ir4o6f8ACRHp70n/AAinijOD4gxn0zR/winijt4hz9c0f8Ip4o/6GD+d -H/CKeKP+hg/nSjwp4pBz/wAJAP1rD8SzeO/B9lFdaSp1mSeTy3Xyy2xcZBA7V6dYyTzabazXK+XO -8KtKnoxAyPzriPBWf+Es1pgMjn/0Ku/3LnO6lyMgZ5NGQRnNG4evbNG5cZyMUZHr0oyM4zRketeI -/tFf8g/w/wD9fTf0rd1zwd4u1ySwvNE8Sf2fafY40MOD12jJrL/4Vv8AEYFv+K3PXgfN0o/4Vv8A -EbH/ACPDf+PUf8K3+IuT/wAVuT7fNR/wrb4jY/5Hjt6NSf8ACt/iKOB42YgdPvUH4b/Ebv42P/j1 -H/Ct/iNnP/CbEn1+al/4Vv8AEbn/AIrhvb71H/Ct/iNn/kd2wB/tc0x/BnxI0OKTVo/FBvpLRDKt -oQzCYgfdxXf+ANX1zXPDK33iKwNlemVgItpX5B0OD+NeZfEv/ks3h4g/88uvT71e7A+4xS5Gcd6K -KKKQnntWFrBa/t7qFYHlhRDyhHLf/Wqta6gY/BKz72EixmNSDzu6Coo7m7jv7eN7qTZBZ+Zcg9iR -/OmC5v20OwUXEv2q7n+Q5+YJ9fpWjo8076pqKmaSe1iIRWY5y3fFad1dLbPCvkSyeY235F4H1qpd -amI7W9JtZz5AKEBPv8dV9RXlvwHcQ6f4gk2sfMvGkwByuOx9zXrKal5gtf8ARp1+0AnlfuY9fSmD -Vh9nSQWtwd0vl7SvI9z7Ur6ns+2Yt5z9nPOF+/8A7tOGojzljFvPzB5oJXj/AHfrTI9SWRLV/s9w -PtD7cFMFP972pW1JVieT7NP8koiwF5PPX/dpX1LY90v2ecmBAxIXIf8A3fWnLqO6eCL7NMPOj8zc -V4X2PvUY1ZWgilNrcAPJ5e3byD6kelObUlQXJFtOxtyBgL97/d9a85+LVwryaVB5bKVYuSRxjjj6 -132n6ir2emqsEu2eEfNt4TA6GpTqv+jecbS4A87ywoX5vr9KkfUFWW7jMExMChsgcPn+7S/blZ41 -+zynfF5oJXhcdj70yPVA6Wz/AGWcfaGIxt5THdvSmPq22KaQWlw3lS7Nu3lv9oe1StqAE9xH9mmP -koHyF4b6eppyX4eS1Q28w89S2SvCfX0qFdTDxQyfZrgCSUxhSvK/7R9q4rwtP9k8Ra7P5UkgTPyo -Ms/zDoK7k6gPtHkm3mP7rzQwXge31pseoq/2b/R51FwxxlfuY/velB1NfKaX7Lc/LL5eNnP1+lLJ -qPltdAW07mAA8L97P92lW+3XCQCCYF4/N3lOPp9ajTVd8MEv2W5HnybNpTlMdz7U5tRAW4YWszeR -JtIC/f8Ace1SNqG2WaP7PKTDGJCQvDZ7D1NeK/tCXQl0rw65hkQtMz4Ycjpx9a9V0TVlfw3ps62l -zteOOMIU+boPmPtWi+ohBdE285+zYP3fv/7vrUgvRuA8ib/U+dnb29Pr7UyPUd/2QfZ5x9oJwSv3 -Mf3vSo21cC2km+yXOFl8ort+Y+49qkbUfLkuV+zzsYEDlgn389h705b8NJChhmHmR+ZnbwvsfemJ -qW6K3f7LOvnSbAu3Gz3b2pr6qFhuZfstyfJkCbdvLe49qmN/i6kiNvNlIvM3bflPt9aYmo+Z9l/0 -eYfaBuGV+59fSmnUx5IkNpccTeXt2859fpXinxMuQPjHorCKTMJjBH9/ntXtwvwbhYzbzjdD5u7b -wP8AZ+tNXUw0do5trhftBIwVOU/3vStGiiimHDjB4yOQeuKrxWUEdq1sikRNnIJ5OfeoU0ewS3S3 -8gCJXDqpP8VStp1pIblmiG64G2U56isyfSydTtz5OzT7SI4APJ4qpFqF3bWQEEaKBEWPydWLYH6V -bGq3D3ixIQF8wqSynoBkmp7a8luBeo8ilYdwAC8suOteZfAHm18THnH9od/oa9loooooooopkjLH -GXbhV5ryH4m3LXk1gxb5A52D8RXZ6fd3FjbwDBGYlJRunSuhs9Qhu0+VvnzypOPyq51HSgZ70tFF -FIehrz/wT/yN2tfj/wChV6B3xiloooooorxD9ov/AI8PD/8A18t/SvYtH/5Atj/17p/6CKu0UUUU -UUUUUnPPQ+1eFfEv/ks/h0e8XH/Aq91z2paKKKKKMc5pCMijAPXvRjjFJ5a4AwOKQxRkklBk9Tim -Tqq20xVQPkPQe1eP/AD/AI9vEx7nUP6GvZqKKKKKKKTJzxn0NYusXZY/Zo26csf6V5x8RrZobXSJ -W/jlOPpkV6lDZw3emWokXJ8pcN36Csi606ezfeFLLn769vrVqy1pxhLj5h0Dr2raSRZEDIwYHuKc -OuMg4paKKQ/XqOlef+Cv+Rt1r8f/AEKvQP4uv4UtFFFFFFeIftF/8eHh/wD6+W/kK9i0b/kCWP8A -17p/6CKu0UUUUUUUUU043EevpXhfxL/5LP4d+sX/AKFXuvcfSloooooooooqN5QiGRsKgGSTxioL -fUrW7iaWCZXjVtu8HjNSznNpKf8AYP8AKvH/ANn/AP49fE3/AGEP6GvZqKKKKKKTOMZHWqt/dfZb -ZmzljworCsLVr67DSZZQ25z6+1cv8XVCppG3ACyED25Fej2POm23P/LFeR9BVjbkENyDWTfaRHLm -SDMbddg6GsuK4uNPlIOeOqVu2moxXQ4OH7pnmruTnnFLRSHv9K8/8Ff8jdrX4/8AoVegc56UtFFF -FFFeIftF/wDHh4f/AOvlv5CvYtG/5Alj/wBe6f8AoIq7RRRRRRRRRSc7uMV4V8S+fjP4ez/0y/8A -Qq917jnt0paKKKKKKKKQ/dPOOKq3kJuLN41K7mHyhjwT71zRt9el+0Wy2VnaxTsAzxtwoA5OK6cx -+VpzJndtixn14ryL9n//AI9fE3/YQ/oa9moooooopjPtRmPAAzzXM31w99d/LyoOEXpW9ZWq2lqs -QxuPJPqa87+L33dI4z+9P8xXo1h/yD7Xt+5Tj8BVmkAx7n1qC5s4rpcOuD2YdawbvTp7JjIpLqOj -r1qxY6vswlyCQOj9TW3HKkqBkOVPcU7Ixkc/SkJB569a4DwV/wAjbrX4/wDoVegfxd+lLRRRRRRX -iH7Rf/Hh4f8A+vlv5CvYtG/5Alj/ANe6f+girtFFFFFFFFFN43f4V4X8S/8Aks/h7HJ/df8AoVe6 -9x16UtFFFFFFFFFczrOiCQ3OoyXtxHtBYJG3ygf41jTWekx2m+LxDO7rghd+S3tXa9dMJAwPJ4/K -vI/2f/8Aj18Tf9hD+hr2aiiiiikyMdRz0rG1e8wPs0bf75FJo9mMG5dSc/dB/nW1wD1615h8XQNm -jkZA80/zFej6fj+zrbH/ADxT+QqzRSc59qRsdxkd6y73R0k3SW4Cueq9jWXDPc6dOQcqBxsPQ1t2 -eoRXYwDslHUVeJ+nIrgPBX/I3a1+P/oVd/8AxdfwpaKKKKKK8Q/aL/48PD//AF8t/IV7Fo3/ACBL -H/r3T/0EVdooooooooopOc9QP614V8S/+Sz+HfrF/wChV7r3HPb0paKKKKKKKKD0qnfTG30+aYQG -Yqp/d+vtXIrOsL/aF8JmOTIPmEfKOeuK7OQ7rF2xgtGSR+FeQfs//wDHr4m/7CH9DXs1FFFFIee+ -KrXlytrbmQ9Twq+9YFpbyX938x46s1dOgVUVVGFAwKXuOK8w+LxOzR/+up57dRXo9h/yD7XpnyU/ -kKs0UUUdqr3FrFcriVMnoCOtYN1pk9o+5cug6OOv41YsdXIwlw2VxgPjpXOeByG8Vaw6ksrZw3r8 -1egd+n40tFFFFFFeIftF/wDHh4f/AOvlv5CvYtG/5Alj/wBe6f8AoIq7RRRRRRRRRTcfNx+NeF/E -wZ+M3h7PrF/6FXuvcc9ulLRRRRRRRRSHpzVS/En2KQQSrHMR8rN0B+lYL6Zr3kn7VrMfkkYchMHn -0NdCRt05l3bgIiA3rxXkX7P/APx6+Jv+wh/Q17NRRRRSN908gDuTXM390by72oCQDtUDvW3p9qLS -3VSP3jcsatg5GaD1HP4eteYfF3/V6Rxj96ePxFej2H/IPtRjH7lP5CrNFFFFFJjcuGHXqDWTfaSk -m6SAhXxkqOhrgPC5ng17UFjMiOMhtg965PVPFvxYi1S6isdPma2jlYRHyMkr/Wqn/CY/GP8A6Bk/ -/gLR/wAJj8Y/+gZP/wCAtH/CY/GP/oGT/wDgLR/wmPxj/wCgZP8A+AtH/CY/GP8A6Bk//gLR/wAJ -j8Y++mT/AIWtXtE8V/FWbWrOHUbGZLNpAsrGDGB61D8eJrhtP0XzmY7Z2IDD6V7T4X1FbvQNNBws -n2aMlT9B0rbXpS0UUUUUUUU043dD9a8L+Jf/ACWjw7x3i/8AQq917j6UtFFFFFFFFFc7rNvp2pia -Fr4wzQLukZWwFHuKxBa+H2XnXJ5ARyu885rtWVU05lT7giwv0xXkP7P/APx6+Jv+wh/Q17NRRRSH -OOMZrI1m8KR/Z0OWI+bFR6PZbj9qf7o4UH+dbeOvJ5paTuK8w+LuPL0c5OPNP8xXo+n/APIOtfTy -U5/AVZoooooopp6nnnFcB4K58Xa1k568n/er0DvjFLRUU8ywQvM7BY41LOx6ADrVLSNf0vXoJJ9K -vobuON9jtG33T6VognvS0dq8P/aNUNpugr63LD9BXdWFlPp+j6bzuUW6YcduK6HT9U80eXOwWTPB -9a0+cjJH+NOooooooopv8XYZ/WvC/iXn/hc3h7HrF/6FXuvcdelLRRRRRRRRR2rmL+8Md9cwR6FL -clwBJKi/f9KqG5Khd3hV0ycFio4rqn/48H4AHlnGPpXkP7P/APx6+Jv+wh/Q17NRRSbvve3vUF3c -rbQNIw5A+X3Nc7bxS6hdDJJOcv7D0rp1QRxrGqgADjjin0Uh6jrXmHxezt0fp/rT/MV6PYZ/s+1O -f+WKfyFWaKKKKKKQ559MV5/4Kz/wlutfj/6FXoH8XfpS0Vw/xV8QXnhvwLd31iqNIxEREi5G1uDV -b4ReG9P0jwTa3tqG83UoxNPlsjdz09q9AwASe560tFeIftF/8eHh/wD6+W/kK9f0qNZdBskcZU26 -f+gisu/02S1JmiBaMHnHVan0/VjkR3HI/hetvdkZXkdjnrTqKKKKKKb/ABdK8L+Jf/JZ/Dv1i/8A -Qq917jr0paKKKKKKKKQ9DVPUJJodPmkgOJAvyk9B71zf2bVrVftg8QRSuvzNCXyhHoBXSfao5baR -PMQymIsVU5xxXk/wA/49fEw/6iHXPsa9loopCe/QDr71zWqXbXdwI0GUVsKB3NbOm2YtIOR+8f5m -P9Kuc7eRz7UtFIeo5/D1rzD4u/c0cbcDzT/MV6PYY/s+17fuU4/AVZoooooopD1PHbrXn/gr/kbt -a/H/ANCr0Dv1/ClorzL47D/i2tzk8+cmD+NdJ8Nv+Sc6F/16j+ZrqaKK8Q/aL/48PD//AF8t/IV7 -Fo3/ACBLH/r3T/0EVcIB+UjIPWsTUdJIJlt84PLKP6VXsNSe3xFLloyeSe1dEjrIgZGBU9CKXIwT -6UoOaKKKKb/Fz+FeF/Evn4z+Hv8Atl/6FXuvcdelLRRRRRRRRQelUdSFu+nTLcy7IGU7z7VxePCL -OqBbxjnaODiuns9BsdMjuLi0DjzYjkMxPavNf2fxi08S/wDYQ4/I17LRRWXq955Mfko3zv156Cqm -jWO9xcMAUH3fet7HzZzS0UUh6jivMPi6Rs0cZz+9PP4ivR7D/kH2vf8Acpz+AqzRRRRRRSHqee3S -vP8AwV/yNutfj/6FXoH8XalyMZ7UiurjKsCPY15n8dj/AMW0uCf+eyd/euj+G3/JONCH/TqP5muq -oorxD9ov/jw8P/8AXy38hXsWjf8AIEsf+vdP/QRV2kJA61l6hpfnEzQgLKByB/HWXaXk1hKUOSo4 -2N2ro4J0uIxLE2VIzipRjqO9LRRRTed3X868L+Jf/JZ/D2OT+64/4FXuvcdelLRRRRRRRRR24qhq -xQaTctJEZV2HKevtWClx4gwgOiWhQjlt3IFdNICLGTIx+7PA7cV5B+z/AP8AHt4m/wCwh/Q17NRU -FzMlvA0jj7vQetc3Gsmo3nPVzk9uK6eGIQxrGoAVRgVJRRRSHqOteY/F7OzSM4z5p/mK9GsM/wBn -2vH/ACxT+QqzRRRRRRSHPPpiuA8Ff8jdrX4/+hV3zd+Mcda8r8Xa3PrPxT0vwQl9PZWBj865aFtj -SnaSEB9DWl4v8IS2vh95PDd7e2V4hUbUnZhImeQQT1x3rP8AjTF9m+EphG47GiX5jk/ia6r4agD4 -caFj/n2H8zXVUUV4h+0X/wAeHh//AK+W/kK9i0b/AJAlj/17p/6CKu0UVQv9OjuwXxtlXo3rWHFL -cadcHK4YfeXs1dBZ3cVzGGTCt3U9qtcBuv4UtFFN/i6Z/pXhfxL/AOSz+HfrF/6FXuvcfSlooooo -ooopD0PGaqahbyXVjPBHIYmdMK46iuffw7eRJ5ja/OFyPvcD6V0rnNi+DuxGRn14ryH4Af8AHv4n -yMH+0P6GvZDwOmaAQM8/nXOapd+fceUhPlp09zWppdp9mt97DMj+npV8YDHH40tFFFIeo5rzD4ug -bNHOMfvTx+Ir0ew/5B9r2Hkpx+AqzRRRRRRSHqeO3WvP/BX/ACN2tfj/AOhV35IyeT06V5l8UfAN -14gjg1/QpWh1ywGY9hw0gHOM+vpVr4YfEP8A4S6xk0/UlWHXLP5Z4iMbwON3+NV/jt/yTW4wR/rU -/nXR/DX/AJJxoX/XsMfma6qiivEP2i/+PDw//wBfLfyFexaN/wAgSx/690/9BFXaKKQADoO2KrXl -nFeJscAN2bHIrn5IbnT7jPK/3XHce9bVjqMd2MMdso4I7Ve5z1PH606imn73U/hXhXxLx/wufw6f -eI/+PV7t3HXpS0UUUUUUUUh6HnFYOs6pqtrKIrLTi4bjzy2VH4Vmw6De6pcCTU9RZnADqsTcD2Nd -VKu2ykX0jI/SvIPgB/x7eJxnpqH9DXspOBnIH1rO1a8+zw+WvLPwPas/SLPzpfOf/VoeP9o10IHf -mloooopD1HH4+leYfF37mjndkeaf5ivR7D/kH2pzn9yn8hVmiiiiiikPU89ulef+CufFutfj/wCh -V1HirVW0TwvqOpKQrW8JdSfWl8Pa3Za7oNnqNpOkkc0YYncMqccg+4rzTRbO1vvj9qGo6LEDZ21q -Y7ySPhGmPoR1PTNa3x1/5JncHofNToPeuj+G3/JONC/69R/M11VFFeIftF/8eHh//r5b+Qr2LRv+ -QJY/9e6f+girtFFFFQ3EEdwhSVQVPQ+lc9eWM1jIGyTGDnctaGnaoJlWKdgHzw2eDWtn8PSl7c03 -+I4I968L+JfPxn8Oj3i/9Cr3XuOvSlooooooooo7UwqT1OQe1VJdMik3GMtC57xnFWJV22Ui5JxG -Rk/SvH/gB/x7eJh3Gof0NewzTpDC0j8KB1rmB52pXv8AtMeR2UV08NukMCxL0WpBnuc0tFFFFIeo -615h8Xs7dH4H+tP8xXo9hj7Bbcc+Sn8hVmiiiiiikOefTFef+Cv+Ru1rPv8A+hV29/Y22pWrWl3E -s0D43xt0Yeh9axLjwH4enclLL7MGGHFs5jDD3ArV0vRdP0SyFnplrHawDnbGMZPqfU1wfx2/5Jtc -df8AWp0+tdF8Nf8AknGg/wDXqP5muqoorxD9ov8A48PD/wD18t/IV7Fo3/IEsf8Ar3T/ANBFXaKK -KKQgHr+VI6B02MoKngg1z+o6Y1uS8OSnp/dqTT9V8v8AdXDHb/Cx7fWtzflAy/N9DR/F0z/SvC/i -Z/yWbw9j/pl1/wB6vde469KWiiiiiiiiiiiorj/j2l/3D/KvH/gB/wAevicZP/IRzn8DXomrXvny -+UnKIe3c1f0qzFvCZXHztz+FaVFFFFFFJ3FeYfF3Hl6OMHHmn+Yr0fT/APkHWvHHkp/IVZoooooo -pD1PHbrXn/gr/kbta/H/ANCr0DPOMUtFeZfHbn4aXP8A12T+ddH8Nf8AknGhe9sP5muqoorxD9ov -/jw8P/8AXy38hXsWjf8AIEsf+vdP/QRV2iiiiikxn8KCM5+nQ9KxNQ0kfNNbr1PzKf6VXsdSa2Oy -XJiJwfUV0Eciyoro25TyCK8N+Jf/ACWfw6feL/0Kvde469KWiiiiiiiiiiiorkf6NKf9hv5V4j8E -7r7NpniYJzI9+cD04Nen6VaG5uBK4/dqdwPqa6PGOnYdKWiiiiiikPUcfj6V5h8XT8mj/Nn96efx -Fej2Gf7PtecjyU5/AVZoooooopD357dK8/8ABX/I3a1+P/oVegfxfhS0V5l8dv8Akmtxkf8ALZOP -xro/ht/yTnQv+vUfzNdVRRXiH7Rf/Hh4f/6+W/kK9i0b/kCWP/Xun/oIq7RRRRRRQenTNNI+XHGO -+azdQ0sXAMsJ2yfoayra8lsZGDA7QcOnc15b8RZo5/jD4ddWBB8o/Qbq964yOvSloooooooopD90 -/SmEgck8AZLHoKZFNDKCIpUkxwQrg4omBMMiqDkqR19q+YvDPiXU/A2oazaXPhu+uGnuy+URhtHP -HSu3g+Oc1vCIk8G6kAv+y3+FSf8AC+bn/oTtS/75b/Cg/Hi5P/Mm6kcc/db/AApP+F8XHbwbqXqP -lb/CgfHi47+DNSGP9k9Pyo/4XvccZ8Ganjp91v8ACj/he9wf+ZM1I8c/K3+FH/C+Lg/8yZqXP+ye -v5Uf8L4uM/8AIm6l6j5W/wAKB8eLjd/yJmp4HT5T/hWJ4g8fy+M1t92iXWntZtv/AHwP7zPYZHtW -xF8cri3ijhXwdqTLEoQMFbtx6U8fHi47+DNSGOPunp+VH/C97jv4M1PB4+63+FH/AAve4P8AzJmp -H1+Vv8KP+F8XH/Qmalzz909fyo/4XxcZ/wCRN1L1ztb/AAo/4XxcDkeDNSwPZv8ACj/he9x0Hg3U -/T7rdPyo/wCF73JOD4L1IA8H5W6flVWDx5L4XvZtUXRLq8a//wCWMQO6PvzxVs/He4/6E3U+Rz8r -f4Un/C+Lg/8AMmalz22nr+VH/C97j/oTNS9R8rf4VheMficPGXh+TSbvwpq0MbsGEkanIwfpV3Qf -jDJ4f0Gy0mHwjqrx2sYjV3Vst79K0f8AhfNz/wBCdqX/AHyf8KP+F83P/Qnal/3yf8KP+F83P/Qn -al/3y3+Fef8AxQ+IkvjC00yN9Bu9P+yzM4MwOHzjgcV3Fj8c7m30+3h/4Q/UT5cSruCtg4HUcVP/ -AML3uM8eDNS4/wBlv8KP+F73HT/hDdS6f3W6flR/wvi4wc+DNS6cjaf8KP8AhfFxznwZqX12t1/K -j/hfFx28Gan1/ut/hR/wvi44x4N1Lrx8p/woHx3uO/gzUx/wFun5UD48XP8A0JupdOPlb/Cg/Hm4 -6/8ACG6lx/sn/Cl/4Xzcj/mTtS/75P8AhVS7+NL3Qy3gzUllH3WCsCf0rhNb8XS6p4x0/WjpE9tJ -alWFs6Hc4U84HvXox+PFwDlfBupkHvtPT8qT/hfFxjH/AAhup8c/db/CtjQvjVpN9ceTrNjdaPLI -6rAJkb97k4449a9OHqBkZ705fTJ/GnUUUUh6dcUx5FSJpHYKgXcxJ4AHXmvnTWfE/iL4teNm8O+H -7p7DSoSxZ0cruVTy7EfoK57xZoviT4ReILC5ttdluBMDIjhm2nB5VgTzX03oGqjW/D+n6kq7TdQr -IVx0OOf1qvJ4ls08VReH0hmkupITMzhfkjA6bj61tDaT90fXFO2j0H5UbV/uj8qNq/3R+VG1f7o/ -Kjav90flRtX+6Pyo2r/dH5UbV/uj8qTaufujp1xWLrvhbTfEZt/t6PmBtyBH281rxQxwxpEqjaq4 -X8Kk2r/dH5UbV/uj8qNq/wB0flRtX+6Pyo2r/dH5UbV/uj8qNq/3R+VJtUggAenSsrTvD1hpV9cX -lurCSc5fLE1qlV3fdBPril2r/dH5UbV/uj8qMAdAPyo2g9QPyo2j0H5UbV9B+VG1fQflXD/ErRPD -uoeH11DX45mg04+ZGkDYZmOBtA7k11mkvFPo9lLHC8UbQIVjkXDKMdD71c2r/dH5UbV/uj8qNq/3 -R+VG1f7o/Kjav90flRtX+6Pyo2r/AHR+VG1f7o/Kjav90flRtX+6PypCB0wPbNcxrHgTRda8R2mu -Xccv2y1IMZVsDI5GR3rpwi8fKPypdq+g/KsvU9C0jWpYH1CxhuZLd8xGRc7D14ryv4j/ABcgt5D4 -a0NpVvZZBDPcuhUQrnnb6mvWdFu7a70uB7S5+0wqgUS9dxA5/GtCiiikbGMntXG/FLWP7E+HOrXC -nLSReSnPUtxXnv7OGk+Xpmr6u3/LaVbdTj+6M/1rA/aIvGufFWlabGwcxQE7cchmPFe+eGrEad4W -0uzGQsVqgIJyc4B/nXE+EwT8bPGOTkfZocj0Nem7RuDegxS0UUUUUUUEZGDSY9enpQAB0paKKKKK -KQjIo9OaAMEn1paKKKKKTdzxjHevNfjXj/hDbFwNrDVbfac98mvSl+6O/FLRRRRRRRRRSHpxxQV7 -557e1GOBk9KWmE4+98uBknPFfO/hRU8aftA3upsoe1snd1+XgFflX9RX0RGFVdqoFA7AYFPooopD -z3ryj9oAT/8ACukKA7RdJ5m3oBz/AFqh8E/FGgaZ8O2hur+2tZred3mWR9pwcfNiuD05Jvin8bmv -4kZtPhnEhfHCxJ93P1wPzr37VPET2HivT9LjWE28tvJNcMfvRhcbcD3J/SsPT7bTtC+IXiHX7jWL -Yrd26KbdQd8QQZJb8BXaWGr2Wphms5xMi4+ZRxyMirtFFFFFFFFFFFFFFFFFFFFFFFFFITgZrN1z -UTo+hX2oYUm3hZ1VjwSOgri/F1uvjDwRp8V3qFvp9x9qhnfzB8pZRuKD1OK66LxRo8sqQR3itIwT -ChTn5h8v4VsBs54PFLRRRRRRRRRRRRWTr8tzF4d1JrKJpbv7M5jiB5Jx2rw74L6f4gs5tURNJntb -m6kXz7+ddqxoCSQB3YkmvoVM45OfSnUUUUhGRis/V9Is9c0q403UIFltZ1KyKT29frXkU37OGjG4 -aSLW71IC2QmxSQvpmvS/Cfg7R/BumfYdJg27+ZJW5dz7mq9/4NW/8UR+IBfSx3cRj8oADaFQnKkd -w2f0qtD4bXQItTZYn1FtQlcoNg3p5n3tx9Bn8q2fDWinw/oltp5lMzxj55WHJyen4dPwra3D1FLR -RRRRRRRRRRRRRRRRRRRRRRRSE8HHJrG8R6HH4h0htOmmaOGWRDIV/jUEEj8aw/8AhAm8nSIm1B51 -0uR/KSZAVeNux9SOxq5ZaO114pGvNHJaRwwG0FsyjD4b7/09K6dcjOemeMnrTsj1paKKKKKKKKKK -KZjCkYPrj0oC46E+vTFKvU8Yp1FFFFFRuQqFj8uASSO1czH4/wDDsolP2wr5cLTEMhGUVsEj15qd -vGeii2hmacqJ+UjZDvI4+bb1xU0ninSIrae5+05him8lnx8ok3bcfnS3fiXSbC6htp7gCaYAiMLk -qD0J9AcfpWYfFI1LxZZaTpTRzWzJJJdyFTjaOBtP14rrgMAD0paKKKKKKKKKKKKKKKKKKKKKKawz -jjpWDL4t0SPU7mwe5xJbf8fB2HbGcZ+ZugrNvfGAuBpTaLtk+2XhgfzRjaijcx/KtFPGOhP53l3q -MsRHIz82cgbfU8HipLTxTpF81usFwXa4DmNNpy207W/I1H/wl2hubpTeL5doN0rLyuQcYz3OSOKj -8M+ILjX9S1dhGq2FtMsNuSuGY7csT+PFdLRRRRRRRRRRRRRRRRRRRSHoecVleIDff8I5ff2bE0l4 -8LLEg7seK5q1+HtrNo1vFezzef5EMT4GAqKQxT6Ejk1syeFrJvEi60kjCYW622wgFdq5Ixnoeagl -8FWMkGowGeby9Qw06DGNwGAyj+E55yO9Ingy0TWby/F1M8l5aJayiQAlQowCp7Hnmjw54Nh8OXYu -Y7ye5ZbVbVBKAAiA5zx3NdUOlFFFFFFFFFFFFFFFFFFFFFFFIexxnFcndeB7K80TWNNluZsancGe -eYcMDwQPpxU914PtrqW1lknkj8i3ltwsYAGHGC31qp/wgdr/AGVpdpHdvH/Zzl4pY0XLEgjJ9+am -svBVnZW+lxC6nkXTc+TJwG+bqSR1zVWP4eWcXh+LSIruVIoroXSSBRuJBJwx7jJrd8P6HF4ftJLW -K4eYyzvO7yY3MWOTWxRRRRRRRRRRRRRRRX//2X== +x8jJytLT1NXW19jZ2uHi4+Tl5ufo6erx8vP09fb3+Pn6/9oACAEBAAA/APZqKKKKKKKKKQsB16Dq +TWPofiCLW7i/WEfu7WXy1Y/xDHX862aKKKKKKydf1eXRrMXKxJKu4KV3YOTTvtmpLarcGyjcFQxj +ST5h37ip9N1SDVLfzbdjwdrIR8yHuCKu0UUUUUUUUUUUUmfSuQn8Xaivjb/hHLext5ZfL83zjMQq +r7jHWrMXi17bxLFoWr2v2a5uF3wSxvvjlHpzgg/hXTUtFFFFFFFFFFFFFFFFJWRrFx5skWnRyLG9 +xlpWJxsiHX8T0rE8P+VZePNXtISgiliSVFQ8DFdnRRRSUtFcv4l/4mGuaZpg5Uv5sg9h/wDqNdN0 ++veuV8ONv8VayYv9QWyQO7Z//XXV0tFFFFFFFFFFFMYgAtkYHXPavJdF8QfYtZ8S+L7qwnubQS/Z +1khK/IoOOhOfSul03QrrxH4ksvFOqNBHBFEPsVtE28gHnczdM+wrt16ClooooooooooooorivGPj +LUvDOu6XbQ6dHNY3rrE07uQVYtgge+Oa7SlqreXcOn20lxcHEaAk4GSfw9axtFtLbWLM6lfQRzTX +R3BZFz5adl/D+dY9y1ppXxEsXtVWOCSFo5dgwqk9M9s13QIIGDxiiio5GxFIw5IUkHFc54J1u71y +xupb7YWjm2LtXt7109NZggLMQAOpPauS069huPGF9ezvsjjjEcRYYB9TWvfapLLE0OkwvPOwwJMY +jT3J7/hUmg6MujWZjDeZNId0sn95q1KpavcyWek3VxCQJI0LDIzUWg3k2oaLbXNwQZJASdvA6mtI +UtFFFFcP8S/FGoeF7XTJdMaNWubnypPMTd8vtXaxEtCjHqVBNPorA8ZaumjeGr6cZM7RMkMajLMx +GOKxvAmj21x8M4tNkw/2qJzOCOQzeo9aj+GWoyw6bPoF+WF3psrRrvBG+MHgg967sYxx0paKaeSa +4nwH4o1LX9W1y3v3iaOym2QhE28ZPX8q7cUtFFFRNIiuqMyhnztGetSUUZpa85+LQ/feGMn/AJia +fzFa0+o+MhPIItKszGGO0mTqKZ/aXjcf8wmx/wC/n/16P7S8bH/mE2X4yf8A16BqXjYf8wmyx7Sf +/Xo/tHxt/wBAix/7+f8A16P7R8bf9Amx/wC/n/16P7S8bf8AQJsv+/n/ANeqmo+L/EPhy2F5rmiL +LalgmLVsvuPTj0rtEm+1aYs/lsnmw79rdVyM4PvXC+CJr6Hw/fNpkEc0/wBrwVc4GMVufbfFX/QO +tP8Av5R9t8V/9A61/F//AK9J9s8Vf9A20/77/wDr0v27xX/0DrX/AL7/APr0fbvFf/QOtf8Avv8A ++vWfq/jLVfDMUc+r6O00c0giQWp3EN1yfwFdLr5z4evDjGYiag8J/wDIsWY/2T1+prZzS0mRRmii +vMfjb/yD9D/6/h/IVr6rqXjiDUpI9I0iynsQB5UkkuGYY781T/tj4kf9AHTv+/v/ANel/tj4k/8A +QB03r/z2/wDr0n9r/Enr/YGnfjN/9ej+1/iQD/yAdO98S9f1o/tj4kZ/5AGncf8ATX/69H9sfEn/ +AKAOnf8Af3/69L/bHxJ/6AOndf8Ant/9emP4l8d6cj3uqaBaGxgUyTCGTMm0ddvPWuy8Pawuv6Hb +aktvJbrOpIilGGXkjn8q4X4U/wDIw+J/+vjr/wACavTR0FLRRTJJFjUs5AVRkk9qwbySRdT067dC +gaUoDuGNpHHFLqF/OJ7wW8zKsYSKMAZ/eE9PypJb65SPUGE+WjKQx9P9Zxk/TJq5psk73l0skjTQ +xhVDEDl8fNj9Kty3DpI6rbyOAm4MOhPp9a8++KUrTXPhhGhkjUX6Pubpng7fr2r0F7qRfMxaytsU +MMY+bPYfSka7kXfi1mbawUdPmB7j2FDXcgLgW0x2yBOMcj+8Paj7VJkD7LNgylM8dP730pUuXYqD +bSjc5TJ6ADv9DSJdyt5WbaZd+7OcfJj1+vahLyVhHm0mXehY5x8pHY+5pBdOwUm0lBMZfBA4Pofe +mzXT/ZpGNtKAIS/PXOPu/WuO+HN0YtLvwkLyOJt4VT97Pauze6kUS7bWVtm3GMZfPp9KV7p1aUfZ +pW2OFBGPmB7j2FDXDhsfZpT+88vd2x/e+lAu5CVzazLmQoSccD+8fakju5X8sNaSruZlPT5cdCfr +SrcPJ5W61lAfcSDj5cdM/Ws7W7h5dCug1vKm63LEtj5T/dPvUXhq4ePw9aqtvJIFhLhhjBO4/KPe +tRrqQKxFnMcR7wMDkn+H6057l134tpm2hSMY+bPp9KHuZFMgFrKwVgvQcg9x7Cka5kG7FrLgSeXw +ByD/ABfSl+0vkj7NL/rfLzx0/vfSlW4kJRTayDcxUnsoHc+xrzP40Tu+k6G7QSIftpO09eMfzr0e +2u5HgtybSZd8e4g4ymB0PuaeLqQ7c2sw3Rlz7Efwn3pWupVDkWszbYw4AxyT/CPekkvJU8zFrM2x +VIxj589h7ihruVPMxazNs24xj5s+n0pXuZAzAW0rYcKCOhH976UfapNwH2aX/W7M8dP730oW7lJQ +G1mG6Upnjgf3j7ULcyPsDW0oDsynP8OOhPsaEuXby82kq7g2QcfLjpn69q82+Fs7jxB4lIgkO6Yt +juDubj8a9IF1Jt/49ZuIvMwcdf7v1qzGxeNWKspIztbqPan0Ux0EiFXUMpHIPQ014I5AoeNG29Mj +OPpR5Uf/ADzT7248d/X61WutOS6ePBCIknmOoX7596qC1v8AgIxRd33VboC+T+n86csV+wlL7gxQ +hAG4DZPP0HFcX8UldU8K+ZnedSTduOSDxXpdFFFFFFJmsrWbvELwRn5ip3EduK47wJbyvpd3ND/B +P0HUcda7C11QqdlyD/v4/nWqrh1DAgg9xS9KWiis3xDz4fvf+uRqDwn/AMixZ/7p/ma2aKKKKK8w ++Nv/AB4aF/1/D+Qr0uD/AFEf+6P5VJRRRRRRRTa8z+FP/IweKP8Ar5/9mavTR0paKKKKKKTNGa86 ++Lf+t8Mf9hNP5ivRqKKKKKSoLq4W2gMjHJ6D3NZNtAbrzppRlVVsk9zisf4Y/wDILvv+vg8V1V1p +8V1lhlJPUd/rWYDc6ZJgg7P/AB01qWt9HdLwcP6GrQIwKWiszxD/AMgC+/65GoPCf/IsWf8Aunr9 +TW1RRRRRXmHxt/5B+h/9fw/kK9Lg/wBRH/uj+VSUUUUUUUU3uea8z+FP/Iw+J/8Ar4/9mavTR0FL +RRRRRRVS9aRLN2j3hh1KLlh64FZenanJcSQW0K3EpVm82SWPb8vOCffpXMfFv/W+F/8AsJp/MV6N +RRRRRTSVAz2FYl3M9/eCOL7oOF/xrU8lbezeNBgBDk++K5L4ZH/iWX//AF8niu2pjxLKhV1BU9qy +brS3iPmW+WA7Z5FLbaoUPl3PX+9jn8RWsjq6hlYEeoNLWd4h/wCQBff9cjVfwn/yLFn0+6f5mtqi +iiiivMPjb/yD9D/6/h/IV6XB/qI/90fyqSiiiiiiim9zXmfwp/5GHxOP+nj/ANmavTR0FLRRRRRR +WLq0N4hkuY9QNvAgA2hMgdBmq1lPINRt1/tlbtWJzGqjnjOeK5z4t/63wx/2E0/mK9GoooopMis3 +VLvy08lD8zfe9hTtLtPKj81x87dPYVcnx5EnPO0/yrjfhj/yC77J/wCXk129FJVS6sI7oE/dk/vD +vWbm50yX/YJyc9DWla30dzwDtfupqDxD/wAgC9H/AEyNQ+E/+RYs/wDdP8zWzRRRRRXmHxt/5B+h +/wDX8P5CvS4P9RH/ALo/lUlFFFFFFFN7npXmfwp/5GDxR/18/wDszV6aOgpaKKKKKKqXxlFlJ5CR +ySngLJ0P1rKsEura+i8y00+BJCQzQn5icVzPxb/1vhj/ALCafzFejUUUUVBczrbws55x0GeprKs4 +GvboyScoDk+/tW2OlRz/AOok/wB0/wAq434Yn/iV33/XweK7eiiimSRiRSrgMp7Gsq60po2Mlvkg +c7e4+lUNU1F/7CvIrgMSYyAe4PvVzwo6L4as1LrnaR19zWx5sf8AfX86PNT++v50ean99fzo81P7 +6/nR5qf31/OjzY/+ei/nXmXxsdW0/Q9rA4vh0PsK9MhP+jx/7o/lUlFFFFFFFN7mvM/hT/yMPif/ +AK+P/Zmr00dKWiiiiiisrW7qCG08q6gmeKQ4JjHv61nad/Zq6hCLKyuQ5P3pFYBeOtc/8W/9b4Y/ +7CafzFejUUUUhIHUisO8na9uViiztztHufWta3gW2hCL26nHU1PUU/EEnb5T/KuN+GJzpd9g/wDL +wa7eiiiikrG8S2cUui3cmNrqh+YDrXMN4Rm8T+DraCK+NmWbf5iDngnisb/hSd5/0M9z/wB8n/4q +j/hSd5/0M91/3yf/AIqk/wCFJ3f/AENFz/3yf/iqP+FJ3Y/5mi6/75P/AMVS/wDCk7z/AKGi6/75 +P/xVKnwWvEkVv+EmuSVIP3SM/rVf4x2Eljo2jjeHIucAjOc4FelaXqQa3hjmbB8tcP8AgOta2Qe9 +HWlooooopvc15n8Kf+Rg8Uf9fH/szV6aOgpaKKKKKKx7+5eOadDqFvCWUeWkgGUPc+9RWFxM97EH +1e3uF5JjRQCa5b4t/wCt8Mf9hNP5ivRqKKTI9az9Tu/KTylPzuMkjsKTSrTy4zM4+ZugPatEUtRT +8QSf7p6fSuN+GPOl33U/6TXb0UUUUVm+If8AkAX3/XI1X8J/8ixZ/wC6f5mtqiuY8eeI/wDhGvDs +s8UojvZT5dqSm4GTsD+Ga0/D51N9CtG1oRf2h5f77y+mfwrTHApa8w+N3/IP0P8A6/h/IV3Vxpok +gSWAAPsGV7Hio7PUTCfKuM7RwM9V+ta6sGUEEEHuKXrS0UUUU3ueleZ/Cn/kYfE//Xx/7M1emjpS +0UUUUUVm6jbQLFJcGzhnnOFG9QcntyapWMdzb30Ru9Os49+QrwYBX2PrXL/Flg8nhcqQR/aacj6i +vR6KKhnmWCEyN0HQetY9rE1/eNJJnYDlv8K3cADGOB0paKin4gk/3T0+lcb8MTnS77n/AJeDXb0U +UUUVm+If+QBff9czUHhP/kWLP/dP8zWzRXmnxsH/ABIdM/6/l/ka9Hg/494/90fyqSivMPjb/wAg +/Q/+v4fyFelw/wCoj/3R/Kq97YJdDcvyyDofWs63u5rCUxzA7e61tRSrLGGRsg07I9aWiiim9zXm +fwp/5GHxP/18f+zNXpo6Clooooooqjqvk/2bKLkyCIgbhGOevQfjWBaWOm3d0sQttSjLZ+aRioFY +HxQtUs08KQRk7E1JACxyeor0yikyPWsW/uDd3KwxklQcD3NalrbC3t1jHXufU1PRRUU/+ok/3T0+ +lcb8MedLvup/0mu3ooooorN8Q/8AIAvv+uRqv4T/AORYs/8AdP8AM1s5A79Krx6jZyuyR3UDMv3g +JASK88+NTB/D+lMpBU3q4IPHT1r0iDm3j/3R/KpKK8w+Nv8AyD9D/wCv4fyFelwf6iP/AHR/KpKr +3Vol2hVhgjo3cVkhrjTLjDfdPbs1a9vdJcR70b6qeoqbIA68UtFFN7mvM/hT/wAjD4n/AOvj/wBm +avTR0paKKKKKKoatIYtMmYSLGePnccLyOfrWZplx5moRp/bYugc/udgGePWub+Lf+t8Men9pp/MV +6NSZqhqV35MWxD+8f9BUel2m0GeQcn7vr9a06Wiiop/9RJ/unp9K434Y/wDILvuf+Xg9a7eiiiii +szxD/wAgC+/65mofCf8AyLFn/un+ZrE+K11e2nge5ew8wBpEW4ZDhliP3v6D8akOhaF4p8FJFo8N +usMsI8iWIBWjb69c9jXP/GKAW3hXRoVAAjukXAGBwMV6ZB/x7x/7o/lUlFeYfG3/AJB+h/8AX8P5 +CvS4P9RH/uj+VSUVFNClwhSRcj6dKxpoJ9Nl3ox29nxx9DWnZ3qXIx91x1U1aBGKWim9z0rzP4U/ +8jB4o/6+f/Zmr00dBS0UUUUUVSv7qyghb7bJGEIHyvznv0rNsb+G7v4xZ6dshGf9IMYUD6elcx8W +/wDW+GP+wmn8xXo1RSyrDEXY8AVjQo+o3hZ/u9T6AelbiqFUBRgAYAp1FFFRT/6iT/dPT6Vxvwx5 +0u+4P/HzXb0UUUUVmeIf+QBfdf8AVmofCX/Is2f+6efxNXme2vnubRwkoQBJUYZHIzj8jXnWnadc +eAPiNbabYOX0XWtxWFjkxOoycf56GpfjZ/yANLPH/H6vP4V6PB/x7x/7o/lUlFeYfG3/AI8ND/6/ +h/IV6XB/qI/90fyqSiimugcEMAQe1Y13YPZt5luTsB47lat2WorPhJflk6A/3qvgjHFGc0nc15n8 +Kf8AkYfE/wD18f8AszV6aOgpaKKKKKKpXOmWt3Kss0CmRfuyEcinRRXEMgA8to2PJxgj8K4T4t/6 +3wwP+omn8xXopOKxdSuTcTCCPLKpx9TWlaW32a3C/wAR5b3NWaKKKKin/wBRJ/unp9K434Y/8gu+ +6j/ST1rt6KKKKKzPEP8AyAL7/rmah8Jf8ixZ/wC6f5mqbeG9Qt9dv9XsNV2y3hUNbzR7osKMD3B9 +6dZeGJm18a1rN0t3exoY7dETbFAp64Hcn1Ncv8bP+QBpfoL1f5V6PB/x7x/7o/lUlFeYfG3/AI8N +D/6/h/IV6XB/qI/90fyqSiiim4z9PSsu903BMtuMeq/1FFjqRJEVwcdg5rV3DGe1J3PNeZ/Cn/kY +fFH/AF8f+zNXpo6Cloooooooorzn4t/6zwx/2E04/EV3Oo3X2eLap/eP09hVbSrX/l4cdvlzWtRR +RRRUU/8AqJP909PpXG/DH/kF33B/4+e9dvRRRRRWZ4h/5AF9/wBczUHhP/kWLP8A3T/M1tUV5p8b +P+QDph/6fV/lXo8H/HvH/uj+VSUV5h8bf+Qfof8A1/D+Qr0uD/UR/wC6P5VJRRRRSY4NZ99pwmzJ +F8r+nZqq2l+1s3lTZ2Dj3FbCuHUMpBBHUV5p8Kf+Rh8T/wDXx/7M1emjoKWiiiiiik3CjI9a85+L +jBW8Mv8AwjUkJx+FdSqPqV8SfudT7D0rcChVAXgAYApc460ZFGRRkUZFGRUc5/cSf7p6fSuN+GR/ +4ld8eR/pGa7bIoyKMijNGRRkVm+IT/xIL7r/AKs1B4UOPC9n/un+ZrZzRkV5r8bTjQdLyR/x+r/K +vR4P+PeP/dH8qkorzD4282Gh/wDX8P5CvS4Ti3j/AN0fyp+RRkUZFGRRkUZFHr71VvLFLpMj5ZB0 +NZsFxNYTeXKp2nqp/mK434UMG17xMwBwbjgn/eavTh2FGR60ZHrS0UUUmRXM+KvHuj+EDHHqEjvc +uMpbwjc+PU+gqn4V+JuieK737Db+db3ZBKxTADfj0Pc+1TePfB8/i6wtI7S9FpcWs4mR2XcM1hxe +DPHcIIj8YxD1/cGn/wDCI+P/APocov8AvwaP+ER8f/8AQ5Rf9+DR/wAIh4//AOhzi/78Gj/hEfH/ +AP0OUX/fij/hEfH/AP0OUX/fg0f8Ih4//wChzi/78Uf8Ij4//wChyi/78Gkbwl4+WNifGMTYB48g +81naL4W8XzQy/wBm6u2jxhzuilhyXP8AerS/4RHx/wD9DlF/34NH/CIeP/8Aoc4/+/Bo/wCER8f/ +APQ5Rf8Afij/AIRDx/8A9DnF/wB+KP8AhEfH/wD0OcX/AH4o/wCER8f/APQ5Rf8Afg0yXwr42hid +7zxOt7bgHfbpDgyD0FNtfC3jWa3V7HxIthbH/V2zw5MY9DUv/CI+P/8Aocov+/Bo/wCEQ8f/APQ5 +x/8AfioLzwD4z1GJY77xTa3CK25VktsgH1qf/hEPH3bxlFj/AK4Gj/hEfH//AEOUX/fg0f8ACI+P +/wDocov+/Brl/HPg7xq9tYC71V9XH2j5VhhP7k/3jXTp4R8fbF/4rGMcDgwGl/4RHx//ANDlF/34 +NH/CIeP/APoc4v8AvwaP+ER8f/8AQ5Rf9+KP+EQ8f/8AQ5xf9+KP+EQ8f/8AQ5xf9+DR/wAIj4// +AOhyi/78Gj/hEfH/AP0OUX/fg0f8Ij4//wChyi/78GmSeDPHcoAk8YxEA5H7g1zvhTwj4wF9qYst +RfSnEmJJZYSRccnlf8966X/hEfH/AP0OUf8A34pf7K+IWh/6TFq1rrTH5DbSpsAB/iz7f1rtNR1a +20i1hmv5PLaaRIkQclnY4AA78mtBelLRRVe7uUsrOe5mICQo0jH2Aya8d+FNkPFni7WPEeqxid42 +/dbxlQzHsD6ADH1qtrkMbfH2yi09BC6yxb9g2jIXLHj2r07x7qU+keDdQurUlZQoQMCQRuYKSPcA +8Vs6db/ZtMtYld5NkajdIcseOpPc1cooooooppGPfFGD606iiiiik/WjnnFApaKKKTOK5T4h31xZ +eHo0tpWj+13kNtI6MQ6o7c7T2NdVGnlxogJIUAZJ5NOooooooopMc0gyCOtLTT16/hXjj2l34o+N +ctumqXRt9LJmV2AYRMAOFHT7xFexwo0cKKzmRgMFyMZPrT6KK5r4gySQ+AdaeMfN9nI49DgH+Zrj +vgtf6bp3gi8muLqGJkuWebe4GBtGD+QrL+G0Enir4mar4mkjP2aJmMZb+83CgfRf512viaVvF3g7 +U7SzEcUwn8tPOlCqwSQDdn0ODiuotNQs2WOBLqF5QoBVHBPTP8qu0UUUUUUUUUUUUUUUUUUUUUwk +DLHoOpz0rivEUjeLfDFjNaeXAY7+G4ZZ5ApCIxJOfXAyB6V18Wo2c8vlQ3MTyc/KrAnjr/OrAOaW +iiiiiiiiiobmUwwSyBSxRCQoHJIHavIvhBLcNqur3b2U5vLy4BlklQqkUeSW5PUkkDHtXsS9Bxil +ooqG4to7u3kgnRZIpVKOrdGB6ivNpvgZoT3TyRX19HCzZMCsuMemcZrvNH0Sy0DSk0/S4hBAg4x1 +J7k+prHj8DW8GnrZx3sxiWdLja+GBYDByO4Oc49avafowi1m41JohAWjWFIAQRheA/1xgVt7xjk9 +KXOeRS0UUUUUUUUUUUUUUUUUUm4etV72D7ZZTwCQp5qNHvXquRjIrn4vBFvBb2cCXUkkFpM0kcUo +DKQRgqf6emav6TpRtr681GRPKkvCv7jIIi2jHBHc4ya2A3QE/N7UvWlooooooooppHJ460ioEB2q +B3wABTlGBS0UUVT1O9TTtMuryQjbBE0nJxnAyP14rmrPxbqV3dw2iadCJ5Zdu/zSU2hAXbOOxIX0 +zT4fGM89kt8LHbaSSrGhZ/nAyQWKjnGdv51Jc+LpIfMiFoGuWvfskKh/lbKllcnptIHr3qtD4hud +b8SWNhF/o6wSyNcBHyX2DBB/2dx/HFdnRRRRRRRRRRRRRRRRRRRWTruqTaalqlpAs9zdziFEZioH +BJYn0GK5+98T3moabepbxfZsTrZRzq3zebvCsQP7vU5qQ+NHitRN9k/0ZpGgilkcAsyA7mYdhhc/ +U4p7eNXgsGup7EgC0juAI23bixxt9iCR17VV1bxPezGLSokW1vp2hWSRHyV3tkBf+Ag5PbNdwowo +Gc4paKKKKKKKKKKKKKKKpahp0Orac9pdb/KkwWCnBOCD/Sp/LSILsRRt4HHSlVFAbCKMc8DvTXjQ +qFKKQecEU4wxqWdUUN1JA5NSDoKWiiiiiiiiiiiiiiiiiimOqllJAJB4J7U1YozuOxclsnjv60xY +omiJMUfUnG0Yz604xJtA2LhuCMDBFL5EQ+by0yBwcdMU+M5QH19KdRRRRRRRRRRRX//Z -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/header.htm Content-Transfer-Encoding: quoted-printable Content-Type: text/html; charset="windows-1250" @@ -36949,11 +37950,11 @@ - - + + - @@ -37018,7 +38019,7 @@ -------=_NextPart_01D1E5D7.64999090 +------=_NextPart_01D49B5D.3D5D5E60 Content-Location: file:///C:/EF521992/adtrack2.files/filelist.xml Content-Transfer-Encoding: quoted-printable Content-Type: text/xml; charset="utf-8" @@ -37058,4 +38059,4 @@ -------=_NextPart_01D1E5D7.64999090-- +------=_NextPart_01D49B5D.3D5D5E60-- diff -Nru adlibtracker2-2.4.23/package/ver/sdl/readme-sdl.txt adlibtracker2-2.4.24/package/ver/sdl/readme-sdl.txt --- adlibtracker2-2.4.23/package/ver/sdl/readme-sdl.txt 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/sdl/readme-sdl.txt 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,13 @@ + +Please distribute this file with the SDL runtime environment: + +The Simple DirectMedia Layer (SDL for short) is a cross-platfrom library +designed to make it easy to write multi-media software, such as games and +emulators. + +The Simple DirectMedia Layer library source code is available from: +http://www.libsdl.org/ + +This library is distributed under the terms of the GNU LGPL license: +http://www.gnu.org/copyleft/lesser.html + diff -Nru adlibtracker2-2.4.23/package/ver/sdl/revision.txt adlibtracker2-2.4.24/package/ver/sdl/revision.txt --- adlibtracker2-2.4.23/package/ver/sdl/revision.txt 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/sdl/revision.txt 2018-12-26 23:00:00.000000000 +0000 @@ -9,6 +9,32 @@ http://www.adlibtracker.net/files/revision.htm +* version 2.4.24 + released: 12/24/2018 (* 12/27/2018) + + . fixed version check for Reality ADlib Tracker modules + . fixed instrument preview bug in Arpeggio/Vibrato Macro Browser window + . fixed C-9 note replacement bug on invalid flat-sharp conversion + . fixed linefeed option not being evaluated for some actions and added possibility + to disable it for MidiBoard (refer to option 'lf_in_mboard_mode' in config file) + . fixed broken Global Freq. Slide Up/Down effect command + . implemented native BPM handling: + - implemented BPM calculation (predicted according to rows per beat + taken from Line Marking Setup window) + - added possibility to manually control playback speed (hotkey: [Ctrl]{Shift} Up,Down) + and controlling it using effect commands (refer to extended command 'ZE7') + - added overview of current BPM and playback speed shift in Hz + . changes in Song Variables window: + - changed cursor movement to be more predictable/convenient + - added key shortcut for each option + - added preview and prediction of BPM speed + - added access to quick setup of rows per beat (hotkey: ^Enter) + . improved behavior of temporary song status in the upper left corner + . optimized window arrangement in case of enlarged screen size + . improved string input (quick change of decimal and hexadecimal values with +/-) + * bufgfixes (...) + + * version 2.4.23 released: 07/27/2016 diff -Nru adlibtracker2-2.4.23/package/ver/sdl/windows/adtrack2_hq1.bat adlibtracker2-2.4.24/package/ver/sdl/windows/adtrack2_hq1.bat --- adlibtracker2-2.4.23/package/ver/sdl/windows/adtrack2_hq1.bat 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/sdl/windows/adtrack2_hq1.bat 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,2 @@ +start adtrack2.exe /cfg:sdl_screen_mode=1 /cfg:sdl_frame_rate=150 +wmic process where name="adtrack2.exe" CALL setpriority "above normal" diff -Nru adlibtracker2-2.4.23/package/ver/sdl/windows/adtrack2_hq2.bat adlibtracker2-2.4.24/package/ver/sdl/windows/adtrack2_hq2.bat --- adlibtracker2-2.4.23/package/ver/sdl/windows/adtrack2_hq2.bat 1970-01-01 00:00:00.000000000 +0000 +++ adlibtracker2-2.4.24/package/ver/sdl/windows/adtrack2_hq2.bat 2018-12-26 23:00:00.000000000 +0000 @@ -0,0 +1,2 @@ +start adtrack2.exe /cfg:sdl_screen_mode=2 /cfg:sdl_frame_rate=150 +wmic process where name="adtrack2.exe" CALL setpriority "high priority" diff -Nru adlibtracker2-2.4.23/parserio.pas adlibtracker2-2.4.24/parserio.pas --- adlibtracker2-2.4.23/parserio.pas 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/parserio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit ParserIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/realtime.inc adlibtracker2-2.4.24/realtime.inc --- adlibtracker2-2.4.23/realtime.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/realtime.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + { function _decay_bar_str(value: Byte): String; procedure show_bar(chan,level,xpos,from_pos,to_pos,attr: Byte); @@ -158,6 +173,9 @@ {$IFNDEF GO32V2} wav_indicator_attr: array[Boolean] of Byte; {$ENDIF} + playtime_attr1,playtime_attr2, + playtime_attr3: array[Boolean] of Byte; + bpm: Real; begin {$IFDEF GO32V2} @@ -191,7 +209,7 @@ temps := status_layout[play_status]; show_str(14,06,ExpStrR(temps,10,' '), status_background+status_play_state); - If (@mn_environment.ext_proc_rt <> NIL) and is_default_screen_mode then + If NOT (area_x1+area_y1 = 0) and (area_x1 <= 20) and (area_y1 <= 06) then show_str(02,01,' '+ExpStrR(temps,10,' '), main_background+main_border) else @@ -229,17 +247,30 @@ show_str(17,04,byte2hex(current_line), status_background+status_dynamic_txt); - show_str(17,05,byte2hex(speed), - status_background+status_dynamic_txt); - If (tempo < 100) then - If (tempo = 18) and timer_fix then - show_str(20,05,byte2dec(tempo)+#5+#174, + If _IRQFREQ_update_event and NOT (Addr(_show_bpm_realtime_proc) <> NIL) then + begin + bpm := calc_realtime_bpm_speed(tempo,speed,mark_line); + show_str(03,05,'SPEED iN BPM', + status_background+status_static_txt); + show_str(17,05,ExpStrR(Bpm2str(bpm),7,' '), status_background+status_dynamic_txt) - else show_str(20,05,byte2dec(tempo)+#174+' ', - status_background+status_dynamic_txt) - else show_str(20,05,byte2dec(tempo)+#174, - status_background+status_dynamic_txt); + end + else If NOT _IRQFREQ_update_event then + begin + show_str(03,05,'SPEED/TEMPO /', + status_background+status_static_txt); + show_str(17,05,byte2hex(speed), + status_background+status_dynamic_txt); + If (tempo < 100) then + If (tempo = 18) and timer_fix then + show_str(20,05,byte2dec(tempo)+#5+#174, + status_background+status_dynamic_txt) + else show_str(20,05,byte2dec(tempo)+#174+' ', + status_background+status_dynamic_txt) + else show_str(20,05,byte2dec(tempo)+#174, + status_background+status_dynamic_txt); + end; If (play_status <> isStopped) then temps := ' ~'+byte2dec(max(song_timer DIV 60,99))+':'+ @@ -247,18 +278,38 @@ CHR(48+max(song_timer_tenths DIV 10,9))+'~ ' else temps := ' 00~:~00~.~0 '; + playtime_attr1[FALSE] := status_background+status_text_dis; + playtime_attr1[TRUE] := (status_border SHL 4) AND $0f0; + playtime_attr2[FALSE] := status_background+status_border; + playtime_attr2[TRUE] := status_background+status_border; + playtime_attr3[FALSE] := main_background+main_border; + playtime_attr3[TRUE] := (main_border SHL 4) AND $0f0; + + If _IRQFREQ_update_event then + begin + If (IRQ_freq_shift+playback_speed_shift > 0) then + temps := '+'+Num2str(IRQ_freq_shift+playback_speed_shift,10)+#174 + else If (IRQ_freq_shift+playback_speed_shift < 0) then + temps := '-'+Num2str(Abs(IRQ_freq_shift+playback_speed_shift),10)+#174 + else temps := Num2str(IRQ_freq+IRQ_freq_shift+playback_speed_shift,10)+#174; + temps := '~ ~ '+CenterStR(temps,5)+' ~ ~'; + end; + show_cstr(13,07,temps, - status_background+status_text_dis, - status_background+status_border); + playtime_attr1[_IRQFREQ_blink_flag AND _IRQFREQ_update_event], + playtime_attr2[_IRQFREQ_blink_flag AND _IRQFREQ_update_event]); - If (@mn_environment.ext_proc_rt <> NIL) and is_default_screen_mode then + If NOT (area_x1+area_y1 = 0) and (area_x1 <= 20) and (area_y1 <= 06) then show_cstr(16,01,temps, - main_background+main_border, + playtime_attr3[_IRQFREQ_blink_flag AND _IRQFREQ_update_event], main_background+main_border) else show_str(16,01,ExpStrR('',11,#196), main_background+main_border); + If NOT _IRQFREQ_blink_flag AND _IRQFREQ_update_event then + _IRQFREQ_update_event := FALSE; + {$IFDEF GO32V2} temp := Pos('.',songdata_title); show_str(03,06,'['+ExpStrR(iCASE(Copy(songdata_title,1,PRED(temp))),8,' ')+']', @@ -304,12 +355,12 @@ wav_indicator_attr[TRUE] := (main_behavior SHL 4) AND $0f0 else wav_indicator_attr[TRUE] := main_background+main_behavior; - If is_default_screen_mode and (@mn_environment.ext_proc_rt <> NIL) then + If NOT (area_x1+area_y1 = 0) and (area_x1 <= 20) and (area_y1 <= 06) then If (sdl_opl3_emulator <> 0) then - show_str(13,01,'WAV',wav_indicator_attr[_generic_blink_event_flag]) + show_str(13,01,'WAV',wav_indicator_attr[TRUE]) else show_str(13,01,#196#196#196,main_background+main_border) else If (sdl_opl3_emulator = 1) then - show_str(08,07,'[WAV]',wav_indicator_attr[_generic_blink_event_flag]) + show_str(08,07,'[WAV]',wav_indicator_attr[_WAVREC_blink_flag]) else If NOT module_archived then show_cstr(08,07,'~['+#29+']~'#205#205, status_background+status_border, @@ -632,7 +683,7 @@ ExpStrR(_vol_bar_str(temp),14,#205)+#202, pattern_bckg+pattern_border); end - else If (chan in _4op_tracks_hi) then + else If chan in _4op_tracks_hi then begin temp := Round(84/63*volum_bar[chan].lvl); temps := _vol_bar_str(temp); diff -Nru adlibtracker2-2.4.23/stringio.pas adlibtracker2-2.4.24/stringio.pas --- adlibtracker2-2.4.23/stringio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/stringio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit StringIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} @@ -6,6 +21,10 @@ type tCHARSET = Set of Char; +const + DEC_NUM_CHARSET = ['0'..'9']; + HEX_NUM_CHARSET = ['0'..'9','a'..'f','A'..'F']; + function byte2hex(value: Byte): String; function byte2dec(value: Byte): String; function Capitalize(str: String): String; @@ -16,6 +35,11 @@ function RotStrR(str1,str2: String; shift: Byte): String; function ExpStrL(str: String; size: Byte; chr: Char): String; function ExpStrR(str: String; size: Byte; chr: Char): String; +function ExpC2StrL(str: String; size: Byte; chr: Char): String; +function ExpC2StrR(str: String; size: Byte; chr: Char): String; +function ExpC3StrL(str: String; size: Byte; chr: Char): String; +function ExpC3StrR(str: String; size: Byte; chr: Char): String; +function CenterStr(str: String; size: Byte): String; function DietStr(str: String; size: Byte): String; function CutStr(str: String): String; function CutStrL(str: String; margin: Byte): String; @@ -26,6 +50,7 @@ function FilterStr2(str: String; chr0: tCHARSET; chr1: Char): String; function Num2str(num: Longint; base: Byte): String; function Str2num(str: String; base: Byte): Longint; +function Bpm2str(bpm: Real): String; type tINPUT_STR_SETTING = Record @@ -43,6 +68,10 @@ keystroke: Word; locate_pos: Byte; insert_mode: Boolean; + min_num: Dword; + max_num: Dword; + cur_str: String; + ext_proc: procedure; end; const is_setting: tINPUT_STR_SETTING = @@ -79,6 +108,7 @@ uses DOS, + StrUtils, AdT2unit,AdT2sys,AdT2keyb, TxtScrIO; @@ -88,6 +118,7 @@ data: array[0..15] of char = '0123456789ABCDEF'; begin +{$IFNDEF CPU64} asm mov edi,@RESULT lea ebx,[data] @@ -103,6 +134,10 @@ xlat stosb end; +{$ELSE} + byte2hex := data[value AND $0f0 SHR 4]+ + data[value AND $0f]; +{$ENDIF} end; function byte2dec(value: Byte): String; @@ -111,6 +146,7 @@ data: array[0..9] of char = '0123456789'; begin +{$IFNDEF CPU64} asm mov edi,@RESULT lea ebx,[data] @@ -141,6 +177,15 @@ xlat stosb end; +{$ELSE} + If (value < 100) then + byte2dec := data[value DIV 10]+ + data[value MOD 10] + else + byte2dec := data[value DIV 100]+ + data[value MOD 100 DIV 10]+ + data[value MOD 100 MOD 10]; +{$ENDIF} end; function Capitalize(str: String): String; @@ -189,6 +234,7 @@ function Upper(str: String): String; begin +{$IFNDEF CPU64} asm lea esi,[str] mov edi,@RESULT @@ -211,10 +257,14 @@ loop @@1 @@3: end; +{$ELSE} + Upper := UpCase(str); +{$ENDIF} end; function Lower(str: String): String; begin +{$IFNDEF CPU64} asm lea esi,[str] mov edi,@RESULT @@ -237,8 +287,12 @@ loop @@1 @@3: end; +{$ELSE} + Lower := LowerCase(str); +{$ENDIF} end; +{$IFNDEF CPU64} function iCase(str: String): String; begin asm @@ -275,6 +329,12 @@ @@5: end; end; +{$ELSE} +function iCase(str: String): String; +begin + iCase := ReplaceStr(Upper(str),'I','i'); +end; +{$ENDIF} function RotStrL(str1,str2: String; shift: Byte): String; begin @@ -290,6 +350,7 @@ function ExpStrL(str: String; size: Byte; chr: Char): String; begin +{$IFNDEF CPU64} asm lea esi,[str] mov edi,@RESULT @@ -314,10 +375,16 @@ rep movsb @@2: end; +{$ELSE} + While (Length(str) < size) do + str := chr+str; + ExpStrL := str; +{$ENDIF} end; function ExpStrR(str: String; size: Byte; chr: Char): String; begin +{$IFNDEF CPU64} asm lea esi,[str] mov edi,@RESULT @@ -342,6 +409,56 @@ rep movsb @@2: end; +{$ELSE} + While (Length(str) < size) do + str := str+chr; + ExpStrR := str; +{$ENDIF} +end; + +function ExpC2StrL(str: String; size: Byte; chr: Char): String; +begin + While (CStr2Len(str) < size) do + str := chr+str; + ExpC2StrL := str; +end; + +function ExpC2StrR(str: String; size: Byte; chr: Char): String; +begin + While (CStr2Len(str) < size) do + str := str+chr; + ExpC2StrR := str; +end; + +function ExpC3StrL(str: String; size: Byte; chr: Char): String; +begin + While (C3StrLen(str) < size) do + str := chr+str; + ExpC3StrL := str; +end; + +function ExpC3StrR(str: String; size: Byte; chr: Char): String; +begin + While (C3StrLen(str) < size) do + str := str+chr; + ExpC3StrR := str; +end; + +function CenterStr(str: String; size: Byte): String; + +var + flag: Boolean; + +begin + flag := FALSE; + While (Length(str) < size) do + begin + If flag then + str := ' ' + str + else str := str + ' '; + flag := NOT flag; + end; + CenterStr := str; end; function DietStr(str: String; size: Byte): String; @@ -401,6 +518,7 @@ CutStrR := str; end; +{$IFNDEF CPU64} function FlipStr(str: String): String; begin asm @@ -423,7 +541,22 @@ @@2: end; end; +{$ELSE} +function FlipStr(str: String): String; + +var + idx: Byte; + result: String; + +begin + result := ''; + For idx := 1 to Length(str) do + result := str[idx]+result; + FlipStr := result; +end; +{$ENDIF} +{$IFNDEF CPU64} function FilterStr(str: String; chr0,chr1: Char): String; begin asm @@ -447,7 +580,21 @@ @@3: end; end; +{$ELSE} +function FilterStr(str: String; chr0,chr1: Char): String; +var + idx: Byte; + +begin + For idx := 1 to Length(str) do + If (str[idx] = chr0) then + str[idx] := chr1; + FilterStr := str; +end; +{$ENDIF} + +{$IFNDEF CPU64} function FilterStr1(str: String; chr0: Char): String; begin asm @@ -474,6 +621,21 @@ mov [edi],al end; end; +{$ELSE} +function FilterStr1(str: String; chr0: Char): String; + +var + idx: Byte; + result: String; + +begin + result := ''; + For idx := 1 to Length(str) do + If (str[idx] <> chr0) then + result := result+str[idx]; + FilterStr1 := result; +end; +{$ENDIF} const _treat_char: array[$80..$a5] of Char = @@ -494,6 +656,7 @@ FilterStr2 := str; end; +{$IFNDEF CPU64} function Num2str(num: Longint; base: Byte): String; const @@ -538,9 +701,30 @@ @@4: end; end; +{$ELSE} +function Num2str(num: Longint; base: Byte): String; + +const + hexa: array[0..PRED(16)] of Char = '0123456789ABCDEF'; + +var + result: String; + +begin + result := ''; + If (base >= 2) and (base <= 16) then + While (num > 0) do + begin + result := hexa[num MOD base]+result; + num := num DIV base; + end; + If (result = '') then Num2str := '0' + else Num2str := result; +end; +{$ENDIF} const - digits: array[0..35] of Char = '0123456789ABCDEFGHIJKLMNOPQRSTUVWXYZ'; + digits: array[0..15] of Char = '0123456789ABCDEF'; function Digit2index(digit: Char): Byte; @@ -574,12 +758,21 @@ begin value := 0; - For index := 1 to Length(str) do - Inc(value,Digit2index(str[index])* - position_value(Length(str)-index+1,base)); + If (base in [2,10,16]) then + For index := 1 to Length(str) do + Inc(value,Digit2index(str[index])* + position_value(Length(str)-index+1,base)); Str2num := value; end; +function Bpm2str(bpm: Real): String; +begin + If (bpm < 1000) then + Bpm2str := Num2str(Trunc(bpm),10)+'.'+Num2str(Trunc((bpm-Trunc(bpm))*10),10) + else + Bpm2str := Num2str(Round(bpm),10); +end; + function InputStr(s: String; x,y,ln,ln1: Byte; atr1,atr2: Byte): String; var @@ -664,6 +857,26 @@ Case key of kTAB: appn := TRUE; + kCHplus, + kNPplus: If (is_setting.character_set = DEC_NUM_CHARSET) then + If (Length(Num2str(SUCC(Str2num(s,10)),10)) <= ln1) and + (SUCC(Str2num(s,10)) <= is_environment.max_num) then + s := Num2str(SUCC(Str2num(s,10)),10) + else + else If (is_setting.character_set = HEX_NUM_CHARSET) then + If (Length(Num2str(SUCC(Str2num(s,16)),16)) <= ln1) and + (SUCC(Str2num(s,16)) <= is_environment.max_num) then + s := Num2str(SUCC(Str2num(s,16)),16); + kCHmins, + kNPmins: If (is_setting.character_set = DEC_NUM_CHARSET) then + If (Str2num(s,10) > 0) and + (PRED(Str2num(s,10)) >= is_environment.min_num) then + s := Num2str(PRED(Str2num(s,10)),10) + else + else If (is_setting.character_set = HEX_NUM_CHARSET) then + If (Str2num(s,16) > 0) and + (PRED(Str2num(s,16)) >= is_environment.min_num) then + s := Num2str(PRED(Str2num(s,16)),16); kCtrlY: begin appn := TRUE; s := ''; @@ -809,6 +1022,8 @@ end; end; _end: + is_environment.cur_str := s; + If (Addr(is_environment.ext_proc) <> NIL) then is_environment.ext_proc; {$IFDEF GO32V2} // draw_screen; keyboard_reset_buffer_alt; @@ -989,6 +1204,10 @@ is_environment.locate_pos := 1; is_setting.char_filter := _valid_characters; is_setting.valid_chars := _valid_characters; + is_environment.min_num := 0; + is_environment.max_num := SizeOf(DWORD); + is_environment.cur_str := ''; + is_environment.ext_proc := NIL; end; end. diff -Nru adlibtracker2-2.4.23/txtscrio.pas adlibtracker2-2.4.24/txtscrio.pas --- adlibtracker2-2.4.23/txtscrio.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/txtscrio.pas 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + unit TxtScrIO; {$S-,Q-,R-,V-,B-,X+} {$PACKRECORDS 1} diff -Nru adlibtracker2-2.4.23/typcons1.inc adlibtracker2-2.4.24/typcons1.inc --- adlibtracker2-2.4.23/typcons1.inc 2017-11-02 10:45:46.000000000 +0000 +++ adlibtracker2-2.4.24/typcons1.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + const NULL = $0ffffffff; BYTE_NULL = BYTE(NULL); @@ -5,8 +20,8 @@ DWORD_NULL = LONGINT(NULL); const - FFVER_A2M = 13; - FFVER_A2T = 13; + FFVER_A2M = 14; + FFVER_A2T = 14; FFVER_A2I = 10; FFVER_A2F = 2; FFVER_A2P = 11; @@ -156,6 +171,10 @@ dis_fmreg_col: array[1..255] of tDIS_FMREG_COL; ins_4op_flags: tINS_4OP_FLAGS; reserved_data: array[0..PRED(1024)] of Byte; + bpm_data: Record + rows_per_beat: Byte; + tempo_finetune: Integer; + end; end; type tOLD_CHUNK = Record @@ -283,10 +302,10 @@ kCtrlD = $2004; kCtHOME = $7700; kAlt9 = $8000; kCtrlY = $1519; kCtrlF = $2106; kEND = $4f00; kAlt0 = $8100; kAltE = $1200; kCtrlI = $1709; kNPEND = $4f31; kAltB = $3000; kAltZ = $2c00; - kCtrlK = $250b; kCtEND = $7500; kAltC = $2e00; - kCtrlM = $320d; kINSERT = $5200; kAltD = $2000; - kCtrlN = $310e; kDELETE = $5300; kAltF = $2100; - kCtrlO = $180f; kCtrlL = $260c; kAltK = $2500; + kCtrlK = $250b; kCtEND = $7500; kAltC = $2e00; kAltI = $1700; + kCtrlM = $320d; kINSERT = $5200; kAltD = $2000; kAltH = $2300; + kCtrlN = $310e; kDELETE = $5300; kAltF = $2100; kAltU = $1600; + kCtrlO = $180f; kCtrlL = $260c; kAltK = $2500; kAltG = $2200; kCtrlV = $2f16; kCtrlS = $1f13; kAltM = $3200; kCtrlX = $2d18; kCtrlA = $1e01; kAltN = $3100; kCtrlZ = $2c1a; kAltF2 = $6900; kAltO = $1800; @@ -658,6 +677,7 @@ ef_ex_cmd_RestartEnv = 4; ef_ex_cmd_4opVlockOff = 5; ef_ex_cmd_4opVlockOn = 6; + ef_ex_cmd_ForceBpmSld = 7; ef_ex_ExtendedCmd2 = 15; ef_ex_cmd2_RSS = 0; ef_ex_cmd2_ResetVol = 1; @@ -757,6 +777,7 @@ highlight_controls: Boolean = TRUE; use_H_for_B: Boolean = FALSE; linefeed: Boolean = TRUE; + lf_in_mboard_mode: Boolean = FALSE; update_ins: Boolean = FALSE; cycle_pattern: Boolean = TRUE; keep_track_pos: Boolean = FALSE; @@ -856,6 +877,7 @@ debug_info_hi_car: Byte = $0b; debug_info_4op: Byte = $08; debug_info_perc: Byte = $08; + debug_info_bpm: Byte = $08; help_background: Byte = $040; help_title: Byte = $0b; help_border: Byte = $0f; @@ -889,6 +911,7 @@ dialog_hi_topic: Byte = $0e; dialog_mod_text: Byte = $0a; dialog_car_text: Byte = $0b; + dialog_misc_indic: Byte = $08; macro_background: Byte = $040; macro_title: Byte = $0b; macro_border: Byte = $0f; diff -Nru adlibtracker2-2.4.23/typcons2.inc adlibtracker2-2.4.24/typcons2.inc --- adlibtracker2-2.4.23/typcons2.inc 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/typcons2.inc 2018-12-26 23:00:00.000000000 +0000 @@ -1,3 +1,18 @@ +// This file is part of Adlib Tracker II (AT2). +// +// AT2 is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// AT2 is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with AT2. If not, see . + const frame_solid_type1 = ' '; frame_solid_type2 = 'лпллллмл'; diff -Nru adlibtracker2-2.4.23/utils/checksrc.pas adlibtracker2-2.4.24/utils/checksrc.pas --- adlibtracker2-2.4.23/utils/checksrc.pas 2017-11-02 10:45:47.000000000 +0000 +++ adlibtracker2-2.4.24/utils/checksrc.pas 1970-01-01 00:00:00.000000000 +0000 @@ -1,103 +0,0 @@ -uses - DOS; - -function check_extra_chars(var data; size: Dword): String; - -var - temp: Dword; - xchars: String; - -begin - xchars := ''; - If (size = 0) then EXIT; - - For temp := 0 to PRED(size) do - begin - If (temp < PRED(size)) and - (pBYTE(@data)[temp] = $0d) and - (pBYTE(@data)[SUCC(temp)] = $0a) then - CONTINUE; - - If (temp > 0) and - (pBYTE(@data)[PRED(temp)] = $0d) and - (pBYTE(@data)[temp] = $0a) then - CONTINUE; - - If (pBYTE(@data)[temp] <= $1f) or - (pBYTE(@data)[temp] >= $7f) then - If (Pos(CHR(pBYTE(@data)[temp]),xchars) = 0) then - xchars := xchars+CHR(pBYTE(@data)[temp]); - end; - - check_extra_chars := xchars; -end; - -function byte2hex(value: Byte): String; - -const - data: array[0..15] of char = '0123456789ABCDEF'; - -begin - asm - mov edi,@RESULT - lea ebx,[data] - mov al,2 - stosb - mov al,value - xor ah,ah - mov cl,16 - div cl - xlat - stosb - mov al,ah - xlat - stosb - end; -end; - -procedure check_file(filename: String); - -var - f: File; - buf: Pointer; - size: Dword; - idx: Byte; - xchars: String; - -begin - Assign(f,'..\'+filename); - Reset(f,1); - size := FileSize(f); - GetMem(buf,size); - BlockRead(f,buf^,size); - Close(f); - xchars := check_extra_chars(buf^,size); - If (xchars <> '') then - begin - WriteLn(filename,':'); - For idx := 1 to Length(xchars) do - If (idx < Length(xchars)) then - Write(byte2hex(ORD(xchars[idx])),', ') - else WriteLn(byte2hex(ORD(xchars[idx]))); - WriteLn; - end; -end; - -var - search: SearchRec; - -begin - FindFirst('..\*.pas',anyfile-volumeid-directory,search); - While (DOSerror = 0) do - begin - check_file(search.name); - FindNext(search); - end; - - FindFirst('..\*.inc',anyfile-volumeid-directory,search); - While (DOSerror = 0) do - begin - check_file(search.name); - FindNext(search); - end; -end. Binary files /tmp/tmpjjzuF0/oCUuuGpB5E/adlibtracker2-2.4.23/utils/data.com and /tmp/tmpjjzuF0/sRoahV9ozW/adlibtracker2-2.4.24/utils/data.com differ